JP2014090132A - Reflective mask and manufacturing method thereof - Google Patents

Reflective mask and manufacturing method thereof Download PDF

Info

Publication number
JP2014090132A
JP2014090132A JP2012240435A JP2012240435A JP2014090132A JP 2014090132 A JP2014090132 A JP 2014090132A JP 2012240435 A JP2012240435 A JP 2012240435A JP 2012240435 A JP2012240435 A JP 2012240435A JP 2014090132 A JP2014090132 A JP 2014090132A
Authority
JP
Japan
Prior art keywords
layer
reflective mask
protective film
manufacturing
reflective
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2012240435A
Other languages
Japanese (ja)
Inventor
Shimpei Kondo
慎平 近藤
Norihito Fukugami
典仁 福上
Akira Sakata
陽 坂田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toppan Inc
Original Assignee
Toppan Printing Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toppan Printing Co Ltd filed Critical Toppan Printing Co Ltd
Priority to JP2012240435A priority Critical patent/JP2014090132A/en
Publication of JP2014090132A publication Critical patent/JP2014090132A/en
Pending legal-status Critical Current

Links

Abstract

PROBLEM TO BE SOLVED: To provide a reflective mask in which high pattern transfer precision can be achieved even after cleaning and transferring are repeated for a long time, and a manufacturing method thereof.SOLUTION: A reflective mask 101 comprises a substrate 1, a multiple reflection layer 2 formed on a surface of the substrate, a protection layer 3 formed on the multiple reflection layer 2, and an absorption layer 4 formed on the protection layer 3. In at least a portion outside of a circuit pattern region 10 formed in the absorption layer 4, a light shielding frame 11 is included from which the absorption layer 4, the protection layer 3 and the multiple reflection layer 2 are removed and in which reflectance of EUV light is low. On a side face where a multilayer film is exposed inside of the light shielding frame 11, a sidewall protection film 12 having immunity to cleaning using a cleaning liquid chemical is formed by chemical vapor deposition.

Description

本発明は、反射型マスクブランク及び反射型マスク、反射型マスクブランクの製造方法に関し、特に極端紫外線(Extreme Ultra Violet;以下「EUV」と表記する)を光源とするEUVリソグラフィを用いた半導体製造装置などに利用される反射型マスクブランク及び反射型マスク、反射型マスクの製造方法に関する。   The present invention relates to a reflective mask blank, a reflective mask, and a method of manufacturing a reflective mask blank, and in particular, a semiconductor manufacturing apparatus using EUV lithography that uses extreme ultraviolet (Extreme Ultra Violet; hereinafter referred to as “EUV”) as a light source. The present invention relates to a reflective mask blank, a reflective mask, and a method of manufacturing a reflective mask used for the above.

(EUVリソグラフィの説明)
近年、半導体デバイスの微細化に伴い、波長が13.5nm近傍のEUVを光源に用いたEUVリソグラフィが提案されている。EUVリソグラフィは光源波長が短く光吸収性が非常に高いため、真空中で行われる必要がある。またEUVの波長領域においては、ほとんどの物質の屈折率は1よりもわずかに小さい値である。このため、EUVリソグラフィにおいては従来から用いられてきた透過型の屈折光学系を使用することができず、反射光学系となる。従って、原版となるフォトマスク(以下、マスクと呼ぶ)も、従来の透過型のマスクは使用できないため、反射型のマスクとする必要がある。
(Description of EUV lithography)
In recent years, with the miniaturization of semiconductor devices, EUV lithography using EUV having a wavelength of around 13.5 nm as a light source has been proposed. Since EUV lithography has a short light source wavelength and very high light absorption, it needs to be performed in a vacuum. In the EUV wavelength region, the refractive index of most substances is slightly smaller than 1. For this reason, the EUV lithography cannot use a transmission type refractive optical system which has been used conventionally, and becomes a reflection optical system. Therefore, a photomask (hereinafter referred to as a mask) as an original plate must be a reflection type mask because a conventional transmission type mask cannot be used.

(EUVマスクとブランク構造の説明)
このような反射型マスクの元となる反射型マスクブランクは、低熱膨張基板の上に、露光光源波長に対して高い反射率を示す多層反射層と、露光光源波長の吸収層とが順次形成されており、更に基板の裏面には露光機内における静電チャックのための裏面導電膜が形成されている。また、多層反射層と、吸収層の間に緩衝層を有する構造を持つEUVマスクもある。反射形マスクブランクから反射形マスクへ加工する際には、EBリソグラフィとエッチング技術とにより吸収層を部分的に除去し、緩衝層を有する構造の場合はこれも同じく部分的に除去し、吸収部と反射部とからなる回路パターンを形成する。このように作製された反射型マスクによって反射された光像が反射光学系を経て半導体基板上に転写される。
(Description of EUV mask and blank structure)
In a reflective mask blank that is the basis of such a reflective mask, a multilayer reflective layer showing a high reflectance with respect to the exposure light source wavelength and an absorption layer of the exposure light source wavelength are sequentially formed on a low thermal expansion substrate. Furthermore, a back surface conductive film for an electrostatic chuck in the exposure machine is formed on the back surface of the substrate. There is also an EUV mask having a structure having a multilayer reflection layer and a buffer layer between the absorption layers. When processing from a reflective mask blank to a reflective mask, the absorption layer is partially removed by EB lithography and etching technology, and in the case of a structure having a buffer layer, this is also partially removed. And a circuit pattern composed of the reflective portion. The light image reflected by the reflection type mask thus manufactured is transferred onto the semiconductor substrate via the reflection optical system.

(EUVマスクの吸収層の膜厚と反射率の説明)
反射光学系を用いた露光方法では、マスク面に対して垂直方向から所定角度傾いた入射角(通常6°)で照射されるため、吸収層の膜厚が厚い場合、パターン自身の影が生じてしまい、この影となった部分における反射強度は、影になっていない部分よりも小さいため、コントラストが低下し、転写パターンには、エッジ部のぼやけや設計寸法からのずれが生じてしまう。これはシャドーイングと呼ばれ、反射マスクの原理的課題の一つである。
(Explanation of the film thickness and reflectance of the absorption layer of the EUV mask)
In an exposure method using a reflective optical system, irradiation is performed at an incident angle (usually 6 °) tilted by a predetermined angle from the vertical direction with respect to the mask surface. Therefore, when the absorption layer is thick, a shadow of the pattern itself is generated. Therefore, since the reflection intensity in the shadowed portion is smaller than that in the non-shadowed portion, the contrast is lowered, and the transferred pattern is blurred in the edge portion and deviated from the design dimension. This is called shadowing and is one of the fundamental problems of the reflective mask.

このようなパターンエッジ部のぼやけや設計寸法からのずれを防ぐためには、吸収層の膜厚は小さくし、パターンの高さを低くすることが有効であるが、吸収層の膜厚が小さくなると、吸収層における遮光性が低下し、転写コントラストが低下し、転写パターンの精度低下となる。つまり吸収層を薄くし過ぎると転写パターンの精度を保つための必要なコントラストが得られなくなってしまう。吸収層の膜厚は厚すぎても薄すぎても問題になるので、現在は概ね50〜90nmの間になっており、EUV光(極端紫外光)の吸収層での反射率は0.5〜2%程度である。   In order to prevent such blurring of the pattern edge portion and deviation from the design dimension, it is effective to reduce the thickness of the absorption layer and reduce the height of the pattern, but when the thickness of the absorption layer becomes small In addition, the light shielding property in the absorbing layer is lowered, the transfer contrast is lowered, and the accuracy of the transfer pattern is lowered. That is, if the absorption layer is too thin, the contrast necessary for maintaining the accuracy of the transfer pattern cannot be obtained. If the film thickness of the absorption layer is too thick or too thin, there is a problem, so it is currently between 50 and 90 nm, and the reflectivity of the EUV light (extreme ultraviolet light) at the absorption layer is 0.5. About 2%.

(隣接するチップの多重露光の説明)
一方、反射型マスクを用いて半導体基板上に転写回路パターンを形成する際、一枚の半導体基板上には複数の回路パターンのチップが形成される。隣接するチップ間において、チップ外周部が重なる領域が存在する場合がある。これはウェハ1枚あたりに取れるチッ
プを出来るだけ増加したいという生産性向上のために、チップを高密度に配置するためである。この場合、この領域については複数回(最大で4回)に渡り露光(多重露光)されることになる。この転写パターンのチップ外周部はマスク上でも外周部であり、通常、吸収層の部分である。しかしながら、上述したように吸収層上でのEUV光の反射率は、0.5〜2%程度あるために、多重露光によりチップ外周部が感光してしまう問題があった。このため、マスク上のチップ外周部に通常の吸収層よりもEUV光の遮光性の高い領域(以下、遮光枠と呼ぶ)を設ける必要性が出てきた。
(Explanation of multiple exposure of adjacent chips)
On the other hand, when a transfer circuit pattern is formed on a semiconductor substrate using a reflective mask, chips having a plurality of circuit patterns are formed on one semiconductor substrate. There may be a region where the outer periphery of the chip overlaps between adjacent chips. This is because the chips are arranged at a high density in order to improve the productivity of increasing the number of chips that can be taken per wafer as much as possible. In this case, this region is exposed (multiple exposure) a plurality of times (up to four times). The chip outer peripheral portion of this transfer pattern is also the outer peripheral portion on the mask, and is usually the absorption layer portion. However, as described above, since the reflectance of EUV light on the absorption layer is about 0.5 to 2%, there is a problem that the outer periphery of the chip is exposed by multiple exposure. For this reason, it has become necessary to provide a region (hereinafter referred to as a light-shielding frame) having a higher light-shielding property of EUV light than a normal absorption layer on the outer periphery of the chip on the mask.

このような問題を解決するために、反射型マスクの吸収層から多層反射層までを掘り込んだ溝を形成することで多層反射層の反射率を低下させることにより、露光光源波長に対する遮光性の高い遮光枠を設けた反射型マスクが提案されている(例えば、特許文献1参照)。   In order to solve such a problem, by reducing the reflectance of the multilayer reflective layer by forming a groove dug from the absorption layer of the reflective mask to the multilayer reflective layer, the light shielding property with respect to the wavelength of the exposure light source is reduced. A reflective mask provided with a high light-shielding frame has been proposed (see, for example, Patent Document 1).

しかしながら、このような遮光枠部が形成されている反射型マスクを温硫酸やアルカリなどの洗浄薬液で洗浄した場合、これらの洗浄薬液により露出している多層反射層の側面が侵食されてパーティクルが発生し、当該反射型マスクや洗浄装置を汚染してしまうという不具合が発生する場合がある。   However, when the reflective mask on which such a light shielding frame is formed is cleaned with a cleaning chemical such as warm sulfuric acid or alkali, the side surfaces of the multilayer reflective layer exposed by these cleaning chemicals are eroded and particles are generated. This may cause a problem that the reflective mask and the cleaning device are contaminated.

洗浄時の多層反射層側面の侵食を防止するために、酸素プラズマを照射して強制的に酸化させ、側壁保護膜の形成することも考えられる(例えば特許文献2参照)。しかしながらこのような方法で側壁保護膜を形成した場合には多層反射層を形成するMo、Si上の膜が同じ種類の酸化膜でないので、酸化膜の形成速度や膜厚が異なる。また、洗浄耐性も十分でなく、当然耐性も異なるため、洗浄を繰り返すと、さらに表面粗さが増長され、しまいには部分的に酸化膜が消失してしまう問題も発生する。   In order to prevent erosion of the side surfaces of the multilayer reflective layer during cleaning, it may be possible to forcibly oxidize by irradiating oxygen plasma to form a sidewall protective film (see, for example, Patent Document 2). However, when the sidewall protective film is formed by such a method, since the films on Mo and Si forming the multilayer reflective layer are not the same kind of oxide films, the formation speed and film thickness of the oxide films are different. In addition, since the cleaning resistance is not sufficient and the resistance is naturally different, when cleaning is repeated, the surface roughness is further increased and eventually the oxide film is partially lost.

特開2009−212220号公報JP 2009-212220 A 特開2010−118520号公報JP 2010-118520 A

本発明は上記問題点に鑑みてなされたものであり、洗浄と転写を長期にわたって繰り返し行なっても、高いパターン転写精度を実現する事が可能な反射型マスクおよびその製造方法を提供することを主目的とするものである。   The present invention has been made in view of the above problems, and it is a main object of the present invention to provide a reflective mask that can realize high pattern transfer accuracy even when cleaning and transfer are repeated over a long period of time, and a method for manufacturing the same. It is the purpose.

本発明の請求項1の発明は、基板と、前記基板表面に形成された多層反射層と、前記多層反射層の上に形成された保護層と、前記保護層の上に形成された吸収層を備え、前記吸収層に形成された回路パターン領域の外周の少なくとも一部に、前記吸収層および前記保護層並びに前記多層反射層が除去されたEUV光の反射率の低い遮光枠を有した反射型マスクにおいて、前記遮光枠部内の前記多層膜が露出した側面に、洗浄薬液による洗浄に対して耐性を有する側壁保護膜が化学蒸着法(CVD法:Chemical Vapor Deposition)により形成されたことを特徴とする反射型マスクとしたものである。   According to the first aspect of the present invention, there is provided a substrate, a multilayer reflective layer formed on the surface of the substrate, a protective layer formed on the multilayer reflective layer, and an absorption layer formed on the protective layer. A reflection frame having a low EUV light reflectivity frame from which the absorption layer, the protective layer, and the multilayer reflective layer are removed, at least part of the outer periphery of the circuit pattern region formed in the absorption layer In the mold mask, a sidewall protective film having resistance to cleaning with a cleaning chemical solution is formed on a side surface where the multilayer film is exposed in the light shielding frame by a chemical vapor deposition method (CVD method: Chemical Vapor Deposition). Is a reflection type mask.

本発明の請求項2の発明は、前記側壁保護膜は、洗浄耐性のあるSiOまたはAlであることを特徴とする請求項1に記載の反射型マスクとしたものである。 A second aspect of the present invention is the reflective mask according to the first aspect, wherein the side wall protective film is made of SiO 2 or Al 2 O 3 having cleaning resistance.

本発明の請求項3の発明は、請求項1または2に記載の反射型マスクの製造方法であって、ドライエッチングもしくはウェットエッチングによって前記遮光枠内の前記多層反射
層の除去を行うエッチング工程を含むことを特徴とする反射型マスクの製造方法としたものである。
According to a third aspect of the present invention, there is provided a reflective mask manufacturing method according to the first or second aspect, wherein the etching step of removing the multilayer reflective layer in the light shielding frame by dry etching or wet etching is performed. It is a manufacturing method of a reflective mask characterized by including.

本発明の請求項4の発明は、前記エッチング工程において、前記多層反射層に、フッ素原子もしくは塩素原子を含むガスを用いてドライエッチングを行うことを特徴とする請求項3に記載の反射型マスクの製造方法としたものである。   According to a fourth aspect of the present invention, in the etching step, the multilayer reflective layer is dry-etched using a gas containing fluorine atoms or chlorine atoms. The reflective mask according to claim 3 This is a manufacturing method.

本発明の請求項5の発明は、前記フッ素原子もしくは塩素原子を含むガスは、少なくともCF、C、C、C、CHF、SF、ClF、Cl、HCl、CClのいずれかを含むガスであることを特徴とする請求項4に記載の反射型マスクの製造方法としたものである。 According to a fifth aspect of the present invention, the gas containing a fluorine atom or a chlorine atom is at least CF 4 , C 2 F 6 , C 4 F 8 , C 5 F 8 , CHF 3 , SF 6 , ClF 3 , Cl 5. The method of manufacturing a reflective mask according to claim 4, wherein the gas contains any one of 2 , HCl, and CCl 2 F 2 .

本発明の請求項6の発明は、請求項1〜5のいずれかに記載の反射型マスクの製造方法であって、化学蒸着法によって前記側壁保護膜の形成を行う工程を含むことを特徴とする反射型マスクの製造方法としたものである。   The invention of claim 6 of the present invention is a method of manufacturing a reflective mask according to any one of claims 1 to 5, characterized in that it includes a step of forming the sidewall protective film by chemical vapor deposition. This is a method for manufacturing a reflective mask.

本発明の請求項7の発明は、前記側壁保護膜の形成工程において、化学蒸着法が、熱CVD法、プラズマCVD法、光CVD法、MOCVD法のいずれかであることを特徴とする請求項1〜6のいずれかに記載の反射型マスクの製造方法としたものである。   According to a seventh aspect of the present invention, in the step of forming the side wall protective film, the chemical vapor deposition method is any one of a thermal CVD method, a plasma CVD method, a photo CVD method, and an MOCVD method. The reflective mask manufacturing method according to any one of 1 to 6 is used.

本発明の請求項8の発明は、前記側壁保護膜の形成工程が、多層反射層の除去を行なうエッチング工程後に、化学蒸着法を用いて側壁保護膜の形成を行い、レジスト剥離を行ない、前記側壁保護膜の形成を行うことを特徴とする請求項1〜7のいずれかに記載の反射型マスクの製造方法としたものである。   In the invention according to claim 8 of the present invention, the side wall protective film forming step forms a side wall protective film using a chemical vapor deposition method after the etching step for removing the multilayer reflective layer, and strips the resist. The method for manufacturing a reflective mask according to claim 1, wherein a side wall protective film is formed.

本発明の請求項9の発明は、前記側壁保護膜の形成工程が、多層反射層の除去を行なうエッチング工程後に、レジスト剥離を行ない、その後レジスト塗布し、遮光帯部の描画後に現像を行ない、化学蒸着法を用いて側壁保護膜を形成し、レジスト剥離を行うことによって前記側壁保護膜の形成を行うことを特徴とする請求項1〜7のいずれかに記載の反射型マスクの製造方法としたものである。   In the invention of claim 9 of the present invention, the step of forming the side wall protective film is performed by removing the resist after the etching process for removing the multilayer reflective layer, then applying the resist, and developing after drawing the light shielding band part, A method for producing a reflective mask according to any one of claims 1 to 7, wherein a sidewall protective film is formed using chemical vapor deposition, and the sidewall protective film is formed by removing the resist. It is a thing.

本発明の請求項10の発明は、前記側壁保護膜の形成工程において、材料ガスとして金属水素化物、金属ハロゲン化物、有機金属化合物を用いて、化学蒸着法によって前記側壁保護膜の形成を行うことを特徴とする請求項1〜9のいずれかに記載の反射型マスクの製造方法としたものである。   According to a tenth aspect of the present invention, in the step of forming the sidewall protective film, the sidewall protective film is formed by chemical vapor deposition using a metal hydride, a metal halide, or an organometallic compound as a material gas. A method for producing a reflective mask according to any one of claims 1 to 9.

本発明の請求項11の発明は、前記金属水素化物、金属ハロゲン化物、有機金属化合物を含む材料ガスとしては、少なくともSiH、TEOS(テトラエトキシシラン)、TRIES(トリエトキシシラン)、SiCl、RAlのいずれかを含む材料であることを特徴とする請求項10に記載の反射型マスクの製造方法としたものである。 According to the eleventh aspect of the present invention, the material gas containing the metal hydride, metal halide, and organometallic compound includes at least SiH 4 , TEOS (tetraethoxysilane), TRIE (triethoxysilane), SiCl 4 , The reflective mask manufacturing method according to claim 10, wherein the reflective mask is a material containing any of R 3 Al.

本発明の請求項12の発明は、前記側壁保護膜の形成工程において、多層反射層の除去を行なうエッチング工程後に、側壁に局所的に光を照射する手順によって、前記側壁保護膜の形成を行う、請求項1〜11のいずれかに記載の反射型マスクの製造方法としたものである。   According to a twelfth aspect of the present invention, in the step of forming the side wall protective film, the side wall protective film is formed by a procedure of locally irradiating the side wall after the etching step for removing the multilayer reflective layer. The reflective mask manufacturing method according to any one of claims 1 to 11.

本発明は、多層反射層を除去し遮光枠を形成したEUVマスクにおいて、多層反射膜、高い遮光性能を有する反射型マスクが可能となり、高い精度の転写パターンを形成できるという効果を奏する。   The present invention provides an effect that a multilayer reflective film and a reflective mask having high light shielding performance can be formed in an EUV mask from which a multilayer reflective layer is removed and a light shielding frame is formed, and a highly accurate transfer pattern can be formed.

(a)本発明の反射型マスクの一例の構造の概略断面図と(b)概略平面図(A) Schematic sectional view of the structure of an example of the reflective mask of the present invention and (b) Schematic plan view 本発明の実施例の反射型マスクの作製工程(パターン形成まで)を示す概略断面図Schematic sectional view showing a manufacturing process (up to pattern formation) of a reflective mask of an embodiment of the present invention 本発明の実施例の反射型マスク(パターン形成まで)を示す概略平面図Schematic plan view showing a reflective mask (until pattern formation) of an embodiment of the present invention 本発明の実施例の反射型マスクの作製工程(遮光枠形成)を示す概略断面図Schematic sectional view showing a production process (shading frame formation) of a reflective mask of an embodiment of the present invention 本発明の実施例の反射型マスクを示す概略図Schematic showing a reflective mask of an embodiment of the present invention 実施例1の反射型マスクの検出欠陥数Number of detected defects of the reflective mask of Example 1

(本発明の反射型マスクの構成)
以下、本発明に係る実施形態について、図面を参照しながら説明する。
(Configuration of the reflective mask of the present invention)
Hereinafter, embodiments according to the present invention will be described with reference to the drawings.

まず、本発明の反射型マスクの構成について説明する。図1(a)は、本発明の反射型マスクの一例の構造の概略断面図で、図1(b)は、図1(a)を上から見た概略平面図である。即ち、本発明の反射型マスクの一例の構成は、101である。   First, the configuration of the reflective mask of the present invention will be described. FIG. 1A is a schematic cross-sectional view of an example of the structure of a reflective mask of the present invention, and FIG. 1B is a schematic plan view of FIG. 1A viewed from above. That is, the configuration of an example of the reflective mask of the present invention is 101.

図1(a)に示す反射型マスク101は、基板1の表面に、多層反射層2、保護層3、吸収層4が順次形成されている。基板の裏面には導電膜5が形成された構造となっている。保護層3と吸収層4の間には、緩衝層が有る場合もある。緩衝層は、吸収膜4のマスクパターン修正時に、下地の保護層3にダメージを与えないために設けられた層である。   A reflective mask 101 shown in FIG. 1A has a multilayer reflective layer 2, a protective layer 3, and an absorption layer 4 sequentially formed on the surface of a substrate 1. A conductive film 5 is formed on the back surface of the substrate. There may be a buffer layer between the protective layer 3 and the absorbing layer 4. The buffer layer is a layer provided so as not to damage the underlying protective layer 3 when the mask pattern of the absorption film 4 is corrected.

本発明の反射型マスク101は、吸収層4が加工されたパターン領域10と、その外周部に吸収層4、保護層3、多層反射層2、(緩衝層がある場合は緩衝層も)が除去された遮光枠11を有する。   The reflective mask 101 of the present invention has a pattern region 10 in which the absorption layer 4 is processed, and an absorption layer 4, a protective layer 3, a multilayer reflection layer 2 (and a buffer layer if a buffer layer is provided) on the outer periphery thereof. The light shielding frame 11 is removed.

本発明の反射型マスク101は、遮光枠部11内の多層反射層2が露出した側面には、洗浄薬液による洗浄に対して耐性を有する側壁保護膜12が形成されている。   In the reflective mask 101 of the present invention, a side wall protective film 12 that is resistant to cleaning with a cleaning chemical is formed on the side surface of the light shielding frame 11 where the multilayer reflective layer 2 is exposed.

このように、本発明の反射型マスクにおいては、遮光枠部内の上記多層反射膜が露出した側面のみに側壁保護膜が形成されているため、反射型マスクに用いられる洗浄薬液によって多層反射膜が浸食等されることなく、侵食等された多層反射膜が異物となることを抑制することができる。そのため、遮光枠部が形成されている場合でも、異物の発生が少なくすることができ、このような反射型マスクを用いることにより高いパターン転写精度を実現することが可能である。   As described above, in the reflective mask of the present invention, since the sidewall protective film is formed only on the side surface where the multilayer reflective film is exposed in the light shielding frame, the multilayer reflective film is formed by the cleaning chemical used in the reflective mask. It is possible to prevent the eroded multilayer reflective film from becoming a foreign substance without being eroded. Therefore, even when the light shielding frame is formed, the generation of foreign matters can be reduced, and high pattern transfer accuracy can be realized by using such a reflective mask.

(本発明の反射型マスクの構成の詳細:多層反射層、保護層、緩衝層)
図1(a)の多層反射層2は、EUV光に対して60%程度の反射率を達成できるように設計されており、MoとSiが交互に40〜50ペア積層した積層膜で、さらに最上層の保護層3は2〜3nm厚のルテニウム(Ru)あるいは厚さ10nm程度のシリコン(Si)で構成されている。Ru層の下に隣接する層はSi層である。MoやSiが使われている理由は、EUV光に対する吸収(消衰係数)が小さく、且つMoとSiのEUV光での屈折率差が大きいために、SiとMoの界面での反射率を高く出来るためである。保護層3がRuの場合は、吸収層4の加工におけるストッパーやマスク洗浄時の薬液に対する保護層としての役割を果たしている。保護層3がSiの場合は、吸収層4との間に、緩衝層が有る場合もある。緩衝層は、吸収層4のエッチングやパターン修正時に、緩衝層の下に隣接する多層反射層2の最上層であるSi層を保護するために設けられており、クロム(Cr)の窒素化合物(CrN)で構成されている。
(Details of the configuration of the reflective mask of the present invention: multilayer reflective layer, protective layer, buffer layer)
The multilayer reflective layer 2 in FIG. 1A is designed to achieve a reflectance of about 60% with respect to EUV light, and is a laminated film in which 40-50 pairs of Mo and Si are alternately laminated. The uppermost protective layer 3 is made of ruthenium (Ru) having a thickness of 2 to 3 nm or silicon (Si) having a thickness of about 10 nm. The layer adjacent to the Ru layer is a Si layer. The reason why Mo and Si are used is that the absorption (extinction coefficient) with respect to EUV light is small and the refractive index difference between Mo and Si EUV light is large. This is because it can be high. When the protective layer 3 is Ru, it plays a role as a stopper in processing of the absorption layer 4 and a protective layer against chemicals during mask cleaning. When the protective layer 3 is Si, there may be a buffer layer between the absorption layer 4 and the protective layer 3. The buffer layer is provided to protect the Si layer, which is the uppermost layer of the multilayer reflective layer 2 adjacent to the bottom of the buffer layer, during etching or pattern modification of the absorption layer 4, and a chromium (Cr) nitrogen compound ( CrN).

(本発明の反射型マスクの構成の詳細:吸収層)
図1(a)の吸収層4は、EUVに対して吸収率の高いタンタル(Ta)の窒素化合物(TaN)で構成されている。他の材料として、タンタルホウ素窒化物(TaBN)、タンタルシリコン(TaSi)、タンタル(Ta)や、それらの酸化物(TaBON、TaSiO、TaO)でも良い。
(Details of the configuration of the reflective mask of the present invention: absorption layer)
The absorption layer 4 in FIG. 1A is composed of a nitrogen compound (TaN) of tantalum (Ta) having a high absorption rate with respect to EUV. As other materials, tantalum boron nitride (TaBN), tantalum silicon (TaSi), tantalum (Ta), and oxides thereof (TaBON, TaSiO, TaO) may be used.

図1(a)の吸収層4は、上層に波長190〜260nmの紫外光に対して反射防止機能を有する低反射層を設けた2層構造から成る吸収層であっても良い。低反射層は、マスクの欠陥検査機の検査波長に対して、コントラストを高くし、検査性を向上させるためのものである。   The absorption layer 4 in FIG. 1A may be an absorption layer having a two-layer structure in which an upper layer is provided with a low reflection layer having an antireflection function with respect to ultraviolet light having a wavelength of 190 to 260 nm. The low reflection layer is for increasing the contrast and improving the inspection property with respect to the inspection wavelength of the mask defect inspection machine.

(本発明の反射型マスクの構成の詳細:裏面導電膜)
図1(a)の導電膜5は、一般にはCrNで構成されているが、導電性があれば良いので、金属材料からなる材料であれば良い。
(Details of Configuration of Reflective Mask of the Present Invention: Back Conductive Film)
The conductive film 5 shown in FIG. 1A is generally made of CrN, but may be any material made of a metal material as long as it has conductivity.

図1(a)では導電膜5を有するかたちで記載したが、導電膜5を有さないマスクブランク及びマスクとしても良い。   In FIG. 1A, the conductive film 5 is described, but a mask blank and a mask that do not have the conductive film 5 may be used.

(本発明の反射型マスクの構成の詳細)
本発明の反射型マスクの遮光枠の形成方法について説明する。まずフォトリソグラフィもしくは電子線リソグラフィによって、遮光枠部のみが開口したレジストパターンを形成する。次に、フッ素系もしくは塩素系ガス(あるいはその両方)を用いたドライエッチングによって、レジストパターンの開口部の吸収膜4と保護層3を除去する。次いで、多層反射層2を、フッ素系ガスもしくは塩素系ガスもしくはその両方を用いたドライエッチングか、アルカリ性溶液あるは酸性溶液を用いたウェットエッチングによって、多層反射層を貫通・除去する。
(Details of the configuration of the reflective mask of the present invention)
A method for forming the light shielding frame of the reflective mask of the present invention will be described. First, a resist pattern in which only the light shielding frame portion is opened is formed by photolithography or electron beam lithography. Next, the absorption film 4 and the protective layer 3 in the opening portion of the resist pattern are removed by dry etching using fluorine-based or chlorine-based gas (or both). Next, the multilayer reflective layer 2 is penetrated and removed by dry etching using a fluorine-based gas and / or chlorine-based gas, or wet etching using an alkaline solution or an acidic solution.

ドライエッチングによって、多層反射層2を貫通・除去する際に、フッ素系ガスもしくは塩素系ガスもしくはその両方を用いるのは、多層反射層の材料であるMoとSiの両方に対して、エッチング性を有するためである。この際に用いるフッ素系ガスは、CF、C、C、C、CHF、SF、ClF、Cl、HCl、CClが挙げられる。 When the multi-layer reflective layer 2 is penetrated and removed by dry etching, the fluorine-based gas and / or the chlorine-based gas is used for both Mo and Si, which are the materials of the multi-layer reflective layer. It is for having. Examples of the fluorine-based gas used at this time include CF 4 , C 2 F 6 , C 4 F 8 , C 5 F 8 , CHF 3 , SF 6 , ClF 3 , Cl 2 , HCl, and CCl 2 F 2 .

ウェットエッチングによって、多層反射層2を貫通・除去する際のエッチング液には、多層反射層の材料であるMoとSiのエッチングに適している必要がある。例えば、アルカリ性溶液としては、TMAH(水酸化テトラメチルアンモニウム)、KOH(水酸化カリウム)、EDP(エチレンジアミンピロカテコール)が適している。酸性溶液としては、硝酸とリン酸の混合液が適しているが、これにフッ酸、硫酸、酢酸を加えても良い。   An etching solution for penetrating and removing the multilayer reflective layer 2 by wet etching needs to be suitable for etching Mo and Si, which are materials of the multilayer reflective layer. For example, as the alkaline solution, TMAH (tetramethylammonium hydroxide), KOH (potassium hydroxide), and EDP (ethylenediamine pyrocatechol) are suitable. A mixed solution of nitric acid and phosphoric acid is suitable as the acidic solution, but hydrofluoric acid, sulfuric acid, and acetic acid may be added thereto.

本発明においては、上記遮光枠部内の上記多層反射層が露出した側面に、洗浄薬液による洗浄に対して耐性を有する側壁保護膜が形成されている。側壁保護膜の形成方法としては、多層反射層の除去を行なうエッチング工程後に、CVD法を用いて側壁保護膜の形成を行い、レジスト剥離を行なう手順によって側壁保護膜の形成を行う。   In the present invention, a sidewall protective film having resistance to cleaning with a cleaning chemical is formed on the side surface of the light shielding frame portion where the multilayer reflective layer is exposed. As a method for forming the side wall protective film, the side wall protective film is formed using a CVD method after the etching process for removing the multilayer reflective layer, and the resist is stripped.

なお、側壁保護膜は、遮光枠部内の前記多層膜が露出した側面のみに、形成したほうが望ましい。   The side wall protective film is preferably formed only on the side surface of the light shielding frame portion where the multilayer film is exposed.

別の方法としては、多層反射層の側壁を形成後にレジスト剥離を行なう。その後レジスト塗布を行い、遮光帯部の描画後に現像を行ない、CVD法を用いて側壁保護膜を形成し、レジスト剥離を行なう手順によって側壁保護膜の形成を行う。   As another method, the resist is stripped after the side walls of the multilayer reflective layer are formed. Thereafter, resist coating is performed, development is performed after drawing the light-shielding band portion, a side wall protective film is formed using a CVD method, and a side wall protective film is formed by a procedure of resist removal.

もしくは多層反射層の側壁を形成後に側壁に局所的に光を照射する手順によって、前記側壁保護膜の形成を行う方法がある。   Alternatively, there is a method in which the sidewall protective film is formed by a procedure of locally irradiating the sidewall after forming the sidewall of the multilayer reflective layer.

側壁保護膜を形成することにより、洗浄薬液により上記多層反射層が侵食等されて、異物となることを防止することができる。この際「洗浄薬液」とは、反射型マスクの製造工程において異物、レジスト等を除去することを目的として用いられる液体を意味する。また、「洗浄薬液による洗浄に対して耐性を有する」とは、上記洗浄薬液と接触しても、侵食、溶解等されて異物、汚染等を発生させない、とを意味する。   By forming the side wall protective film, it is possible to prevent the multilayer reflective layer from being eroded by the cleaning chemical and becoming a foreign substance. In this case, the “cleaning chemical” means a liquid used for the purpose of removing foreign substances, resists and the like in the manufacturing process of the reflective mask. Further, “tolerant to cleaning with a cleaning chemical solution” means that even if it comes into contact with the cleaning chemical solution, it does not erode, dissolve, etc. to generate foreign matter, contamination, or the like.

上記側壁保護膜は化学蒸着法(CVD法:Chemical Vapor Deposition)を用いて形成する。CVDは均一で緻密な膜の形成が可能である。特にEUVマスクにおいては熱に弱いため、比較的低温で行なえるプラズマCVD法や光CVD法が有効ではあるが、その他、熱CVD法、MOCVD法なども使用可能である。   The sidewall protective film is formed using a chemical vapor deposition method (CVD method: Chemical Vapor Deposition). CVD can form a uniform and dense film. In particular, since the EUV mask is vulnerable to heat, the plasma CVD method and the photo CVD method, which can be performed at a relatively low temperature, are effective.

上記側壁保護膜はSi0もしくはAlのようなプラズマCVDの酸化反応で形成されるものが適している。なぜなら、これらの保護膜は洗浄耐性が高いためである。CVDに用いる材料ガスは、金属水素化物、金属ハロゲン化物、有機金属化合物を例示できる。具体的には、SiH、TEOS(テトラエトキシシラン)、TRIES(トリエトキシシラン)、SiCl、RALなどが使われるが、この限りではない。 The sidewall protective film is suitably formed by a plasma CVD oxidation reaction such as SiO 2 or Al 2 O 3 . This is because these protective films have high cleaning resistance. Examples of the material gas used for CVD include metal hydrides, metal halides, and organometallic compounds. Specifically, SiH 4 , TEOS (tetraethoxysilane), TRIE (triethoxysilane), SiCl 4 , R 3 AL and the like are used, but not limited thereto.

上記側壁保護膜の形成にCVDを用いる事により、凹凸や溝など立体的な構造の表面をすきまなく、かつ1種類の緻密な膜で被覆する事が可能となるため、洗浄薬液と接触し、侵食、溶解等されて異物、汚染等を発生させない側壁保護膜を形成することができる。   By using CVD for the formation of the sidewall protective film, it is possible to cover the surface of the three-dimensional structure such as irregularities and grooves without any gaps, and contact with a cleaning chemical solution, A sidewall protective film that is eroded, dissolved, etc., and does not generate foreign matter, contamination, etc. can be formed.

以下、本発明の反射型マスクの製造方法の実施例を説明する。図2(a)に本実施例で用意した反射型マスクブランク201を用意した。このブランクは、基板1の上に、波長13.5nmのEUV光に対して反射率が64%程度となるように設計されたMoとSiの40ペアの多層反射層2が、その上に2.5nm厚のRuの保護層3が、更にその上に70nm厚のTaSiからなる吸収層4が、順次形成されている。 Examples of the method for manufacturing a reflective mask according to the present invention will be described below. A reflective mask blank 201 prepared in this example was prepared in FIG. In this blank, a multilayer reflecting layer 2 of 40 pairs of Mo and Si designed to have a reflectance of about 64% with respect to EUV light having a wavelength of 13.5 nm is formed on the substrate 1. A protective layer 3 having a thickness of 0.5 nm and an absorption layer 4 made of TaSi having a thickness of 70 nm are sequentially formed thereon.

本ブランクに対し、ポジ型化学増幅レジスト9(FEP171:富士フイルムエレクトロニクスマテリアルズ)を300nmの膜厚で塗布し(図2(b))、電子線描画機(JBX9000:日本電子)によって描画後、110℃、10分のPEBおよびスプレー現像(SFG3000:シグマメルテック)により、レジスト部分にレジストパターンを形成した(図2(c))。   On this blank, a positive chemically amplified resist 9 (FEP171: FUJIFILM Electronics Materials) was applied with a film thickness of 300 nm (FIG. 2B), and after drawing by an electron beam drawing machine (JBX9000: JEOL), A resist pattern was formed on the resist portion by PEB at 110 ° C. for 10 minutes and spray development (SFG3000: Sigma Meltech) (FIG. 2C).

次いで、ドライエッチング装置を用いて、CFプラズマとClプラズマにより、吸収層4をエッチングし(図2(d))、レジスト剥離洗浄することで、図2(e)に示す評価パターンを有する反射型マスク211を作製した。評価パターンは、寸法200nmの1:1のライン&スペースパターンをマスク中心に配置した。パターン領域の大きさは、10cm×10cmとした。反射型マスク211の上面図を図3に示す。 Next, the absorption layer 4 is etched by CF 4 plasma and Cl 2 plasma using a dry etching apparatus (FIG. 2D), and the resist is peeled and cleaned to have an evaluation pattern shown in FIG. A reflective mask 211 was produced. As the evaluation pattern, a 1: 1 line & space pattern having a dimension of 200 nm was arranged at the center of the mask. The size of the pattern region was 10 cm × 10 cm. A top view of the reflective mask 211 is shown in FIG.

次いで、上述の評価パターンを有する反射型マスク211のパターン領域10に対して、遮光枠を形成する工程を行った。反射型マスク211(図4(a))にi線レジスト29を500nmの膜厚で塗布し(図4(b))、そこへi線描画機(ALTA)により描画、現像を行うことにより、後に遮光枠となる領域を抜いたレジストパターンを形成した(図4(c))。このときレジストパターンの開口幅は5mmとし、マスク中心部の10cm×10cmのメインパターン領域から3μm(マイクロメートル)の距離に配置した。   Next, a step of forming a light shielding frame was performed on the pattern region 10 of the reflective mask 211 having the above-described evaluation pattern. By applying an i-line resist 29 to a reflective mask 211 (FIG. 4A) with a film thickness of 500 nm (FIG. 4B), drawing and developing with an i-line drawing machine (ALTA), A resist pattern was formed by removing a region that later becomes a light shielding frame (FIG. 4C). At this time, the opening width of the resist pattern was 5 mm, and the resist pattern was arranged at a distance of 3 μm (micrometer) from the main pattern region of 10 cm × 10 cm in the center of the mask.

次いで、ドライエッチング装置を用いてCHFプラズマ(ドライエッチング装置内の圧力50mTorr、ICP(誘導結合プラズマ)パワー500W、RIE(反応性イオンエッチング)パワー2000W、CHF:流量20sccm、処理時間6分、これらは、以下の表記で同じ。)により、上記レジストの開口部の吸収層4と多層反射層2とを垂直性ドライエッチングで貫通・除去し(図4(d)、(e))、図4(e)に示すような形状を得た。 Next, using a dry etching apparatus, CHF 3 plasma (pressure in the dry etching apparatus 50 mTorr, ICP (inductively coupled plasma) power 500 W, RIE (reactive ion etching) power 2000 W, CHF 3 : flow rate 20 sccm, processing time 6 minutes, These are the same in the following notation), and the absorption layer 4 and the multilayer reflective layer 2 in the opening of the resist are penetrated and removed by vertical dry etching (FIGS. 4D and 4E). A shape as shown in 4 (e) was obtained.

さらにプラズマCVD装置を用いて、高周波電力13.56Mhz、反応圧力を1torrの設定で、原料となるTRIESは50℃に加温したものを用いて成膜したところ、図4(f)に示すような形状を得た。   Further, using a plasma CVD apparatus, a high-frequency power of 13.56 Mhz, a reaction pressure of 1 torr and a raw material TRIE heated to 50 ° C. were used to form a film, as shown in FIG. Obtained.

最後に、硫酸系の剥離液とアンモニア過酸化水素水により、レジスト剥離・洗浄を実施し、ドライエッチングで残ったレジストを除去した(図4(g))。図5(a)、(b)に本実施例で作製した反射型マスク101を示す。   Finally, the resist was removed and washed with a sulfuric acid-based stripping solution and ammonia hydrogen peroxide solution to remove the remaining resist by dry etching (FIG. 4G). 5A and 5B show a reflective mask 101 manufactured in this example.

このようにして作製した遮光枠の一部を断裁して、電子顕微鏡にて断面観察したところ、約5nm程度のSi0の側壁保護膜が、多層反射層の側面にのみに形成されていることを確認した。 Thus some of the light shielding frame was produced by cutting, was cross-sectional observation by an electron microscope, the Si0 2 of the side wall protection film of about 5nm is formed only on the side surface of the multilayer reflective layer It was confirmed.

次いで、このようにして作製した側壁保護膜を有する遮光枠付き反射型マスクと、従来型の遮光枠付き反射型マスクの両方を用意し、10x10cmに200nmのライン&スペースパターンを敷き詰めたメインパターン領域を、マスク検査装置にて検査を実施した。その結果、本発明の遮光枠付き反射型マスクは、従来の遮光枠付き反射型マスクに比べて、マスク作製直後(洗浄1回後)で、欠陥数は約1/50に低減していた(図6(a))。また、本発明の遮光枠付き反射型マスクは、洗浄を繰り返しても欠陥数が増えないが、従来の遮光枠付き反射型マスクは、洗浄回数の増加に伴い欠陥数が増加した(図6(a)、(b))。これは、遮光枠の多層反射層の側壁が洗浄するほど荒れが生じ、パーティクルが発生していると考えられる。   Next, both a reflective mask with a light-shielding frame having a side wall protective film and a conventional reflective mask with a light-shielding frame prepared in this way were prepared, and a main pattern region in which a 200 nm line and space pattern was spread over 10 × 10 cm Were inspected with a mask inspection apparatus. As a result, the number of defects in the reflective mask with a light shielding frame of the present invention was reduced to about 1/50 immediately after the mask fabrication (after one cleaning), compared with the conventional reflective mask with a light shielding frame ( FIG. 6 (a)). In addition, the reflective mask with a light-shielding frame of the present invention does not increase the number of defects even after repeated cleaning, but the conventional reflective mask with a light-shielding frame has an increased number of defects as the number of cleaning increases (FIG. 6 ( a), (b)). This is considered to be caused by generation of particles as the side walls of the multilayer reflective layer of the light shielding frame are cleaned.

このように、遮光性能の高い遮光枠を有する反射型マスクを作製することができた。   Thus, a reflective mask having a light shielding frame with high light shielding performance could be produced.

本発明は、反射型マスク等に有用である。   The present invention is useful for a reflective mask or the like.

1 基板
2 多層反射層
3 保護層
4 吸収層
5 裏面導電膜
9 レジスト
10 パターン領域
11 遮光枠
12 側壁保護膜
29 レジスト
101 本発明の反射型マスク
201 反射型マスクブランク
211 パターン領域に回路パターンが形成された反射型マスク
DESCRIPTION OF SYMBOLS 1 Substrate 2 Multilayer reflective layer 3 Protective layer 4 Absorbing layer 5 Back surface conductive film 9 Resist 10 Pattern region 11 Shading frame 12 Side wall protective film 29 Resist 101 Reflective mask 201 of the present invention Reflective mask blank 211 Circuit pattern is formed in the pattern region Reflective mask

Claims (12)

基板と、前記基板表面に形成された多層反射層と、前記多層反射層の上に形成された保護層と、前記保護層の上に形成された吸収層を備え、前記吸収層に形成された回路パターン領域の外周の少なくとも一部に、前記吸収層および前記保護層並びに前記多層反射層が除去されたEUV光の反射率の低い遮光枠を有した反射型マスクにおいて、前記遮光枠部内の前記多層膜が露出した側面に、洗浄薬液による洗浄に対して耐性を有する側壁保護膜が化学蒸着法により形成されたことを特徴とする反射型マスク。   A substrate, a multilayer reflective layer formed on the substrate surface, a protective layer formed on the multilayer reflective layer, and an absorption layer formed on the protective layer, and formed on the absorption layer In a reflective mask having a light-shielding frame having a low reflectance of EUV light from which the absorption layer, the protective layer, and the multilayer reflective layer are removed, at least part of the outer periphery of the circuit pattern region, A reflective mask characterized in that a side wall protective film resistant to cleaning with a cleaning chemical solution is formed on a side surface where a multilayer film is exposed by chemical vapor deposition. 前記側壁保護膜は、洗浄耐性のあるSiOまたはAlであることを特徴とする請求項1に記載の反射型マスク。 The reflective mask according to claim 1, wherein the sidewall protective film is made of SiO 2 or Al 2 O 3 having a cleaning resistance. 請求項1または2に記載の反射型マスクの製造方法であって、ドライエッチングもしくはウェットエッチングによって前記遮光枠内の前記多層反射層の除去を行うエッチング工程を含むことを特徴とする反射型マスクの製造方法。   3. The method of manufacturing a reflective mask according to claim 1, further comprising an etching step of removing the multilayer reflective layer in the light shielding frame by dry etching or wet etching. Production method. 前記エッチング工程において、前記多層反射層に、フッ素原子もしくは塩素原子を含むガスを用いてドライエッチングを行うことを特徴とする請求項3に記載の反射型マスクの製造方法。   4. The method of manufacturing a reflective mask according to claim 3, wherein in the etching step, dry etching is performed on the multilayer reflective layer using a gas containing fluorine atoms or chlorine atoms. 前記フッ素原子もしくは塩素原子を含むガスは、少なくともCF、C、C、C、CHF、SF、ClF、Cl、HCl、CClのいずれかを含むガスであることを特徴とする請求項4に記載の反射型マスクの製造方法。 The gas containing fluorine atom or chlorine atom is at least any of CF 4 , C 2 F 6 , C 4 F 8 , C 5 F 8 , CHF 3 , SF 6 , ClF 3 , Cl 2 , HCl, CCl 2 F 2 . The method of manufacturing a reflective mask according to claim 4, wherein the gas contains gas. 請求項1〜5のいずれかに記載の反射型マスクの製造方法であって、化学蒸着法によって前記側壁保護膜の形成を行う工程を含むことを特徴とする反射型マスクの製造方法。   6. The method for manufacturing a reflective mask according to claim 1, further comprising a step of forming the sidewall protective film by chemical vapor deposition. 前記側壁保護膜の形成工程において、化学蒸着法が、熱CVD法、プラズマCVD法、光CVD法、MOCVD法のいずれかであることを特徴とする請求項1〜6のいずれかに記載の反射型マスクの製造方法。   7. The reflection according to claim 1, wherein in the step of forming the sidewall protective film, the chemical vapor deposition method is any one of a thermal CVD method, a plasma CVD method, a photo CVD method, and an MOCVD method. Mold mask manufacturing method. 前記側壁保護膜の形成工程が、多層反射層の除去を行なうエッチング工程後に、化学蒸着法を用いて側壁保護膜の形成を行い、レジスト剥離を行ない、前記側壁保護膜の形成を行うことを特徴とする請求項1〜7のいずれかに記載の反射型マスクの製造方法。   The sidewall protective film forming step includes forming a sidewall protective film using a chemical vapor deposition method after performing an etching process for removing the multilayer reflective layer, performing resist stripping, and forming the sidewall protective film. The manufacturing method of the reflective mask in any one of Claims 1-7. 前記側壁保護膜の形成工程が、多層反射層の除去を行なうエッチング工程後に、レジスト剥離を行ない、その後レジスト塗布し、遮光帯部の描画後に現像を行ない、化学蒸着法を用いて側壁保護膜を形成し、レジスト剥離を行うことによって前記側壁保護膜の形成を行うことを特徴とする請求項1〜7のいずれかに記載の反射型マスクの製造方法。   In the side wall protective film forming step, after the etching process for removing the multilayer reflective layer, the resist is peeled off, then the resist is applied, the development is performed after the shading band is drawn, and the side wall protective film is formed by chemical vapor deposition. The method for manufacturing a reflective mask according to claim 1, wherein the sidewall protective film is formed by forming and removing the resist. 前記側壁保護膜の形成工程において、材料ガスとして金属水素化物、金属ハロゲン化物、有機金属化合物を用いて、化学蒸着法によって前記側壁保護膜の形成を行うことを特徴とする請求項1〜9のいずれかに記載の反射型マスクの製造方法。   10. The side wall protective film is formed by chemical vapor deposition using a metal hydride, metal halide, or organometallic compound as a material gas in the step of forming the side wall protective film. The manufacturing method of the reflective mask in any one. 前記金属水素化物、金属ハロゲン化物、有機金属化合物を含む材料ガスとしては、少なくともSiH、TEOS(テトラエトキシシラン)、TRIES(トリエトキシシラン)、SiCl、RAlのいずれかを含む材料であることを特徴とする請求項10に記載の反射型マスクの製造方法。 The material gas containing the metal hydride, metal halide, or organometallic compound is a material containing at least one of SiH 4 , TEOS (tetraethoxysilane), TRIE (triethoxysilane), SiCl 4 , and R 3 Al. The method of manufacturing a reflective mask according to claim 10, wherein the method is provided. 前記側壁保護膜の形成工程において、多層反射層の除去を行なうエッチング工程後に、
側壁に局所的に光を照射する手順によって、前記側壁保護膜の形成を行う、請求項1〜11のいずれかに記載の反射型マスクの製造方法。
In the step of forming the sidewall protective film, after the etching step of removing the multilayer reflective layer,
The method of manufacturing a reflective mask according to claim 1, wherein the sidewall protective film is formed by a procedure of locally irradiating the sidewall.
JP2012240435A 2012-10-31 2012-10-31 Reflective mask and manufacturing method thereof Pending JP2014090132A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2012240435A JP2014090132A (en) 2012-10-31 2012-10-31 Reflective mask and manufacturing method thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2012240435A JP2014090132A (en) 2012-10-31 2012-10-31 Reflective mask and manufacturing method thereof

Publications (1)

Publication Number Publication Date
JP2014090132A true JP2014090132A (en) 2014-05-15

Family

ID=50791802

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012240435A Pending JP2014090132A (en) 2012-10-31 2012-10-31 Reflective mask and manufacturing method thereof

Country Status (1)

Country Link
JP (1) JP2014090132A (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016009744A (en) * 2014-06-24 2016-01-18 凸版印刷株式会社 Reflective mask and reflective mask blank
JP2017032856A (en) * 2015-08-04 2017-02-09 株式会社東芝 Euv mask and manufacturing method of the same
JP2017167297A (en) * 2016-03-15 2017-09-21 東芝メモリ株式会社 Manufacturing method of lithography mask and manufacturing system thereof
CN109119328A (en) * 2017-06-22 2019-01-01 Imec 非营利协会 To the method for destination layer setting pattern
US11086215B2 (en) * 2017-11-15 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet mask with reduced mask shadowing effect and method of manufacturing the same

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08162395A (en) * 1994-12-08 1996-06-21 Oki Electric Ind Co Ltd X-ray mask and manufacture thereof
JP2003133205A (en) * 2001-10-24 2003-05-09 Oki Electric Ind Co Ltd Reflex mask, method of manufacturing the same, and method of cleaning the same
JP2009070860A (en) * 2007-09-11 2009-04-02 Toppan Printing Co Ltd Blank for reflective photomask and manufacturing method thereof, and reflective photomask and manufacturing method thereof
JP2009071126A (en) * 2007-09-14 2009-04-02 Toppan Printing Co Ltd Reflective photomask for extreme-ultraviolet ray and semiconductor device manufacturing method
JP2010118520A (en) * 2008-11-13 2010-05-27 Dainippon Printing Co Ltd Reflection type mask, and method of manufacturing reflection type mask
JP2010211064A (en) * 2009-03-11 2010-09-24 Toppan Printing Co Ltd Photomask and manufacturing method thereof

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08162395A (en) * 1994-12-08 1996-06-21 Oki Electric Ind Co Ltd X-ray mask and manufacture thereof
JP2003133205A (en) * 2001-10-24 2003-05-09 Oki Electric Ind Co Ltd Reflex mask, method of manufacturing the same, and method of cleaning the same
JP2009070860A (en) * 2007-09-11 2009-04-02 Toppan Printing Co Ltd Blank for reflective photomask and manufacturing method thereof, and reflective photomask and manufacturing method thereof
JP2009071126A (en) * 2007-09-14 2009-04-02 Toppan Printing Co Ltd Reflective photomask for extreme-ultraviolet ray and semiconductor device manufacturing method
JP2010118520A (en) * 2008-11-13 2010-05-27 Dainippon Printing Co Ltd Reflection type mask, and method of manufacturing reflection type mask
JP2010211064A (en) * 2009-03-11 2010-09-24 Toppan Printing Co Ltd Photomask and manufacturing method thereof

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016009744A (en) * 2014-06-24 2016-01-18 凸版印刷株式会社 Reflective mask and reflective mask blank
JP2017032856A (en) * 2015-08-04 2017-02-09 株式会社東芝 Euv mask and manufacturing method of the same
US10018904B2 (en) 2015-08-04 2018-07-10 Toshiba Memory Corporation EUV mask and method for manufacturing same
JP2017167297A (en) * 2016-03-15 2017-09-21 東芝メモリ株式会社 Manufacturing method of lithography mask and manufacturing system thereof
US10012896B2 (en) 2016-03-15 2018-07-03 Toshiba Memory Corporation Lithography mask production method and lithography mask production system
CN109119328A (en) * 2017-06-22 2019-01-01 Imec 非营利协会 To the method for destination layer setting pattern
US11086215B2 (en) * 2017-11-15 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet mask with reduced mask shadowing effect and method of manufacturing the same

Similar Documents

Publication Publication Date Title
KR101596177B1 (en) Reflective mask and method for manufacturing same
US11187972B2 (en) Reflective mask blank, method of manufacturing reflective mask and method of manufacturing semiconductor device
JP5239762B2 (en) Reflective mask and reflective mask manufacturing method
JP6287046B2 (en) Reflective mask, reflective mask blank and manufacturing method thereof
JP2013120868A (en) Reflective mask blank, reflective mask, and manufacturing method therefor
JP2014090132A (en) Reflective mask and manufacturing method thereof
JP5990961B2 (en) Reflective mask
JP5240396B2 (en) Reflective mask and reflective mask manufacturing method
JP5970901B2 (en) REFLECTIVE MASK AND METHOD FOR PRODUCING REFLECTIVE MASK
JP6225478B2 (en) Reflective mask
JP2014232844A (en) Method for manufacturing reflective mask
JP5884565B2 (en) Reflective mask and method of manufacturing the same
JP2014183075A (en) Reflective mask, and method of manufacturing the same
JP5742300B2 (en) REFLECTIVE MASK BLANK AND ITS MANUFACTURING METHOD, REFLECTIVE MASK
JP2011181657A (en) Reflection type mask, and method of repairing the same
JP6260149B2 (en) Reflective mask blank and reflective mask
US20170306475A1 (en) Reflective mask, reflective mask blank, and manufacturing method therefor
JP6070109B2 (en) Reflective mask and method of manufacturing the same
JP5803517B2 (en) Reflective mask, mask blank, and manufacturing method thereof
JP5909964B2 (en) Reflective mask blank and reflective mask
JP6281205B2 (en) Reflective mask
JP5796307B2 (en) Reflective mask blank and manufacturing method thereof
JP2018005108A (en) Reflection type photomask blank and reflection type mask
TWI808103B (en) Substrate with multilayer reflective film, reflective mask substrate, reflective mask, and manufacturing method of semiconductor device
JP2016134472A (en) Reflective mask blank, manufacturing method therefor and reflective mask

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20150918

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20160610

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160614

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20161213