JP2012521659A - Plasma etching method - Google Patents

Plasma etching method Download PDF

Info

Publication number
JP2012521659A
JP2012521659A JP2012502003A JP2012502003A JP2012521659A JP 2012521659 A JP2012521659 A JP 2012521659A JP 2012502003 A JP2012502003 A JP 2012502003A JP 2012502003 A JP2012502003 A JP 2012502003A JP 2012521659 A JP2012521659 A JP 2012521659A
Authority
JP
Japan
Prior art keywords
etching
substrate
pattern
width
flow rate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2012502003A
Other languages
Japanese (ja)
Inventor
裕樹 金高
俊久 小津
正彦 高橋
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2012521659A publication Critical patent/JP2012521659A/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

マスクパターンを基板上に形成した後にエッチングプロセスによって所望のパターンを形成するように前記基板を処理する方法は、前記基板上に2つの層を形成する工程、前記マスクパターン又は前記2つの層のうちの1層のエッチングパターンの幅を測定する工程、並びに、前記の測定された幅に基づいて、前記エッチングプロセスにおいて用いられるHBr及び他の気体のうちのいずれか1つの流速を調節する工程を有する。前記2つの層は、シリコン窒化物層及び有機誘電層を有して良い。  A method of processing the substrate so as to form a desired pattern by an etching process after forming a mask pattern on the substrate includes forming two layers on the substrate, the mask pattern or the two layers. Measuring the width of one layer of the etching pattern, and adjusting the flow rate of any one of HBr and other gases used in the etching process based on the measured width . The two layers may include a silicon nitride layer and an organic dielectric layer.

Description

本発明は、半導体デバイス及び当該半導体デバイスの製造方法に関する。より詳細には本発明は、所望の限界寸法(CD)値を有する高分解能パターンを供するプラズマエッチング法に関する。   The present invention relates to a semiconductor device and a method for manufacturing the semiconductor device. More particularly, the present invention relates to a plasma etching method that provides a high resolution pattern having a desired critical dimension (CD) value.

半導体製造プロセスにおいては、レジストパターンを形成するのにフォトリソグラフィ技術が用いられる。フォトリスグラフィ技術では、最初にレジスト溶液が、半導体基板又は液晶ディスプレイ(LCD)基板上にコーティングされる。フォトマスクを用いることによって、レジスト膜は、強い光に曝露され、その後現像される。その結果、所望のレジストパターンが、半導体基板又はLCD基板上に形成される。所望のレジストパターン形成後、半導体基板又はLCD基板をエッチングするためのエッチングプロセスが行われる。   In the semiconductor manufacturing process, a photolithography technique is used to form a resist pattern. In photolithography technology, a resist solution is first coated on a semiconductor substrate or a liquid crystal display (LCD) substrate. By using a photomask, the resist film is exposed to intense light and then developed. As a result, a desired resist pattern is formed on the semiconductor substrate or the LCD substrate. After forming a desired resist pattern, an etching process for etching the semiconductor substrate or the LCD substrate is performed.

たとえ上述したプロセス工程が、一定のプロセス条件下で行われるとしても、上述したプロセス工程のいずれのプロセス結果も目標値を満たすことができないことが知られている。そのようなことが起こる理由は、意図しない因子−たとえば基板表面の条件、周囲の圧力、並びに温度及び相対湿度の揺らぎ−の存在によるものである。   Even if the process steps described above are performed under certain process conditions, it is known that none of the process results of the process steps described above can meet the target value. The reason why this occurs is due to the presence of unintended factors such as substrate surface conditions, ambient pressure, and temperature and relative humidity fluctuations.

従来、一定数の基板を処理した後、検査用に1つの基板が抜き取られる。検査中、様々なパラメータが測定され、かつ、プロセス条件が検査結果に基づいて適切であるか否かについての判断がなされる。それらのパラメータの例には、コーティングプロセス後のレジスト膜の厚さ、現像プロセス後のレジストパターンの線幅又は限界寸法(CD)、レジストパターンに対する底部パターンの一致精度、現像された表面の不一致、現像の欠陥、エッチングされた基板の線幅又は限界寸法(CD)、及びエッチングプロセス後のエッチングされた表面上の欠陥が含まれる。   Conventionally, after processing a certain number of substrates, one substrate is extracted for inspection. During the inspection, various parameters are measured and a determination is made as to whether the process conditions are appropriate based on the inspection results. Examples of these parameters are resist film thickness after coating process, resist pattern line width or critical dimension (CD) after development process, bottom pattern matching accuracy to resist pattern, developed surface mismatch, Development defects, etched substrate linewidth or critical dimension (CD), and defects on the etched surface after the etching process are included.

よって各プロセス工程についてのプロセス条件は、検査結果に基づいてなされた判断に従って修正することができる。この非常に問題を起こしやすい修正操作は、熟練オペレータによって実行することができる。修正動作を補助するため、レジストパターン形成プロセスが、特許文献1において提案された。このプロセスでは、各特定の測定されたパラメータに関連する所定の組の修正パラメータが最初に決定される。続いて所定の組の修正パラメータは、自動化された検査の結果に従って修正される。   Therefore, the process conditions for each process step can be corrected according to the judgment made based on the inspection result. This highly problematic correction operation can be performed by an experienced operator. In order to assist the correction operation, a resist pattern formation process has been proposed in Patent Document 1. In this process, a predetermined set of modified parameters associated with each particular measured parameter is first determined. Subsequently, the predetermined set of correction parameters is corrected according to the result of the automated inspection.

たとえばエッチングされた基板の線幅又は限界寸法(CD)が、特定の測定されたパラメータであるとする場合、以下の修正パラメータが、目標値を実現するために修正されて良い。その修正パラメータとは、1)露光強度、2)加熱時間、3)現像時間、4)エッチング時間、及び5)エッチングガスの組成比である。しかし特許文献1は、どのようにして、ガスの組成比が、限界寸法(CD)の所望の目標値を実現するエッチングプロセスに影響するのかを明らかにしていない。   For example, if the etched substrate line width or critical dimension (CD) is a specific measured parameter, the following correction parameters may be modified to achieve the target value. The correction parameters are 1) exposure intensity, 2) heating time, 3) development time, 4) etching time, and 5) composition ratio of etching gas. However, Patent Document 1 does not clarify how the gas composition ratio affects the etching process that achieves a desired target value of the critical dimension (CD).

さらに特許文献2では、基板処理プロセスが開示されている。その基板処理プロセスでは、レジストパターンの限界寸法(CD)が、エッチング工程後の所望の回路パターンを形成するために厳密に測定される。このプロセスでは、レジストパターンの限界寸法(CD)が最初に測定される。その後その測定結果は、処理条件を調節するエッチングプロセスユニットへフィードフォワードされる。最適な処理条件を設定することによって、エッチングプロセス後、厳密で所望の回路パターンを得ることができる。この手法は、測定されたレジスト膜の限界寸法(CD)に基づいて所望のパターンをエッチングするフィードフォワード方法を供する。しかし特許文献1と同様に、特許文献2も、所望の限界寸法(CD)を実現するための、エッチングガスの種類及びその組成比についての特定の条件を指摘できていない。   Further, Patent Document 2 discloses a substrate processing process. In the substrate processing process, the critical dimension (CD) of the resist pattern is strictly measured to form a desired circuit pattern after the etching process. In this process, the critical dimension (CD) of the resist pattern is first measured. The measurement results are then fed forward to an etching process unit that adjusts the processing conditions. By setting optimum processing conditions, a strict and desired circuit pattern can be obtained after the etching process. This technique provides a feedforward method for etching a desired pattern based on the measured critical dimension (CD) of the resist film. However, like Patent Document 1, Patent Document 2 cannot point out specific conditions regarding the type of etching gas and its composition ratio in order to achieve a desired critical dimension (CD).

出願公開第2002/190446号明細書Published Application No. 2002/190446 出願公開第2003/209093号明細書Application Publication No. 2003/209093 Specification

本発明は、上述の問題の観点から提案される。本発明は、特定の種類のエッチングガス及びその組成比を用いて、所望の限界寸法(CD)を有する高分解能パターンを生成するプロセスを供する。   The present invention is proposed in view of the above problems. The present invention provides a process for producing a high resolution pattern having a desired critical dimension (CD) using a particular type of etching gas and its composition ratio.

本発明の第1態様によると、基板上にマスクパターンを形成した後に、エッチングプロセスによって所望のパターンを形成するように前記基板を処理する方法が供される。当該方法は、シリコン窒化物層と有機誘電層を有する2つの層を前記基板上に形成する工程、前記マスクパターン又は前記2つの層のうちの1つのエッチングされたパターンの幅を測定する工程、及び、前記の測定された幅に基づいて、前記エッチングプロセスにおいて用いられるHBr及び他の気体のうちのいずれか1つの流速を調節する工程を有する。   According to the first aspect of the present invention, there is provided a method of processing a substrate so as to form a desired pattern by an etching process after forming a mask pattern on the substrate. The method includes forming two layers having a silicon nitride layer and an organic dielectric layer on the substrate, measuring a width of the mask pattern or an etched pattern of one of the two layers, And adjusting a flow rate of any one of HBr and other gases used in the etching process based on the measured width.

本発明の第2態様によると、基板上にマスクパターンを形成した後に、エッチングプロセスによって所望のパターンを形成するように前記基板を処理する方法が供される。当該方法は、シリコン窒化物層と、有機誘電層と、シリコン含有反射防止コーティング層を有する3つの層を前記基板上に形成する工程、前記マスクパターン又は前記3つの層のうちの1つのエッチングされたパターンの幅を測定する工程、及び、前記の測定された幅に基づいて、前記エッチングプロセスにおいて用いられるCF4及びCHF3のうちのいずれか1つの流速を調節する工程を有する。 According to the second aspect of the present invention, there is provided a method of processing a substrate so as to form a desired pattern by an etching process after forming a mask pattern on the substrate. The method includes forming three layers on the substrate having a silicon nitride layer, an organic dielectric layer, and a silicon-containing antireflection coating layer, the mask pattern or one of the three layers being etched. Measuring the width of the pattern, and adjusting the flow rate of any one of CF 4 and CHF 3 used in the etching process based on the measured width.

プラズマエッチングプロセスの前後における目標構造の実施例を概略的に図示している。Figure 2 schematically illustrates an example of a target structure before and after a plasma etching process. シリコン窒化物(SiN)層をパターニングした後の目標構造の代替実施例及び実験用試料の断面像を概略的に図示している。FIG. 3 schematically illustrates an alternative embodiment of a target structure after patterning a silicon nitride (SiN) layer and a cross-sectional image of an experimental sample. プラズマプロセス装置の実施例の概略図を表している。1 shows a schematic diagram of an embodiment of a plasma process apparatus. コーター現像装置と一体化した線幅測定装置の実施例の概略図を表している。1 is a schematic view of an embodiment of a line width measuring device integrated with a coater developing device. エッチング装置と一体化した線幅測定装置の代替実施例の概略図を表している。Fig. 6 shows a schematic view of an alternative embodiment of a line width measuring device integrated with an etching device. 複数の層がエッチングされる場合でのパターンの線幅を調節するプロセスの実施例を図示している。Fig. 4 illustrates an example of a process for adjusting the line width of a pattern when multiple layers are etched. 単独で用いられている線幅測定装置の代替実施例の概略図を表している。Fig. 2 represents a schematic diagram of an alternative embodiment of a line width measuring device used alone. 各特定の層でのプラズマエッチングプロセスの実行後における密なパターンと疎なパターンを表す実験用試料の断面図を表している。FIG. 6 shows a cross-sectional view of an experimental sample showing dense and sparse patterns after performing a plasma etching process on each particular layer. 実験用試料及びその限界寸法(CD)をオーバーエッチング(OE)処理時間の関数として表している。The experimental sample and its critical dimension (CD) are represented as a function of overetch (OE) processing time. 実験用試料及びその限界寸法(CD)をHBrの流速の関数として表している。The experimental sample and its critical dimension (CD) are expressed as a function of the HBr flow rate. 様々なエッチングガスの種類についての密なパターン及び疎なパターンを示す実験用試料の断面図を表している。FIG. 3 shows a cross-sectional view of an experimental sample showing dense and sparse patterns for various etching gas types. 実験用試料及びその限界寸法(CD)をAr/HBr/O2の一連の流速の関数として表している。The experimental sample and its critical dimension (CD) are expressed as a function of a series of Ar / HBr / O 2 flow rates. 実験用試料及びその限界寸法(CD)の断面図を表している。2 shows a cross-sectional view of an experimental sample and its critical dimension (CD). 各マスク層のマイクロ波出力、RF出力、及びRF電圧を時間の関数として表している。The microwave output, RF output, and RF voltage of each mask layer are represented as a function of time. 実験用試料及びその限界寸法(CD)の断面図を表している。2 shows a cross-sectional view of an experimental sample and its critical dimension (CD). 実験用試料及びその限界寸法(CD)の断面図を表している。2 shows a cross-sectional view of an experimental sample and its critical dimension (CD).

以降では、典型的な好適実施例が図示された添付図面を参照しながら、本発明の実施例について説明する。以降の説明は、本開示の技術的範囲、利用可能性、又は構成を限定するものと解されてはならない。むしろ以降の典型的な好適実施例の説明は、本開示の典型的な好適実施例を実施することを可能にする記載を、当業者に供するものである。本発明は、特許請求の範囲で規定されている本発明の技術的思想及び技術的範囲から逸脱することなく様々な形態で本発明を実施できることに留意して欲しい。   In the following, embodiments of the present invention will be described with reference to the accompanying drawings, in which typical preferred embodiments are shown. The following description should not be construed as limiting the scope, applicability, or configuration of the disclosure. Rather, the following description of exemplary preferred embodiments provides those skilled in the art with a description that allows exemplary exemplary embodiments of the present disclosure to be implemented. It should be noted that the present invention can be implemented in various forms without departing from the technical idea and scope of the present invention as defined in the claims.

本開示は概して、半導体デバイス及び当該半導体デバイスの製造方法に関する。より具体的には本開示は、所望の限界寸法(CD)値を有する高分解能パターンを供するプラズマエッチング方法に関する。   The present disclosure generally relates to semiconductor devices and methods of manufacturing such semiconductor devices. More specifically, the present disclosure relates to a plasma etching method that provides a high resolution pattern having a desired critical dimension (CD) value.

本発明の実施例は、シリコン(Si)パターンの線幅又は限界寸法(CD)を制御するエッチングプロセスに関する。シリコン(Si)パターンは、シリコン窒化物(SiN)ハードマスクを用いて形成される。さらにシリコン窒化物(SiN)ハードマスクは、3層マスクパターンを用いて形成される。3層マスクパターンは、有機誘電層(ODL)を有する。所定の限界寸法(CD)を有する所望のシリコン(Si)パターンを得るため、シリコン(Si)基板上に形成されるシリコン窒化物(SiN)ハードマスクパターンの線幅又は限界寸法(CD)は、厳密に制御されなければならない。これは、有機誘電層(ODL)をパターニングすると同時に、窒素と酸素の混合雰囲気(N2/O2)中に臭化水素(HBr)を加えることによって実現される。 Embodiments of the present invention relate to an etching process that controls the line width or critical dimension (CD) of a silicon (Si) pattern. The silicon (Si) pattern is formed using a silicon nitride (SiN) hard mask. In addition, a silicon nitride (SiN) hard mask is formed using a three-layer mask pattern. The three-layer mask pattern has an organic dielectric layer (ODL). In order to obtain a desired silicon (Si) pattern having a predetermined critical dimension (CD), the line width or critical dimension (CD) of a silicon nitride (SiN) hard mask pattern formed on a silicon (Si) substrate is: Must be strictly controlled. This is achieved by patterning the organic dielectric layer (ODL) and simultaneously adding hydrogen bromide (HBr) in a mixed atmosphere of nitrogen and oxygen (N 2 / O 2 ).

臭化水素(HBr)を加え、かつHBrの流速を増大させることによって、酸素(O)が取り出されるので、水素(H)濃度はODL層の表面で減少する。炭素含有率の高い有機誘電層(ODL)が生成される。ODL層の炭素含有が高くなることで、有機誘電層(ODL)をより高剛性にする炭素−炭素結合が生成される。ODLの剛性は、特にCD値が目標値よりも小さいときに、水平方向のエッチング速度を減少させることによって、限界寸法(CD)の所定値を得るような良好な制御能力を与える。   By adding hydrogen bromide (HBr) and increasing the flow rate of HBr, oxygen (O) is extracted, so the hydrogen (H) concentration decreases at the surface of the ODL layer. An organic dielectric layer (ODL) with a high carbon content is produced. Increasing the carbon content of the ODL layer produces carbon-carbon bonds that make the organic dielectric layer (ODL) more rigid. The stiffness of the ODL provides good control ability to obtain a predetermined value for the critical dimension (CD) by reducing the horizontal etch rate, especially when the CD value is smaller than the target value.

しかもODL層の炭素含有率が高いことで、ODLパターンの表面上での複数のBr-C結合が生成される。その結果、臭化炭素(CBrx)の薄膜が、側壁保護として、ODLパターンの上に堆積される。これにより、ODLの限界寸法(CD)は大きくなる。 In addition, since the carbon content of the ODL layer is high, a plurality of Br—C bonds are generated on the surface of the ODL pattern. As a result, a thin film of carbon bromide (CBr x ) is deposited over the ODL pattern as sidewall protection. This increases the critical dimension (CD) of the ODL.

本発明の一の実施例によると、所望の限界寸法(CD)値は、有機誘電層(ODL)上での主エッチング(ME)工程の間に、臭化水素(HBr)の流速を調節することによって実現される。臭化水素(HBr)の流速を増大させることによって、ODLパターンの限界寸法(CD)の値は増加傾向を示す。   According to one embodiment of the present invention, the desired critical dimension (CD) value adjusts the hydrogen bromide (HBr) flow rate during the main etch (ME) step on the organic dielectric layer (ODL). Is realized. By increasing the flow rate of hydrogen bromide (HBr), the critical dimension (CD) value of the ODL pattern tends to increase.

他の実施例によると、ODLパターンの限界寸法(CD)はまた、主エッチング(ME)工程の終了後に、オーバーエッチング(OE)工程を実行することによっても調節されて良い。オーバーエッチング(OE)工程では、所望の限界寸法(CD)値は、酸素に対する窒素の比(N2/O2)を調節し、かつ適切な量の臭化水素(HBr)を加えることによって実現されて良い。従って、実際のCD値と目標値との間の差異が相対的に大きい場合、主エッチング(ME)プロセスの調節が行われて良く、かつ、その差異が相対的に大きいときには、オーバーエッチング(OE)プロセスの調節が行われて良い。 According to another embodiment, the critical dimension (CD) of the ODL pattern may also be adjusted by performing an overetch (OE) process after the main etch (ME) process is completed. The over-etching (OE) step, the desired critical dimension (CD) value, achieved by the addition of adjusting the ratio of nitrogen to oxygen (N 2 / O 2), and an appropriate amount of hydrogen bromide (HBr) May be good. Therefore, if the difference between the actual CD value and the target value is relatively large, the main etching (ME) process may be adjusted, and if the difference is relatively large, the overetch (OE ) Process adjustments may be made.

一の実施例では、限界寸法(CD)値は、オーバーエッチング期間を延ばす一方で、臭化水素(HBr)の流速を一定値に設定することによって増大して良い。代替実施例では、限界寸法(CD)値は、臭化水素(HBr)の流速を増加させることによって増大させても良い。これにより、全体の雰囲気中において他の気体に対する臭化水素(HBr)の組成比が大きくなる。   In one embodiment, the critical dimension (CD) value may be increased by setting the hydrogen bromide (HBr) flow rate to a constant value while extending the overetch period. In an alternative embodiment, the critical dimension (CD) value may be increased by increasing the hydrogen bromide (HBr) flow rate. This increases the composition ratio of hydrogen bromide (HBr) to other gases in the entire atmosphere.

さらに他の実施例によると、ODLでの所望の限界寸法(CD)値は、オーバーエッチング(OE)工程において、酸素に対する窒素の比(N2/O2)を調節し、かつ塩素(Cl2)を加えることによって、実現されて良い。 According to yet another embodiment, the desired critical dimension (CD) value in the ODL adjusts the ratio of nitrogen to oxygen (N 2 / O 2 ) and chlorine (Cl 2 ) in an overetch (OE) process. ) Can be added.

さらに他の実施例によると、所望の限界寸法(CD)値は、有機誘電層(ODL)をパターニングしながら、酸素と窒素(N2/O2)の代わりに、アルゴンと酸素(Ar/O2)の混合雰囲気中に臭化水素(HBr)を加えることによって実現されて良い。この実施例では、ODL層の限界寸法(CD)は、酸素(O2)の流速を増大させることによって増加しても良い。 According to yet another embodiment, the desired critical dimension (CD) value can be obtained by patterning an organic dielectric layer (ODL) while using argon and oxygen (Ar / O 2 ) instead of oxygen and nitrogen (N 2 / O 2 ). It may be realized by adding hydrogen bromide (HBr) in the mixed atmosphere of 2 ). In this embodiment, the critical dimension (CD) of the ODL layer may be increased by increasing the oxygen (O 2 ) flow rate.

本発明の代替実施例によると、所定の限界寸法(CD)値を有する所望のパターンは、シリコン含有反射防止コーティング(Si-ARC)層をパターニングする一方で実現されて良い。この実施例では、Si-ARC層の線幅又は限界寸法は、トリフルオロメタンガスに対するテトラフルオロメタンガスの比(CF4/CHF3)を調節することによって増大又は減少して良い。 According to an alternative embodiment of the present invention, a desired pattern having a predetermined critical dimension (CD) value may be achieved while patterning a silicon-containing anti-reflective coating (Si-ARC) layer. In this embodiment, the line width or critical dimension of the Si-ARC layer may be increased or decreased by adjusting the ratio of tetrafluoromethane gas to trifluoromethane gas (CF 4 / CHF 3 ).

さらに他の実施例によると、所定の限界寸法(CD)値を有する所望のパターンは、RFバイアス電源のレベルを調節することによって実現されて良い。この実施例では、限界寸法(CD)値は、印加されたRFバイアスレベル(出力)に比例する。このことは、RFバイアスレベルが高くなればなるほど、限界寸法(CD)値が大きくなることを意味する。逆に、RFバイアスレベルが低くなれば、与えられる限界寸法(CD)値も小さくなる。   According to yet another embodiment, a desired pattern having a predetermined critical dimension (CD) value may be achieved by adjusting the level of the RF bias power supply. In this example, the critical dimension (CD) value is proportional to the applied RF bias level (output). This means that the higher the RF bias level, the greater the critical dimension (CD) value. Conversely, if the RF bias level is lowered, the given critical dimension (CD) value is also reduced.

上述の実施例において調節されるパラメータ−たとえばODLパターニング工程での臭化水素(HBr)の流速、Si-ARCパターニング工程での(CF4/CHF3)比、及びRFバイアスレベル−は、レジストパターン又は任意のマスクパターンの限界寸法(CD)の測定に基づいて決定される。 Parameters adjusted in the above-described embodiments, such as the hydrogen bromide (HBr) flow rate in the ODL patterning process, the (CF 4 / CHF 3 ) ratio in the Si-ARC patterning process, and the RF bias level, Alternatively, it is determined based on measurement of a critical dimension (CD) of an arbitrary mask pattern.

一の実施例によると、現像プロセス後における半導体基板中のレジストパターンの測定値は、以降の層−たとえば同一の半導体基板中の有機誘電層(ODL)又はシリコン含有反射防止コーティング(Si-ARC)層−のエッチング工程を実行するための適切な設定条件を決定するのに用いられる。   According to one embodiment, the measured value of the resist pattern in the semiconductor substrate after the development process is obtained from a subsequent layer--for example, an organic dielectric layer (ODL) or silicon-containing antireflection coating (Si-ARC) in the same semiconductor substrate. It is used to determine the appropriate set-up conditions for performing the layer etching process.

他の実施例によると、一の半導体基板中の有機誘電層(ODL)又はシリコン含有反射防止コーティング(Si-ARC)層のレジストパターン又はエッチングパターンの測定値は、他の半導体基板でエッチング工程を実行するための適切な設定条件を決定するのに用いられる。   According to another embodiment, a resist pattern or etching pattern measurement of an organic dielectric layer (ODL) or a silicon-containing anti-reflective coating (Si-ARC) layer in one semiconductor substrate may be performed on another semiconductor substrate. Used to determine the appropriate setting conditions for execution.

さらに他の実施例によると、半導体基板中での有機誘電層(ODL)又はシリコン含有反射防止コーティング(Si-ARC)層のエッチングパターンの測定値は、同一の半導体基板でエッチング工程を実行する間での適切な設定条件を決定するのに用いられる。   According to yet another embodiment, the measured value of the etching pattern of the organic dielectric layer (ODL) or the silicon-containing antireflection coating (Si-ARC) layer in the semiconductor substrate is measured while performing the etching process on the same semiconductor substrate. Used to determine the appropriate setting conditions in

最初に図1を参照すると、プラズマエッチングプロセス前後の目標構造10の実施例が図示されている。図1に図示されているように、目標構造10は、シリコン(Si)基板12、ハードマスクシリコン窒化物(SiN)層14、及び3層構造16を有して良い。3層構造16は、有機誘電層(ODL)16a、シリコン含有反射防止コーティング(Si-ARC)層16b、及びレジストパターン16cを有する。最終的なシリコン(Si)パターンを厳密に制御するため、SiN層14のハードマスクパターンが、Si基板12上で正確に形成されなければならない。SiN層14のハードマスクパターンの所望の形状(CD値又は線幅を含む)を実現するため、SiN層14のハードマスクパターンは、3層構造16(16a,16b,16c)を用いることによってエッチングされて良い。より具体的には、所望のレジストパターン16cの形成後、Si-ARC層16b、ODL層16a、及びハードマスクシリコン窒化物(SiN)層14についてそれぞれ後続のエッチングプロセスが実行され、ハードマスクとしてのSiN層14を介してシリコン(Si)基板12をエッチングすることによって、Si基板12にパターン全体が転写される。一部の残されたSiNパターン14を有する最終的なシリコン(Si)基板パターン12もまた図1に図示されている。   Referring initially to FIG. 1, an example of a target structure 10 before and after a plasma etching process is illustrated. As shown in FIG. 1, the target structure 10 may include a silicon (Si) substrate 12, a hard mask silicon nitride (SiN) layer 14, and a three-layer structure 16. The three-layer structure 16 includes an organic dielectric layer (ODL) 16a, a silicon-containing antireflection coating (Si-ARC) layer 16b, and a resist pattern 16c. In order to strictly control the final silicon (Si) pattern, the hard mask pattern of the SiN layer 14 must be accurately formed on the Si substrate 12. In order to realize the desired shape (including CD value or line width) of the hard mask pattern of the SiN layer 14, the hard mask pattern of the SiN layer 14 is etched by using a three-layer structure 16 (16a, 16b, 16c) May be good. More specifically, after the formation of the desired resist pattern 16c, a subsequent etching process is performed on each of the Si-ARC layer 16b, the ODL layer 16a, and the hard mask silicon nitride (SiN) layer 14 to form a hard mask. By etching the silicon (Si) substrate 12 through the SiN layer 14, the entire pattern is transferred to the Si substrate 12. The final silicon (Si) substrate pattern 12 with some remaining SiN patterns 14 is also illustrated in FIG.

前述したように、意図しない因子−たとえば基板表面の条件、周囲の圧力、並びに温度及び相対湿度の揺らぎ−が存在するため、レジストパターン16cの線幅又は限界寸法(CD)は、所望の目標値を満たすことができない。従って後続のエッチングプロセスは、Si-ARC、ODL、及びシリコン(Si)基板12の所望の目標パターンを与えることができない。上述の点を評価するため、最初に代替目標構造に基づいて、実験用試料が製造される。続いて実験用試料は、従来のプラズマエッチングプロセスを受ける。以降では、プラズマエッチングプロセスを実行した後における、所望の目標パターンを有する代替目標構造について詳述する。   As described above, because there are unintended factors such as substrate surface conditions, ambient pressure, and temperature and relative humidity fluctuations, the line width or critical dimension (CD) of the resist pattern 16c is set to a desired target value. Can't meet. Thus, subsequent etching processes cannot provide the desired target pattern of Si-ARC, ODL, and silicon (Si) substrate 12. To evaluate the above points, an experimental sample is first manufactured based on an alternative target structure. The experimental sample is then subjected to a conventional plasma etching process. Hereinafter, the alternative target structure having a desired target pattern after performing the plasma etching process will be described in detail.

次に図2を参照すると、プラズマエッチングプロセスの実行に用いられる目標構造20の代替実施例が図示されている。目標構造20と目標構造10とは、追加の二酸化シリコン(SiO2)が、シリコン基板層12とハードマスクであるシリコン窒化物(SiN)層14との間に介在する点で異なる。目標構造10と同様に、3層構造16が、ハードマスクであるシリコン窒化物(SiN)層14上に形成される。この実施例では、レジストパターン16cについての所望の限界寸法(CD)は、約40〜45nmに設定される。そのような具体例は例示目的で示されているのであって限定を意図したものでないことに留意して欲しい。プラズマエッチングプロセス後の所望の目標パターンもまた図2で概略的に図示されている。 Referring now to FIG. 2, an alternative embodiment of the target structure 20 used to perform a plasma etching process is illustrated. The target structure 20 and the target structure 10 are different in that additional silicon dioxide (SiO 2 ) is interposed between the silicon substrate layer 12 and the silicon nitride (SiN) layer 14 that is a hard mask. Similar to the target structure 10, a three-layer structure 16 is formed on a silicon nitride (SiN) layer 14, which is a hard mask. In this embodiment, the desired critical dimension (CD) for the resist pattern 16c is set to about 40 to 45 nm. Note that such embodiments are shown for illustrative purposes and are not intended to be limiting. The desired target pattern after the plasma etching process is also schematically illustrated in FIG.

シリコン窒化物(SiN)層14のパターニング後の実験用試料の断面図が図2に表されている。図2に図示されているように、シリコン窒化物のパターンの限界寸法(CD)は約33.4nmで、所望の限界寸法(40〜45nm)と比較して約7nm小さい。パターン間の測定距離は約65.7nmである一方、測定されたパターンの高さは約49.9nmである。   A cross-sectional view of the experimental sample after patterning of the silicon nitride (SiN) layer 14 is shown in FIG. As shown in FIG. 2, the critical dimension (CD) of the silicon nitride pattern is about 33.4 nm, which is about 7 nm smaller than the desired critical dimension (40-45 nm). The measured distance between patterns is about 65.7 nm, while the measured pattern height is about 49.9 nm.

従来のプラズマエッチングプロセスでは、ほとんどのマスク材料がある程度等方的にエッチングされる。このことは、エッチングが、ある程度水平的にも進むことを意味している。従って、プラズマエッチングプロセスが、層−たとえば有機誘電層(ODL)16a−に用いられるとき、ODL16aの垂直エッチングと同時に、側部エッチングも起こる。その結果、ODL16aのマスクパターンの断面形状は、所望の長方形の形状とはかけ離れたものになり、代わりにたとえば先細りのスカート形状となる。よってODLマスクを介してエッチングされたSiN層14は、設計したような目標形状とはならない。理想的には、水平方向ではエッチングが起こらない指向性エッチングが好ましい。しかし実際には、水平方向でのエッチング速度の小さな異方性エッチングが望ましい。   In conventional plasma etching processes, most mask materials are etched isotropically to some extent. This means that the etching proceeds to some extent horizontally. Thus, when a plasma etching process is used for a layer, such as an organic dielectric layer (ODL) 16a, side etching also occurs simultaneously with the vertical etching of ODL 16a. As a result, the cross-sectional shape of the mask pattern of the ODL 16a is far from the desired rectangular shape, and instead becomes, for example, a tapered skirt shape. Therefore, the SiN layer 14 etched through the ODL mask does not have the target shape as designed. Ideally, directional etching that does not cause etching in the horizontal direction is preferable. However, in practice, anisotropic etching with a low etching rate in the horizontal direction is desirable.

ODL層16aの横方向エッチングに対し、かつSiN層14のパターンの限界寸法(CD)を制御する対抗策として、本発明は、プラズマオーバーエッチング(OE)プロセスを供する。プラズマOEプロセスでは、有機誘電層(ODL)16aをパターニングする一方で、ある量の臭化水素(HBr)が、酸素と窒素の混合雰囲気(N2/O2)中に加えられる。臭化水素(HBr)の追加に関する様々なプロセス条件が調査された。これらの調査は主として、ODLの側壁の保護機構を確かめるため、及びエッチングしながら限界寸法(CD)を制御する方法を確立するために実行される。それらのプロセス条件の例には、HBrの流速、エッチング時間、エッチングガスの種類、基板に印加されたバイアス電力、及び組成比が含まれて良い。 The present invention provides a plasma over-etching (OE) process for lateral etching of the ODL layer 16a and as a countermeasure to control the critical dimension (CD) of the pattern of the SiN layer. In the plasma OE process, an organic dielectric layer (ODL) 16a is patterned while a certain amount of hydrogen bromide (HBr) is added into a mixed atmosphere of oxygen and nitrogen (N 2 / O 2 ). Various process conditions for the addition of hydrogen bromide (HBr) were investigated. These studies are primarily performed to verify the ODL sidewall protection mechanism and to establish a method for controlling critical dimension (CD) while etching. Examples of these process conditions may include HBr flow rate, etch time, etch gas type, bias power applied to the substrate, and composition ratio.

他方、本発明のプラズマエッチングプロセス中では、シリコン(Si)基板12上に所定の限界寸法(CD)を有する高分解能の(正確な)パターンを供するために複数の制御方法が用いられて良い。それらの制御方法の例には、フィードフォワード制御プロセス、フィードバック制御システム、及び動的(その場)制御プロセスが含まれて良い。以降では、上述の制御プロセスの各々について個別に詳述する。   On the other hand, during the plasma etching process of the present invention, a plurality of control methods may be used to provide a high resolution (accurate) pattern having a predetermined critical dimension (CD) on the silicon (Si) substrate 12. Examples of these control methods may include feedforward control processes, feedback control systems, and dynamic (in situ) control processes. Hereinafter, each of the above-described control processes will be described in detail.

一の実施例では、所定の限界寸法(CD)を有するパターンを得るためにフィードフォワードプロセス制御が用いられる。この実施例では、最初にレジストパターン16cの線幅又は限界寸法(CD)が、任意の市販された装置を用いて測定される。光学測定−たとえばスキャッタロメトリ−が組み込まれた計測(IM)装置が採用されて良い。以降で詳述するように、一部の実施例では、線幅(CD)測定装置がコーター現像装置に組み込まれる。前記コーター現像装置では、後続のエッチングプロセスのために基板がエッチング装置に搬送される前に、露光後のフォトレジストの潜像又は現像されたCDの値が測定される。他の実施例では、CDの測定は、エッチング装置と組み合わせられたIM装置内で実行されて良い。前記IM装置内では、実際のエッチングプロセスが開始される前に、CDの測定が行われる。代替実施例では、CD測定は、IM装置の代わりに単独の測定系によって実行されても良い。線幅又はCD測定装置についての詳細な説明は以降で行う。レジストパターン16cの線幅又は限界寸法(CD)の測定後、レジストパターン16cの限界寸法(CD)がその所望の目標値を満たしているか否かが判断される。レジストパターン16cの限界寸法(CD)がその所望の目標値を満たしていない場合、プラズマエッチングガスの流速及び種類に関する適切な設定条件が最初に決定される。続いて後続のSi-ARC層16b又はODL層16aのエッチングプロセスのため、同一の半導体基板での設定条件が調節される。   In one embodiment, feedforward process control is used to obtain a pattern having a predetermined critical dimension (CD). In this example, the line width or critical dimension (CD) of the resist pattern 16c is first measured using any commercially available apparatus. An instrumentation (IM) device incorporating an optical measurement—for example, scatterometry—may be employed. As described in detail below, in some embodiments, a line width (CD) measurement device is incorporated into the coater development device. In the coater development apparatus, the latent image of the photoresist after exposure or the value of the developed CD is measured before the substrate is transferred to the etching apparatus for the subsequent etching process. In other embodiments, the CD measurement may be performed in an IM device combined with an etching device. In the IM apparatus, CD measurement is performed before the actual etching process is started. In an alternative embodiment, the CD measurement may be performed by a single measurement system instead of the IM device. A detailed description of the line width or CD measuring apparatus will be given later. After measuring the line width or the critical dimension (CD) of the resist pattern 16c, it is determined whether or not the critical dimension (CD) of the resist pattern 16c satisfies the desired target value. If the critical dimension (CD) of the resist pattern 16c does not meet its desired target value, appropriate setting conditions for the flow rate and type of plasma etching gas are first determined. Subsequently, for the subsequent etching process of the Si-ARC layer 16b or the ODL layer 16a, the setting conditions on the same semiconductor substrate are adjusted.

代替実施例では、所定の限界寸法(CD)を有するパターンを得るのにフィードバックプロセス制御が用いられる。この代替実施例では、Si-ARCパターン16b若しくはODL層16aの線幅又は限界寸法(CD)が最初に検査される。ODLパターン16a(Si-ARCパターン16b)の限界寸法(CD)が、その所望の目標値を満たすか否かが判断される。ODLパターン16a(Si-ARCパターン16b)の限界寸法(CD)がその所望の目標値を満たさない場合には、プラズマエッチングガスの流速及び種類に関する適切な設定条件が決定される。その設定条件は、エッチング装置へ送られ、その後他の半導体基板向けに調節され、シリコン(Si)基板12上のマスクパターン−たとえばSiNハードマスクパターン14、ODLパターン16a、Si-ARCパターン16b、及びレジストパターン16c−の所定の限界寸法(CD)が供される。   In an alternative embodiment, feedback process control is used to obtain a pattern having a predetermined critical dimension (CD). In this alternative embodiment, the line width or critical dimension (CD) of the Si-ARC pattern 16b or ODL layer 16a is first inspected. It is determined whether or not the critical dimension (CD) of the ODL pattern 16a (Si-ARC pattern 16b) satisfies the desired target value. When the critical dimension (CD) of the ODL pattern 16a (Si-ARC pattern 16b) does not satisfy the desired target value, appropriate setting conditions regarding the flow rate and type of the plasma etching gas are determined. The setting conditions are sent to the etching apparatus and then adjusted for other semiconductor substrates, and mask patterns on the silicon (Si) substrate 12, such as SiN hard mask pattern 14, ODL pattern 16a, Si-ARC pattern 16b, and A predetermined critical dimension (CD) of the resist pattern 16c- is provided.

さらに他の代替実施例では、動的プロセス制御(その場)が、所定の限界寸法(CD)を有するパターンを得るのに用いられて良い。この実施例では、最初にODLパターン16a若しくはSiNハードマスクパターン14の線幅又は限界寸法(CD)がエッチング中に測定され、かつ、プラズマエッチングガスの流速及び種類に関する適切な設定条件が、ODL層16a又はSiN層14のプラズマエッチングプロセス中に動的に調節される。以降では、エッチング装置及び線幅又はCD測定装置について個別に詳述する。   In yet another alternative embodiment, dynamic process control (in-situ) can be used to obtain a pattern having a predetermined critical dimension (CD). In this embodiment, the line width or critical dimension (CD) of the ODL pattern 16a or SiN hard mask pattern 14 is first measured during etching, and appropriate setting conditions regarding the flow rate and type of the plasma etching gas are determined by the ODL layer. 16a or SiN layer 14 is dynamically adjusted during the plasma etching process. Hereinafter, the etching apparatus and the line width or CD measurement apparatus will be described in detail.

[エッチング装置]
図3は、プラズマ処理装置30の実施例の概略図を表している。図3に図示されているように、プラズマ処理装置30は、処理容器120、半径ラインスロットプレート300、基板ホルダ140、及び誘電体窓160を有する。処理容器120は、基板ホルダ140付近に位置する底部17、及び、該底部17の周辺から上方に延在する円筒形側壁18を有する。処理容器120の上面は開口端である。誘電体窓160は、基板ホルダ140と対向するように設けられ、かつOリング20を介して処理容器120の上面を封止している。プラズマ処理装置30は、該装置30の処理条件と全体的な動作を制御する制御装置(図示されていない)をさらに有する。
[Etching device]
FIG. 3 shows a schematic diagram of an embodiment of the plasma processing apparatus 30. As shown in FIG. 3, the plasma processing apparatus 30 includes a processing vessel 120, a radial line slot plate 300, a substrate holder 140, and a dielectric window 160. The processing container 120 has a bottom 17 located near the substrate holder 140 and a cylindrical side wall 18 extending upward from the periphery of the bottom 17. The upper surface of the processing container 120 is an open end. The dielectric window 160 is provided so as to face the substrate holder 140 and seals the upper surface of the processing container 120 via the O-ring 20. The plasma processing apparatus 30 further includes a control device (not shown) that controls the processing conditions and overall operation of the apparatus 30.

外部マイクロ波発生装置15は、同軸導波管24及び低速波管28を介して半径ラインスロットプレート300に、所定の周波数−たとえば2.45GHz−のマイクロ波出力を供する。同軸導波管24は、中心導体25及び周辺導体26を有して良い。続いてマイクロ波出力は、半径ラインスロットプレート300上に供された複数のスロット29を介して誘電体窓160へ伝送される。マイクロ波発生装置15からのマイクロ波は、誘電体窓160の下方に電場を発生させる。その電場は、処理容器120内で、プラズマ気体−たとえば窒素(N2)気体又はアルゴン(Ar)気体−の励起を生じさせる。誘電体窓160の内面に供された凹部27は、処理容器120内部での実効的なプラズマ生成を可能にする。 The external microwave generator 15 provides a microwave output of a predetermined frequency, for example, 2.45 GHz, to the radial line slot plate 300 via the coaxial waveguide 24 and the slow wave tube 28. The coaxial waveguide 24 may have a center conductor 25 and a peripheral conductor 26. Subsequently, the microwave output is transmitted to the dielectric window 160 via a plurality of slots 29 provided on the radial line slot plate 300. The microwave from the microwave generator 15 generates an electric field below the dielectric window 160. The electric field causes excitation of a plasma gas, such as nitrogen (N 2 ) gas or argon (Ar) gas, in the processing vessel 120. The recess 27 provided on the inner surface of the dielectric window 160 enables effective plasma generation inside the processing vessel 120.

外部高周波電源37は、整合ユニット38及び電源柱39を介して、基板ホルダ140と電気的に接続する。高周波電源37は、基板に引き込まれるイオンエネルギーを制御するため、所定周波数−たとえば13.56MHz−のRFバイアス出力を発生させる。整合ユニット38は、RF電源37のインピーダンスと、電力供給装置−たとえば処理容器120−のインピーダンスとを整合させる。DC電源46を介した静電吸着力によって基板を保持するため、静電チャック41が基板ホルダ140の上面に供される。   The external high frequency power supply 37 is electrically connected to the substrate holder 140 via the matching unit 38 and the power supply column 39. The high frequency power source 37 generates an RF bias output of a predetermined frequency, for example, 13.56 MHz, in order to control ion energy drawn into the substrate. The matching unit 38 matches the impedance of the RF power source 37 with the impedance of the power supply device, for example, the processing container 120. An electrostatic chuck 41 is provided on the upper surface of the substrate holder 140 in order to hold the substrate by electrostatic attraction force via the DC power source 46.

プラズマ処理装置30は反応ガス供給部13をさらに有する。反応ガス供給部13の拡大図も図3に図示されている。図3に図示されているように、反応ガス供給部13は、基部注入器61を有して良い。基部注入器61は、誘電体窓160内部であって誘電体窓160の下面63よりも後方の位置に設けられている。反応ガス供給部13は基部ホルダ64をさらに有する。基部ホルダ64は、基部注入器61を保持する厚さ方向に、誘電体窓160を貫通する基部ホルダ64をさらに有する。基部注入器61の上面図も図3に図示されている。図3に図示されているように、複数の供給穴66が、基板ホルダ140に対向して設けられた平坦な壁面67上に供される。複数の供給穴66は、平坦な壁面67の中心で放射状に設けられている。   The plasma processing apparatus 30 further includes a reactive gas supply unit 13. An enlarged view of the reaction gas supply unit 13 is also shown in FIG. As shown in FIG. 3, the reactive gas supply unit 13 may include a base injector 61. The base injector 61 is provided inside the dielectric window 160 and at a position behind the lower surface 63 of the dielectric window 160. The reactive gas supply unit 13 further includes a base holder 64. The base holder 64 further includes a base holder 64 that penetrates the dielectric window 160 in the thickness direction that holds the base injector 61. A top view of the base injector 61 is also illustrated in FIG. As shown in FIG. 3, a plurality of supply holes 66 are provided on a flat wall surface 67 provided to face the substrate holder 140. The plurality of supply holes 66 are provided radially at the center of the flat wall surface 67.

反応ガス供給部13は気体ダクト68をさらに有する。図3に図示されているように、気体ダクト68は、同軸導波管24、半径ラインスロットプレート300、及び誘電体窓160から、中心導体25を通り抜けて、複数の供給穴66に到達する。気体供給システム72は、中心導体25の上端に形成された気体流入口69と接続する。気体供給システム72は、オンオフバルブ70及び制御装置−たとえばマスフローコントローラ−を有して良い。   The reaction gas supply unit 13 further includes a gas duct 68. As shown in FIG. 3, the gas duct 68 passes from the coaxial waveguide 24, the radial line slot plate 300, and the dielectric window 160 through the central conductor 25 to the plurality of supply holes 66. The gas supply system 72 is connected to a gas inlet 69 formed at the upper end of the center conductor 25. The gas supply system 72 may include an on / off valve 70 and a control device, such as a mass flow controller.

さらに反応ガスは、円筒形状の側壁18上に供された2つの気体ダクト89によって、処理容器120へ供給されて良い。反応ガスは、プラズマ励起気体及び材料気体のうちの少なくとも1であることに留意して欲しい。気体ダクト68と89から供給される反応ガスの流速を調節することによって、処理容器120内での材料気体の最適化された分解を実現することができる。   Further, the reaction gas may be supplied to the processing vessel 120 by two gas ducts 89 provided on the cylindrical side wall 18. Note that the reactive gas is at least one of a plasma excited gas and a material gas. By adjusting the flow rate of the reaction gas supplied from the gas ducts 68 and 89, an optimized decomposition of the material gas in the processing vessel 120 can be realized.

[線幅又はCD測定装置]
レジストパターン16c、シリコン含有反射防止コーティング(Si-ARC)層16b、有機誘電層(ODL)16a、又はシリコン窒化物(SiN)層14の線幅が、線幅測定装置を用いることによって、測定及び計算される。この装置は、単独型、コーター現像装置と一体化した型、又はエッチング装置と一体化した型のうちのいずれかであって良い。線幅測定装置がコーター現像装置に組み込まれるとき、レジストの潜像又は現像後のレジストの線幅は、プロセス後すぐに測定することができる。線幅測定装置がエッチング装置に組み込まれるとき、線幅も同様に、エッチング前後で測定することができる。他方、線幅又はCDの測定は、単独の測定システムを用いて実行されても良い。以降では、上述の実施例の各々について、個別に詳述する。
[Line width or CD measuring device]
The line width of the resist pattern 16c, the silicon-containing antireflection coating (Si-ARC) layer 16b, the organic dielectric layer (ODL) 16a, or the silicon nitride (SiN) layer 14 is measured and measured by using a line width measuring device. Calculated. This apparatus may be any of a single type, a type integrated with a coater developing device, or a type integrated with an etching apparatus. When the line width measuring device is incorporated into a coater developing device, the latent image of the resist or the line width of the resist after development can be measured immediately after the process. When the line width measuring device is incorporated in an etching apparatus, the line width can be measured before and after etching as well. On the other hand, line width or CD measurements may be performed using a single measurement system. Hereinafter, each of the above-described embodiments will be described in detail.

1) コーター現像装置と一体化した線幅測定装置
図4は、フォトレジスト形成装置40Aの全体構造と一体化した線幅測定装置402Aの実施例の概略図を表している。便宜上、フォトレジスト形成装置40Aの全体構造は簡略化されている。図4に図示されているように、フォトレジスト形成装置40Aの全体構造は、コーター現像装置400A及び露光装置420を有して良い。コーター現像装置400Aは露光装置402に取り付けられている。一方コーター現像装置400Aは、エッチング装置440と接続しても良い。
1) Line width measuring device integrated with coater developing device FIG. 4 shows a schematic diagram of an embodiment of a line width measuring device 402A integrated with the entire structure of the photoresist forming apparatus 40A. For convenience, the overall structure of the photoresist forming apparatus 40A is simplified. As shown in FIG. 4, the entire structure of the photoresist forming apparatus 40A may include a coater developing apparatus 400A and an exposure apparatus 420. The coater developing device 400A is attached to the exposure device 402. On the other hand, the coater developing device 400A may be connected to the etching device 440.

フォトレジスト形成装置40Aは、線幅測定装置402A、複数の処理ユニット(コーティングユニット又は現像ユニット)404A、及び2つの基板搬送ユニット406Aを有して良い。複数の処理ユニット404Aは、コーティングユニット及び/又は現像ユニットをさらに有して良い。基板搬送ユニット406Aは、フォトレジスト形成装置40Aの全体構造内の各異なる隣接部材間で基板を運ぶ機能を有する。さらに基板搬送ユニット406Aは、上下左右に移動可能な構造で、かつ垂直軸の周りで回転して良い。   The photoresist forming apparatus 40A may include a line width measuring device 402A, a plurality of processing units (coating units or developing units) 404A, and two substrate transfer units 406A. The plurality of processing units 404A may further include a coating unit and / or a developing unit. The substrate transport unit 406A has a function of transporting the substrate between different adjacent members in the overall structure of the photoresist forming apparatus 40A. Further, the substrate transport unit 406A has a structure that can move vertically and horizontally, and may rotate about a vertical axis.

現像プロセス実行後に、レジストパターンの線幅又は限界寸法(CD)が測定される。次の工程では、適切な設定条件−たとえばエッチングガスの流速−が、測定された線幅に基づいて計算される。続いてその適切な設定条件は、コーター現像装置400Aからエッチング装置440へフィードフォワードされる。一部の実施例では、測定された生のデータは、コーター現像装置400Aからエッチング装置440へ伝送され、かつ適切なエッチング条件が得られるように処理される。一部の実施例では、その適切な設定条件は、処理条件データベースを用いて、測定された生のデータから計算される(図示されていない)。処理条件データベースは、コンピュータ442のメモリ内の様々な処理条件を記憶する。   After executing the development process, the line width or critical dimension (CD) of the resist pattern is measured. In the next step, appropriate setting conditions, such as the flow rate of the etching gas, are calculated based on the measured line width. Subsequently, the appropriate setting conditions are fed forward from the coater developing device 400A to the etching device 440. In some embodiments, the measured raw data is transmitted from the coater developer 400A to the etcher 440 and processed to obtain the proper etch conditions. In some embodiments, the appropriate setting conditions are calculated from the measured raw data (not shown) using a processing condition database. The processing condition database stores various processing conditions in the memory of the computer 442.

2) エッチング装置と一体化した線幅測定装置
図5を参照すると、フォトレジスト形成装置40Bの全体構造の実施例の概略図が表されている。図5に図示されているように、フォトレジスト形成装置40Bの全体構造と、フォトレジスト形成装置40Aとは、線幅測定装置402Bがコーター現像装置400と一体化する代わりにエッチング装置440Bと一体化している点で異なる。他の部材は基本的に構造40Aと同一である。この実施例では、1)フィードフォワード制御プロセス、2)フィードバック制御プロセス、及び3)動的(その場)制御プロセスを含む3つの制御方法すべては、基板のパターンを制御するのに用いられて良い。
2) Line width measuring apparatus integrated with etching apparatus Referring to FIG. 5, a schematic diagram of an embodiment of the overall structure of a photoresist forming apparatus 40B is shown. As shown in FIG. 5, the overall structure of the photoresist forming apparatus 40B and the photoresist forming apparatus 40A are integrated with the etching apparatus 440B instead of the line width measuring apparatus 402B being integrated with the coater developing apparatus 400. Is different. The other members are basically the same as the structure 40A. In this embodiment, all three control methods including 1) feedforward control process, 2) feedback control process, and 3) dynamic (in situ) control process may be used to control the pattern of the substrate. .

フィードフォワード制御プロセスでは、現像された基板をエッチング装置440Bへ搬入した後、レジストパターンの線幅は、エッチング装置440B内の線幅測定装置402Bによって測定され、かつ、適切な設定条件−たとえばエッチングガスの流速−は、測定された線幅に基づいて計算される。その後その適切な設定条件は、エッチングプロセス用のエッチング装置440B内で調節される。   In the feedforward control process, after the developed substrate is carried into the etching apparatus 440B, the line width of the resist pattern is measured by the line width measuring apparatus 402B in the etching apparatus 440B, and an appropriate setting condition such as an etching gas is used. The flow rate of-is calculated based on the measured line width. Thereafter, the appropriate setting conditions are adjusted in the etching apparatus 440B for the etching process.

フィードバック制御プロセスでは、エッチングパターンの線幅は、線幅測定装置402Bによって測定され、かつ、適切な設定条件−たとえばエッチングガスの流速−は、測定された線幅に基づいて計算される。従って、他の基板のためのエッチングプロセスは、適切な設定条件下でエッチングプロセスを実行することによって最適化されて良い。   In the feedback control process, the line width of the etching pattern is measured by the line width measuring device 402B, and an appropriate setting condition, such as the flow rate of the etching gas, is calculated based on the measured line width. Thus, the etching process for other substrates may be optimized by performing the etching process under appropriate set conditions.

動的(その場)制御プロセスでは、エッチングパターンの線幅が、線幅測定装置402Bによって測定され、かつ、適切な設定条件−たとえばエッチングガスの流速−が、エッチングプロセス中に動的に調節される。上述したすべての制御プロセスでは、適切な設定条件は、処理条件データベースを用いることによって測定された生データから計算される(図5には図示されていない)。前記処理条件データベースは、コンピュータ442Bのメモリ内に様々な処理条件を記憶する。   In the dynamic (in-situ) control process, the line width of the etching pattern is measured by the line width measuring device 402B, and appropriate setting conditions, such as the flow rate of the etching gas, are dynamically adjusted during the etching process. The In all the control processes described above, the appropriate setting conditions are calculated from raw data measured by using a processing condition database (not shown in FIG. 5). The processing condition database stores various processing conditions in the memory of the computer 442B.

本発明の一の態様によると、多層構造は、連続的にエッチングされる必要がある。この実施例では、第1パターニング層の線幅が最初に測定される。続いて前記第1層付近に形成される第2層についての適切なエッチング条件が設定される。次の工程では、前記第2層は最適化されたエッチング条件を用いてエッチングされる。その後、前記のエッチングされた第2層の線幅が測定され、かつその後、第3層について適切なエッチング条件が設定される。このプロセスは、多層構造内の多数の層について継続されて良い。このようにして、最終的なエッチングパターンの線幅(CD値)は所望の目標値に近づく。線幅(CD値)の測定は、チャンバ外部に備えられたIMモジュール又はチャンバ内に備えられた線幅測定装置のいずれかによって実行されて良い。エッチングチャンバが備えられたこの線幅測定装置によって、主エッチング(ME)プロセス後にCDが測定され、かつ、オーバーエッチング(OE)プロセスについての好適エッチング条件は、CDを厳密に制御するように調節されて良い。   According to one aspect of the present invention, the multilayer structure needs to be etched continuously. In this example, the line width of the first patterning layer is first measured. Subsequently, appropriate etching conditions are set for the second layer formed in the vicinity of the first layer. In the next step, the second layer is etched using optimized etching conditions. Thereafter, the line width of the etched second layer is measured, and then appropriate etching conditions are set for the third layer. This process may be continued for multiple layers in the multilayer structure. In this manner, the line width (CD value) of the final etching pattern approaches the desired target value. The measurement of the line width (CD value) may be performed by either an IM module provided outside the chamber or a line width measuring device provided in the chamber. With this line width measurement device equipped with an etching chamber, CD is measured after the main etch (ME) process, and the preferred etch conditions for the overetch (OE) process are adjusted to tightly control the CD. Good.

例として、図1に図示された目標構造10は、多層構造と考えることができる。前段落に記載されたプロセスに従って各層のエッチングプロセスを実行するため、図6の構造を参照することで以降のプロセスを理解することができる。第1に、複数のHBr/O2比(条件)について単位時間当たりのCD変化値(ΔCD)が得られ、かつ表として記憶される。第2に、Si-ARC層16bの線幅が測定される。第3工程では、測定されたSi-ARCの線幅(CDs)と線幅の目標値(CDt)が計算される(CDt-CDs)。最後に、最適化されたHBr/O2の流速比が、差(CDt-CDs)及びODL層のオーバーエッチング時間(T)に基づいて得られる。前記最適化されたHBr/O2の流速比は、ODLエッチングプロセスのために前もって得られる。よってそのエッチングプロセスは、前記最適化されたHBr/O2の流速下で実行される。このようにして、最終的なODLパターン16aが、目標の線幅(CDt)に近い形状で得られる。Si-ARC層16bがフォトレジストマスク16cを用いてエッチングされる場合、CF4/CHF3の流速は上述のプロセスに従って最適化され、かつ、そのエッチングは、前記最適化されたCF4/CHF3の流速下で実行されることに留意して欲しい。あるエッチング条件(たとえばエッチングガス流速)では、CD値は、エッチング時間によって調節されても良い。さらにCD値は、複数のエッチングガスの流れの比(流速)とエッチング時間の両方を調節することによって変化して良い。 As an example, the target structure 10 illustrated in FIG. 1 can be considered a multilayer structure. Since the etching process of each layer is performed according to the process described in the previous paragraph, the subsequent processes can be understood with reference to the structure of FIG. First, CD change values (ΔCD) per unit time for a plurality of HBr / O 2 ratios (conditions) are obtained and stored as a table. Second, the line width of the Si-ARC layer 16b is measured. In the third step, the measured Si-ARC line width (CDs) and the target value (CDt) of the line width are calculated (CDt-CDs). Finally, an optimized HBr / O 2 flow rate ratio is obtained based on the difference (CDt-CDs) and the ODL layer overetch time (T). The optimized HBr / O 2 flow rate ratio is obtained in advance for the ODL etching process. The etching process is thus carried out under the optimized HBr / O 2 flow rate. In this way, the final ODL pattern 16a is obtained in a shape close to the target line width (CDt). When the Si-ARC layer 16b is etched using the photoresist mask 16c, the flow rate of CF 4 / CHF 3 is optimized according to the process described above, and the etching is performed using the optimized CF 4 / CHF 3 Note that it runs at a flow rate of. Under certain etching conditions (eg, etching gas flow rate), the CD value may be adjusted by the etching time. Further, the CD value may be changed by adjusting both the ratio (flow rate) of the flow of the plurality of etching gases and the etching time.

Si-ARC層16b上のレジストパターンの目標のCD値と測定された線幅との差異が、所定の閾値(トリミング能)を超える場合、Si-ARC層とODL層の両方を完成させるときに目標のCDt値を得るため、流速比(この特別な例ではHBr/O2とCF4/CHF3との比)は最適化されて良い。当業者が、測定されたレジストCD値と、エッチング開始前の目標のCD値とを比較することによって、Si-ARCエッチングプロセスの終了時に目標の値に到達できないと推定するときには、所定の閾値が得られても良い。このようにして、Si-ARC層とODL層の2つの連続する層のエッチングプロセスが完了するとき、線幅の目標値に到達することができる。この実施例では、HBr/O2とCF4/CHF3との流速比は、様々なパラメータ−たとえばエッチング時間や各層のエッチング形状−を考慮することによって決定される。この実施例では、Si-ARCの線幅の目標値及びODLの線幅の目標値は事前に与えられる。 When the difference between the target CD value of the resist pattern on the Si-ARC layer 16b and the measured line width exceeds a predetermined threshold (trimming ability), both the Si-ARC layer and the ODL layer are completed. To obtain the target CDt value, the flow rate ratio (in this particular example, the ratio of HBr / O 2 to CF 4 / CHF 3 ) may be optimized. When a person skilled in the art estimates that the target value cannot be reached at the end of the Si-ARC etching process by comparing the measured resist CD value with the target CD value before the start of etching, the predetermined threshold is It may be obtained. In this way, the target value of the line width can be reached when the etching process of two successive layers of the Si-ARC layer and the ODL layer is completed. In this embodiment, the flow rate ratio between HBr / O 2 and CF 4 / CHF 3 is determined by considering various parameters such as etching time and etching shape of each layer. In this embodiment, the target value of the line width of Si-ARC and the target value of the line width of ODL are given in advance.

3) 単独の線幅測定装置
図7は、フォトレジスト形成装置40Cの全体構造の単独の線幅測定装置402Cに係る実施例の概略図を表している。図7に図示されているように、フォトレジスト形成装置40Cの全体構造とフォトレジスト形成装置40A,Bの全体構造とは、線幅測定装置402Cが、単独型の測定装置として、如何なる装置及び機能とも一体化していない点で異なる。他の部材も基本的にフォトレジスト形成装置40Aの構造と同一である。この実施例では、基板容器(一般にFOUPと呼ばれる)が用いられている(図7には図示されていない)。各基板は、たとえば自動案内車両(AGV)を用いることによって、現像プロセス後又はエッチングプロセス後に容器へ搬送され、かつ線幅測定装置402Cへ搬送される。各基板では、各基板の線幅が最初に測定され、その後適切な設定条件が計算される。測定されたCD値及び適切な設定条件は、エッチング装置440へ伝送される。
3) Single Line Width Measuring Device FIG. 7 shows a schematic diagram of an embodiment related to the single line width measuring device 402C of the entire structure of the photoresist forming apparatus 40C. As shown in FIG. 7, the entire structure of the photoresist forming apparatus 40C and the entire structure of the photoresist forming apparatuses 40A and 40B are the same as the line width measuring apparatus 402C as a single measuring apparatus. Both are different in that they are not integrated. Other members are basically the same as the structure of the photoresist forming apparatus 40A. In this embodiment, a substrate container (generally called FOUP) is used (not shown in FIG. 7). Each substrate is transferred to the container after the development process or the etching process by using, for example, an automatic guide vehicle (AGV), and is transferred to the line width measuring device 402C. For each substrate, the line width of each substrate is first measured and then the appropriate set conditions are calculated. The measured CD value and appropriate setting conditions are transmitted to the etching apparatus 440.

[実験用試料]
側壁保護機構への臭化水素(HBr)の効果を評価し、かつ限界寸法(CD)を制御するプロセスをも確立するため、複数の実験用試料が作製される。ここで目標構造は、図1又は図2に記載された目標構造と同一である。続いて実験用試料には、本発明によるプラズマエッチングプロセスが施される。当該プラズマエッチングプロセスでは、有機誘電層(ODL)のオーバーエッチング(OE)工程中、適切な量の臭化水素(HBr)が、窒素と酸素の混合雰囲気(N2/O2)中に加えられる。以降では、これらの評価結果について詳細に説明する。
[Experimental sample]
To evaluate the effect of hydrogen bromide (HBr) on the sidewall protection mechanism and also establish a process to control the critical dimension (CD), multiple experimental samples are made. Here, the target structure is the same as the target structure described in FIG. 1 or FIG. The experimental sample is then subjected to a plasma etching process according to the present invention. In the plasma etching process, an appropriate amount of hydrogen bromide (HBr) is added into a mixed atmosphere of nitrogen and oxygen (N 2 / O 2 ) during the over-etching (OE) step of the organic dielectric layer (ODL). . Hereinafter, these evaluation results will be described in detail.

図8を参照すると、2つの実験用試料の目標構造の各特定の層でプラズマエッチングプロセスを実行した後における、その2つの実験用試料の断面が図示されている。第1実験用試料は、密なアレイパターンを有することを特徴とする一方で、第2実験用試料は疎なパターンを表している。両パターンの断面が、図8の上部と下部にそれぞれ図示されている。図8に図示されているように、各マスク層についてエッチング工程を実行した後に、断面像が撮られた。両実験用試料について、これらの断面図の1〜5列はそれぞれ、レジストパターン、Si-ARCパターン、ODL主エッチング(ME)パターン、ODLオーバーエッチング(OE)パターン、及びハードマスクSiNパターンに対応している。表1は、各マスク層に適用されるエッチング条件についてまとめている。   Referring to FIG. 8, a cross section of the two experimental samples after performing a plasma etching process on each particular layer of the target structure of the two experimental samples is illustrated. The first experimental sample is characterized by having a dense array pattern, while the second experimental sample represents a sparse pattern. Cross sections of both patterns are shown in the upper and lower parts of FIG. 8, respectively. As shown in FIG. 8, a cross-sectional image was taken after performing the etching process for each mask layer. For both experimental samples, 1-5 columns of these cross-sectional views correspond to the resist pattern, Si-ARC pattern, ODL main etch (ME) pattern, ODL overetch (OE) pattern, and hard mask SiN pattern, respectively. ing. Table 1 summarizes the etching conditions applied to each mask layer.

Figure 2012521659
図8に図示されているように、Si-ARC及びODL主エッチング(ME)工程において限界寸法(CD)は減少している。ODL層のオーバーエッチング(OE)工程中に、臭化水素(HBr)を窒素と酸素の混合雰囲気(N2/O2)中に加えることによって、密なパターンと疎なパターンのいずれの限界寸法も増大することができる。図8に図示されているように、ODLオーバーエッチング(OE)における密なアレイパターンが約46nmである一方で、同一の層における疎なパターンの限界寸法(CD)は約115nmである。限界寸法のこのような増大は、薄い臭化炭素(CBrx)の堆積によるものと考えられる。前記堆積は、エッチングに対する側壁保護として機能する。
Figure 2012521659
As shown in FIG. 8, the critical dimension (CD) decreases in the Si-ARC and ODL main etching (ME) process. By adding hydrogen bromide (HBr) in a mixed atmosphere of nitrogen and oxygen (N 2 / O 2 ) during the overetching (OE) process of the ODL layer, both critical and sparse pattern critical dimensions Can also be increased. As illustrated in FIG. 8, the dense array pattern in ODL overetch (OE) is about 46 nm, while the critical dimension (CD) of the sparse pattern in the same layer is about 115 nm. This increase in critical dimension is believed to be due to the deposition of thin carbon bromide (CBr x ). The deposition functions as sidewall protection against etching.

ハードマスクSiNエッチング工程実行後の最終的な限界寸法(CD)は、密なパターンについては40nmで、疎なパターンについては119nmである。トリミング能は、ガス流条件(ガスの比、全流速等)を調節することによって、エッチング工程実行後にマスク層の限界寸法が変化する範囲である。図8は、CD値が、密なパターンと疎なパターンの両方において変化することを示している。   The final critical dimension (CD) after execution of the hard mask SiN etching process is 40 nm for dense patterns and 119 nm for sparse patterns. The trimming capability is a range in which the critical dimension of the mask layer changes after execution of the etching process by adjusting the gas flow conditions (gas ratio, total flow rate, etc.). FIG. 8 shows that the CD value changes in both dense and sparse patterns.

以降では、各パラメータ−たとえばHBrの流速、オーバーエッチング(OE)工程の時間依存性、エッチングガスの種類、及び組成比−がODL層の限界寸法(CD)へ及ぼす影響が検討される。この目的のため、密なパターン及び疎なパターンを有する様々な実験用試料が、各異なるエッチング条件下で形成された。以降に記載がない場合には、以下のエッチング条件が、各実験用試料のODL層のパターニングに用いられている。具体的には、1)主エッチング(ME)条件については、圧力が10mTorr、N2/O2流速が400sccm/200sccm、マイクロ波出力が3kW、RF出力が200W、及び主エッチング(ME)周期が40秒であり、2)オーバーエッチング(OE)条件については、圧力が10mTorr、N2/O2流速が400sccm/4sccm、マイクロ波出力が3kW、及びRF出力が200Wである。 In the following, the influence of each parameter, such as the flow rate of HBr, the time dependency of the overetching (OE) process, the type of etching gas, and the composition ratio, on the critical dimension (CD) of the ODL layer will be examined. For this purpose, various experimental samples with dense and sparse patterns were formed under different etching conditions. Unless otherwise stated, the following etching conditions are used for patterning the ODL layer of each experimental sample. Specifically, 1) Regarding the main etching (ME) conditions, the pressure is 10 mTorr, the N 2 / O 2 flow rate is 400 sccm / 200 sccm, the microwave output is 3 kW, the RF output is 200 W, and the main etching (ME) cycle is 40) 2) Over-etching (OE) conditions: pressure 10 mTorr, N 2 / O 2 flow rate 400 sccm / 4 sccm, microwave power 3 kW, and RF power 200 W.

オーバーエッチング期間に対する限界寸法(CD)の依存性を評価するため、2組の実験用試料が作製された。各組では、同一のマスクパターンを有する3つの実験用試料が作製された。先述の場合と同様に、第1組の実験用試料は、密なアレイパターンを有することを特徴とする一方で、第2組の実験用試料は疎なパターンを表している。ODL層の主エッチング(ME)及びオーバーエッチング(OE)はプラズマ処理装置30内で実行される。ODL層のパターニングに用いられる主エッチング(ME)条件及びオーバーエッチング(OE)条件は、前段落に記載された条件と同一である。   Two sets of experimental samples were made to evaluate the dependence of critical dimension (CD) on the overetch period. In each set, three experimental samples with the same mask pattern were produced. Similar to the previous case, the first set of experimental samples is characterized by having a dense array pattern, while the second set of experimental samples represents a sparse pattern. The main etching (ME) and over-etching (OE) of the ODL layer are performed in the plasma processing apparatus 30. The main etching (ME) conditions and over-etching (OE) conditions used for patterning the ODL layer are the same as those described in the previous paragraph.

この評価のため、HBr流速は60sccmに設定された。さらに各組では、3つの実験用試料が、0秒、20秒、及び40秒のオーバーエッチング処理時間でパターニングされた。   For this evaluation, the HBr flow rate was set at 60 sccm. In addition, in each set, three experimental samples were patterned with an overetch processing time of 0, 20, and 40 seconds.

図9は、実験用試料の断面及びその限界寸法(CD)をオーバーエッチング(OE)処理時間の関数として表している。図9に図示されているように、限界寸法(CD)は、オーバーエッチング(OE)処理時間を延長することによって大きくすることが可能である。これは主として、オーバーエッチング(OE)期間が延長されることで、ODLパターン全体にわたる反応性副生成物−たとえば臭化炭素(CBrx)の堆積が増大することに起因すると考えられる。 FIG. 9 shows the cross section of the experimental sample and its critical dimension (CD) as a function of overetch (OE) processing time. As illustrated in FIG. 9, the critical dimension (CD) can be increased by extending the over-etch (OE) processing time. This is believed to be mainly due to the increased deposition of reactive byproducts such as carbon bromide (CBr x ) across the ODL pattern due to the extended over-etch (OE) period.

次に図10を参照すると、実験用試料の断面図及び限界寸法(CD)がHBrの流速の関数として示されている。先の実施例と同様に、2組の実験用試料が作製された。各組は同様のパターンを有する3つの試料を有する。第1組の実験用試料は、密なアレイパターンを有することを特徴とする一方で、第2組の実験用試料は疎なパターンを表している。ODL層の主エッチング(ME)及びオーバーエッチング(OE)はプラズマ処理装置30内で実行される。ODL層のパターニングに用いられる主エッチング(ME)条件及びオーバーエッチング(OE)条件は、4つ前の段落に記載された条件と同一である。この評価のため、オーバーエッチング(OE)処理時間の条件はすべて20秒に設定された。さらに各組の3つの実験用試料の各々はそれぞれ、0sccm、60sccm、及び120sccmのHBr流速でパターニングされた。   Referring now to FIG. 10, the cross-sectional view and critical dimension (CD) of the experimental sample are shown as a function of HBr flow rate. Similar to the previous examples, two sets of experimental samples were made. Each set has three samples with a similar pattern. The first set of experimental samples is characterized by having a dense array pattern, while the second set of experimental samples represents a sparse pattern. The main etching (ME) and over-etching (OE) of the ODL layer are performed in the plasma processing apparatus 30. The main etching (ME) conditions and over-etching (OE) conditions used for patterning the ODL layer are the same as those described in the previous paragraph. For this evaluation, the overetching (OE) processing time conditions were all set to 20 seconds. In addition, each of the three experimental samples in each set was patterned with HBr flow rates of 0 sccm, 60 sccm, and 120 sccm, respectively.

図10に図示されているように、限界寸法(CD)は、HBr流速の増大と共に増加する。ODL層の限界寸法(CD)の制御に用いられる機構は以下のようなものであると考えられる。それは、臭化水素(HBr)を水素と窒素の混合気体(N2/O2)へ加えることによって、水素(H)が、ODL層の表面での酸素を減少させることである。換言すれば、酸素(O)原子はODLから引き抜かれる。その結果、表面での炭素含有率が高い有機誘電層(ODL)が生成される。よって炭素−炭素結合が増大することで、有機誘電層(ODL)は、より剛性を有するものとなる。ODLの剛性は側壁保護として機能し、その結果エッチングが防止される。 As illustrated in FIG. 10, the critical dimension (CD) increases with increasing HBr flow rate. The mechanism used to control the critical dimension (CD) of the ODL layer is considered as follows. That is, hydrogen (H) reduces oxygen at the surface of the ODL layer by adding hydrogen bromide (HBr) to a gas mixture of hydrogen and nitrogen (N 2 / O 2 ). In other words, oxygen (O) atoms are extracted from the ODL. As a result, an organic dielectric layer (ODL) with a high carbon content on the surface is produced. Therefore, as the carbon-carbon bond increases, the organic dielectric layer (ODL) becomes more rigid. The stiffness of the ODL functions as a side wall protection, which prevents etching.

他方、ODL層の炭素含有率が高くなることで、ODLパターンの表面付近での臭素−炭素結合が増大すると考えられる。また、ODLパターン全体にわたって堆積される臭化炭素(CBrx)の薄い層が側壁保護として機能し、その結果エッチングを防止していると言うこともできる。臭化水素(HBr)の流速を増大させることによって、臭化炭素(CBrx)の堆積は、Br元素の増加に起因して増大し、結局ODLの限界寸法(CD)が増大する。他方、HBrの流速を減少させることによって、CDの増大は小さくなる。このようにして、限界寸法(CD)の所定値を得るための良好な制御可能性を実現することができる。 On the other hand, it is considered that the bromine-carbon bond near the surface of the ODL pattern increases as the carbon content of the ODL layer increases. It can also be said that a thin layer of carbon bromide (CBr x ) deposited over the entire ODL pattern functions as a sidewall protection, thus preventing etching. By increasing the flow rate of hydrogen bromide (HBr), the deposition of carbon bromide (CBr x ) increases due to the increase in Br element, eventually increasing the critical dimension (CD) of ODL. On the other hand, by increasing the HBr flow rate, the increase in CD is reduced. In this way, good controllability for obtaining a predetermined value of the critical dimension (CD) can be realized.

ODL層の限界寸法(CD)は、他の種類のエッチングガス−たとえば塩素(Cl2)ガスを用いて制御されて良い。どのようにして他の種類のエッチャントガスが、限界寸法(CD)の制御可能性に影響を及ぼしうるのかを評価するため、2組の実験用試料が作製された。各組では、同一のマスクパターンを有する2つの実験用試料が作製された。先の実施例と同様に、第1組の実験用試料は、密なアレイパターンを有することを特徴とする一方で、第2組の実験用試料は疎なパターンを表している。各組では、最初に第1実験用試料及び第2実験用試料には、7つ前の段落記載されたエッチング条件と同一の条件下で主エッチング(ME)工程が施される。続いて各組の第1実験用試料には、臭化水素(HBr)ガスを水素と窒素の混合気体(N2/O2)へ加えることによって、オーバーエッチング(OE)工程が施される。しかし各組の第2実験用試料には、塩素(Cl2)ガスを水素と窒素の混合気体(N2/O2)へ加えることによって、オーバーエッチング工程が施される。この評価のため、HBr流速とCl2流速のいずれも60sccmに設定された。さらにオーバーエッチング(OE)処理時間の条件は、各実験組において20秒に設定された。 The critical dimension (CD) of the ODL layer may be controlled using other types of etching gases, such as chlorine (Cl 2 ) gas. To evaluate how other types of etchant gases can affect the controllability of critical dimension (CD), two sets of experimental samples were made. In each set, two experimental samples with the same mask pattern were produced. Similar to the previous example, the first set of experimental samples is characterized by a dense array pattern, while the second set of experimental samples represents a sparse pattern. In each set, the first experimental sample and the second experimental sample are first subjected to a main etching (ME) step under the same etching conditions as described in the previous seven paragraphs. Subsequently, an overetching (OE) step is performed on each set of first experimental samples by adding hydrogen bromide (HBr) gas to a mixed gas of hydrogen and nitrogen (N 2 / O 2 ). However, each set of second experimental samples is subjected to an over-etching step by adding chlorine (Cl 2 ) gas to a mixed gas of hydrogen and nitrogen (N 2 / O 2 ). For this evaluation, both the HBr flow rate and the Cl 2 flow rate were set to 60 sccm. Furthermore, the condition of the overetching (OE) processing time was set to 20 seconds in each experimental set.

図11は、様々なエッチングガス種類についての実験用試料の断面図を表している。図11に図示されているように、オーバーエッチング(OE)工程におけるODL層の限界寸法(CD)は、いずれの種類のエッチングガス(HBrとCl2)についても、主エッチング(ME)工程と比較して増加している。塩素(Cl2)ガスの場合でのODL層の限界寸法(CD)制御の厳密な機構は分かっていないが、限界寸法(CD)の増大に関しては、同様の結果が得られている。しかしこの実施例では、他の有害な効果も観測された。たとえば、下地のハードマスクシリコン窒化物(SiN)層は、そのマスク高さが減少する(先細る)ように薄くされる。 FIG. 11 shows cross-sectional views of experimental samples for various etching gas types. As shown in Figure 11 comparison, the critical dimensions of ODL layer in overetching (OE) step (CD), for any kind of etching gas (HBr and Cl 2), a main etch (ME) process Has increased. Although the exact mechanism for controlling the critical dimension (CD) of the ODL layer in the case of chlorine (Cl 2 ) gas is not known, similar results have been obtained for increasing the critical dimension (CD). However, other harmful effects were also observed in this example. For example, the underlying hard mask silicon nitride (SiN) layer is thinned so that its mask height is reduced (tapered).

代替実施例では、所望の限界寸法(CD)が、臭化水素(HBr)をアルゴンと酸素の混合雰囲気(Ar/O2)中に加えることによって実行される。この代替実施例では、一連のアルゴン/臭化水素/酸素(Ar/HBr/O2)は、ODL主エッチング(ME)工程を実行するのに用いられる。先の実施例と同様に、2組の実験用試料が作製され、各組は、同様のパターンを有する3つの試料を有する。第1組の実験用試料は、密なアレイパターンを有することを特徴とする一方で、第2組の実験用試料は疎なパターンを表している。より具体的には、図1に図示された構造を有する基板の小片(劈開された試料。切り取り試料(coupon)とも呼ばれる)が、この実験では用いられた。Si-ARC及びODL主エッチング(ME)が実行されるとき、切り取り試料は、上にフォトレジストが全面コーティングされた基板上に取り付けられた。ODLオーバーエッチング(OE)が実行されるとき、切り取り試料は、上にシリコン窒化物(SiN)が全面コーティングされた基板上に取り付けられた。ODLオーバーエッチング(OE)は15秒間実行された。表2は、Si-ARC層及びODL層でのエッチング条件についてまとめている。 In an alternative embodiment, the desired critical dimension (CD) is performed by adding hydrogen bromide (HBr) into a mixed atmosphere of argon and oxygen (Ar / O 2 ). In this alternative embodiment, a series of argon / hydrogen bromide / oxygen (Ar / HBr / O 2 ) is used to perform the ODL main etch (ME) process. Similar to the previous example, two sets of experimental samples were made, each set having three samples with a similar pattern. The first set of experimental samples is characterized by having a dense array pattern, while the second set of experimental samples represents a sparse pattern. More specifically, a small piece of substrate (cleaved sample, also referred to as a coupon) having the structure illustrated in FIG. 1 was used in this experiment. When Si-ARC and ODL main etch (ME) were performed, the cut specimens were mounted on a substrate with a full photoresist coating thereon. When ODL overetch (OE) was performed, the cut specimens were mounted on a substrate that was fully coated with silicon nitride (SiN). ODL overetch (OE) was performed for 15 seconds. Table 2 summarizes the etching conditions in the Si-ARC layer and the ODL layer.

Figure 2012521659
Si-ARC及びODL主エッチング(ME)工程の実行後、オーバーエッチング(OE)工程が、プラズマ処理装置30を用いて実行された。各組の第1実験用試料、第2実験用試料、及び第3実験用試料のオーバーエッチング(OE)工程はそれぞれ、100/150/20、100/150/10、及び100/150/5sccmのAr/HBr/O2流速比で実行された。
Figure 2012521659
After performing the Si-ARC and ODL main etching (ME) process, an over-etching (OE) process was performed using the plasma processing apparatus 30. The overetching (OE) process for each set of the first experimental sample, the second experimental sample, and the third experimental sample is 100/150/20, 100/150/10, and 100/150/5 sccm, respectively. Run at Ar / HBr / O 2 flow rate ratio.

次に図12を参照すると、実験用試料の断面及びその限界寸法(CD)が、HBr/ O2比の関数として表されている。図12に図示されているように、ODL層の限界寸法(CD)は、HBr/O2比の増加に伴って増大する。換言すると、酸素(O2)の流速が減少するときに、ODL層の限界寸法(CD)は増大する。 Referring now to FIG. 12, the cross section of the experimental sample and its critical dimension (CD) are represented as a function of the HBr / O 2 ratio. As illustrated in FIG. 12, the critical dimension (CD) of the ODL layer increases with increasing HBr / O 2 ratio. In other words, as the oxygen (O 2 ) flow rate decreases, the critical dimension (CD) of the ODL layer increases.

従来のプラズマエッチングプロセスにおいては、エッチング工程の実行後に、パターン形状にばらつきが存在するという問題が存在した。このようなパターン形状におけるばらつきを回避するため、フォトリソグラフィ目的のマスクは、完成したエッチングパターンの寸法におけるばらつきを考慮することによって設計される。しかし上述の問題は、この解決法によっても完全に回避することはできない。   In the conventional plasma etching process, there is a problem that the pattern shape varies after the etching process. In order to avoid such variations in pattern shape, a mask for photolithography purposes is designed by taking into account variations in the dimensions of the completed etching pattern. However, the above problem cannot be completely avoided by this solution.

本発明のプラズマエッチングプロセスは、上述の問題の解決法を供する。臭化水素(HBr)をN2/O2又はAr/O2の混合雰囲気中に加えることによって、水素(H)が、ODL層表面の酸素(O)を減少させると考えられる。換言すれば、酸素(O)原子は、ODL層から引き抜かれる。その結果、表面での炭素含有率の高い有機誘電層(ODL)が生成される。よって、炭素−炭素結合が増加して、有機誘電層(ODL)は、より剛性を有するようになる。ODL層の剛性は、側壁保護として機能する。その結果、エッチングが保護される。 The plasma etching process of the present invention provides a solution to the above problems. It is considered that hydrogen (H) decreases oxygen (O) on the surface of the ODL layer by adding hydrogen bromide (HBr) into a mixed atmosphere of N 2 / O 2 or Ar / O 2 . In other words, oxygen (O) atoms are extracted from the ODL layer. As a result, an organic dielectric layer (ODL) with a high carbon content on the surface is produced. Therefore, the carbon-carbon bond increases and the organic dielectric layer (ODL) becomes more rigid. The rigidity of the ODL layer functions as a side wall protection. As a result, the etching is protected.

それに加えて、ODL層の炭素含有率が高くなることで、ODLパターン付近の複数の臭素−炭素結合が増大するとも考えられる。その結果、臭化炭素(CBrx)の薄い層は、ODLパターン全体にわたって堆積される。このように堆積された臭化炭素(CBrx)の薄い層は側壁保護として機能する。従ってODL層の横方向エッチングを抑制することができる。さらに臭化水素(HBr)の流速を増大させることによって、臭化炭素(CBrx)の堆積は、Brの増加に起因して増大する。これによりODLの限界寸法(CD)が増大する。他方、HBr流速を減少させることによって、CDの増大速度は小さくなる。このようにして、限界寸法(CD)の所定値を得るための良好な制御可能性は、適切なHBr流速を選択することによって実現することができる。 In addition, it is considered that a plurality of bromine-carbon bonds in the vicinity of the ODL pattern are increased by increasing the carbon content of the ODL layer. As a result, a thin layer of carbon bromide (CBr x ) is deposited over the entire ODL pattern. A thin layer of carbon bromide (CBr x ) deposited in this manner serves as sidewall protection. Therefore, the lateral etching of the ODL layer can be suppressed. Furthermore, by increasing the flow rate of hydrogen bromide (HBr), the deposition of carbon bromide (CBr x ) increases due to the increase in Br. This increases the critical dimension (CD) of ODL. On the other hand, by increasing the HBr flow rate, the CD increase rate is reduced. In this way, good controllability to obtain a predetermined value of the critical dimension (CD) can be realized by selecting an appropriate HBr flow rate.

パターン形状のばらつき及びその限界寸法の均一性を評価するため、2組の実験用試料が作製された。各試料は、各異なるパターン(密なパターンと疎なパターン)を有する。各組では、同様のパターンを有する2つの実験用試料が作製された。表3は、実験用試料の各マスク層において用いられるエッチング条件をまとめている。   Two sets of experimental samples were made to evaluate the variation in pattern shape and the uniformity of its critical dimensions. Each sample has a different pattern (dense pattern and sparse pattern). In each set, two experimental samples with similar patterns were made. Table 3 summarizes the etching conditions used in each mask layer of the experimental sample.

Figure 2012521659
この実験については、Si-ARC及びODL主エッチング(ME)工程でのエッチング時間はそれぞれ、16秒及び40.8秒に設定された。オーバーエッチング(OE)工程では、一の実験用試料のエッチング時間が20秒に設定される一方で、他の実験用試料のエッチング時間は40秒に設定された。
Figure 2012521659
For this experiment, the etching times in the Si-ARC and ODL main etching (ME) steps were set to 16 seconds and 40.8 seconds, respectively. In the overetching (OE) process, the etching time for one experimental sample was set to 20 seconds, while the etching time for the other experimental sample was set to 40 seconds.

図13は、実験用試料の断面図及びその限界寸法(CD)を表している。各実験用試料については、それぞれ基板の中心及び端部に沿って断面図が撮られた。基板の中心及び端部は、図13の「中心」及び「端部」で定義されている。図13に図示されているように、限界寸法(CD)は、全実験用試料について、オーバーエッチング(OE)処理時間に依存しない。それに加えて、全試料にわたって、パターン形状におけるばらつきが観測されなかった。   FIG. 13 shows a cross-sectional view of an experimental sample and its critical dimension (CD). For each experimental sample, a cross-sectional view was taken along the center and edge of the substrate, respectively. The center and end of the substrate are defined by “center” and “end” in FIG. As illustrated in FIG. 13, the critical dimension (CD) does not depend on the overetch (OE) processing time for all experimental samples. In addition, no variation in pattern shape was observed across all samples.

図14は、各マスク層のマイクロ波出力、RF出力、及びRF電圧を時間の関数として表している。横軸は処理時間を表し、左側の縦軸はマイクロ波出力とRFバイアス出力を表す一方で、右側の縦軸はRFバイアス電圧を表す。図14に図示されたこの実験データは、多層構造のエッチング工程が、プラズマ処理装置30の同一処理容器120内で連続的に実行される例を表している。各プロセス工程の開始時での上部マイクロ波出力は、プラズマ生成プロセスを開始するδ関数として印加されることに留意して欲しい。   FIG. 14 shows the microwave output, RF output, and RF voltage of each mask layer as a function of time. The horizontal axis represents the processing time, the left vertical axis represents the microwave output and the RF bias output, while the right vertical axis represents the RF bias voltage. The experimental data illustrated in FIG. 14 represents an example in which the multilayer structure etching process is continuously performed in the same processing vessel 120 of the plasma processing apparatus 30. Note that the upper microwave power at the start of each process step is applied as a δ function that initiates the plasma generation process.

RFバイアス電圧(下部Vpp)が、プラズマ処理装置30(図3を参照のこと)から基板ホルダ140へ印加された。前述したように、このRFバイアス電圧は、基板へ引き込まれるイオンエネルギーを制御する。図14に図示されているように、エッチングプロセスが次のマスク層へ進むことで、RFバイアス電圧は低下する。それにより、エッチングプロセスが、下のマスク層へ向かって先へ進むことで、基板に衝突するイオンのエネルギーは減少する。 An RF bias voltage (lower V pp ) was applied to the substrate holder 140 from the plasma processing apparatus 30 (see FIG. 3). As described above, this RF bias voltage controls the ion energy drawn into the substrate. As illustrated in FIG. 14, the RF bias voltage decreases as the etching process proceeds to the next mask layer. Thereby, the energy of ions impinging on the substrate decreases as the etching process proceeds toward the lower mask layer.

さらに、従来のプラズマエッチングプロセスにおいて観測される他の問題は、レジストパターンが、領域上でのパターンが疎であるか、又は密であるかに依存して、不均一に形成されることである。換言すると、レジストパターンが疎(粗いとも呼ばれる)であるか、又は密(微細とも呼ばれる)であるかに依存して、パターン形状のばらつきが存在するのである。粗い形状及び微細形状におけるばらつきを回避するため、フォトリソグラフィ目的のマスクが、これらのばらつきを考慮することによって設計される。しかし上述の問題は、この解決法によって完全には回避できない。また限界寸法(CD)を制御するとき、粗い形状及び微細形状におけるばらつきが起こることを観測された。   Furthermore, another problem observed in conventional plasma etching processes is that the resist pattern is formed non-uniformly depending on whether the pattern on the region is sparse or dense. . In other words, there is a variation in pattern shape depending on whether the resist pattern is sparse (also called coarse) or dense (also called fine). In order to avoid variations in coarse and fine shapes, a mask for photolithography purposes is designed by taking these variations into account. However, the above problem cannot be completely avoided by this solution. Also, when controlling the critical dimension (CD), it was observed that variations in coarse and fine shapes occur.

本発明のプロセスに従ってシリコン含有反射防止コーティング(Si-ARC)層をパターニングしながら、粗い形状及び微細形状におけるばらつきを回避することができる。この実施例では、最終パターン−たとえばハードマスクSiN−の線幅又は限界寸法(CD)は、トリフルオロメタンガスに対するテトラフルオロメタンガスの比(CF4/CHF3)を調節することによって、Si-ARC層を介して制御される。Si-ARCエッチング工程においてCF4/CHF3の比を調節することによって、Si-ARCパターンの限界寸法は、最終限界寸法(CD)が、約-2nm〜+10nmの範囲内で制御可能となるように変化して良い。 While patterning the silicon-containing anti-reflective coating (Si-ARC) layer according to the process of the present invention, variations in rough and fine shapes can be avoided. In this example, the line width or critical dimension (CD) of the final pattern, eg, hard mask SiN, is adjusted by adjusting the ratio of tetrafluoromethane gas to trifluoromethane gas (CF 4 / CHF 3 ). Is controlled through. By adjusting the CF 4 / CHF 3 ratio in the Si-ARC etching process, the critical dimension of the Si-ARC pattern can be controlled within the final critical dimension (CD) of about -2 nm to +10 nm. May change as you like.

Si-ARCパターンは主として、シリコン(Si)原子と炭素(C)原子で構成される。Si-ARC層が炭素を含有することで、Si-ARC層の表面上に複数の炭素−フッ素結合を生成するのが助けられると考えられる。従って、Si-ARC層内でのCF4/CHF3の比を調節することによって、CFx系列の薄膜は、CF4ガスとCHF3ガスとの間の結合エネルギー差に起因して、Si-ARCパターン全体にわたって堆積される。その結果、Si-ARCエッチング工程においてCF4/CHF3の比を調節することで、本発明のプロセスに従った、Si-ARC層の横方向エッチングが抑制され、かつ、Si-ARCパターンの限界寸法(CD)の増大が可能となる。 The Si-ARC pattern is mainly composed of silicon (Si) atoms and carbon (C) atoms. It is believed that the Si-ARC layer containing carbon helps to create multiple carbon-fluorine bonds on the surface of the Si-ARC layer. Therefore, by adjusting the ratio of CF 4 / CHF 3 in the Si-ARC layer, the CF x series thin films are caused by Si— due to the difference in binding energy between CF 4 gas and CHF 3 gas. Deposited over the entire ARC pattern. As a result, by adjusting the CF 4 / CHF 3 ratio in the Si-ARC etching process, the lateral etching of the Si-ARC layer according to the process of the present invention is suppressed, and the limitation of the Si-ARC pattern The dimension (CD) can be increased.

Si-ARC層のエッチング工程によって限界寸法(CD)の制御性を評価するため、並びに、粗い形状及び微細形状におけるばらつきを調べるため、様々な実験用試料が作製された。先の実施例と同様に、2つの実験用試料が作製された。各試料は各異なるパターン(密なパターン及び疎なパターン)を有する。表4は、実験用試料の各マスク層において用いられるエッチング条件をまとめている。この実験では、Si-ARC、ODL主エッチング(ME)工程、ODLオーバーエッチング(OE)工程、SiN、及びアッシング工程におけるエッチング時間はそれぞれ、17.7秒、40.8秒、20秒、及び30秒に設定された。さらにCF4/CHF3の比は1に設定された(180/180)。 In order to evaluate the controllability of the critical dimension (CD) by the etching process of the Si-ARC layer, and to investigate the variation in the rough shape and the fine shape, various experimental samples were prepared. Similar to the previous examples, two experimental samples were made. Each sample has a different pattern (dense pattern and sparse pattern). Table 4 summarizes the etching conditions used in each mask layer of the experimental sample. In this experiment, the etching times in Si-ARC, ODL main etching (ME) process, ODL overetching (OE) process, SiN, and ashing processes were set to 17.7 seconds, 40.8 seconds, 20 seconds, and 30 seconds, respectively. It was. Furthermore, the ratio of CF 4 / CHF 3 was set to 1 (180/180).

Figure 2012521659
図15を参照すると、実験用試料の断面及びその限界寸法(CD)が図示されている。図15に図示されているように、垂直プロファイルは、全実験用試料にわたって90°に非常に近く、粗い形状と微細な形状にはほとんどばらつきがないことを示している。それに加えて、Si-ARCのパターンの限界寸法(CD)は、全実験用試料にわたって所望の目標パターンからのズレが最小(±0nm〜±2nm)であることを示している。この実験では、密なパターンと疎なパターンの所望の目標パターンはそれぞれ、45nm及び75nmに設定された。
Figure 2012521659
Referring to FIG. 15, a cross section of the experimental sample and its critical dimension (CD) are shown. As illustrated in FIG. 15, the vertical profile is very close to 90 ° across all experimental samples, indicating that there is little variation in the rough and fine shapes. In addition, the critical dimension (CD) of the Si-ARC pattern indicates that the deviation from the desired target pattern is minimal (± 0 nm to ± 2 nm) across all experimental samples. In this experiment, the desired target patterns of dense and sparse patterns were set to 45 nm and 75 nm, respectively.

各実験用試料についてCF4/CHF3の比が変化するとき、Si-ARC層のエッチング工程による限界寸法(CD)の制御可能性、及び、粗さと微細さの形状における変化も検討された。繰り返しになるが、2組の実験用試料が作製された。各試料は各異なるパターン(密なパターン及び疎なパターン)を有する。各組では、3つの実験用試料が作製された。実験用試料の各マスク層に用いられるエッチング条件は、表4でまとめられたエッチング条件と同一である。しかし実験用試料の各組では、第1実験用試料、第2実験用試料、及び第3実験用試料についてのCF4/CHF3の比は、それぞれ(210/150)、(180/180)、及び(150/210)に設定された。 When the CF 4 / CHF 3 ratio changed for each experimental sample, the controllability of the critical dimension (CD) by the etching process of the Si-ARC layer and the changes in the roughness and fineness shapes were also investigated. Again, two sets of experimental samples were made. Each sample has a different pattern (dense pattern and sparse pattern). In each set, three experimental samples were made. The etching conditions used for each mask layer of the experimental sample are the same as the etching conditions summarized in Table 4. However, in each set of experimental samples, the ratio of CF 4 / CHF 3 for the first experimental sample, the second experimental sample, and the third experimental sample is (210/150), (180/180), respectively. , And (150/210).

次に図16を参照すると、実験用試料の断面とその限界寸法(CD)が図示されている。図16に図示されているように、垂直プロファイルは、全実験用試料にわたって90°に非常に近く、粗い形状と微細な形状にはほとんどばらつきがないことを示している。それに加えて、Si-ARCのパターンの限界寸法(CD)は、全実験用試料にわたって初期の目標パターンからのズレが最小(-3nm〜+12nm)であることを示している。パターンにわたる最大のズレは+2nmである。この実験では、密なパターンと疎なパターンの初期の目標パターンはそれぞれ、45nm及び75nmに設定された。   Referring now to FIG. 16, a cross section of the experimental sample and its critical dimension (CD) are illustrated. As illustrated in FIG. 16, the vertical profile is very close to 90 ° across all experimental samples, indicating that there is little variation in coarse and fine shapes. In addition, the critical dimension (CD) of the Si-ARC pattern shows that the deviation from the initial target pattern is minimal (-3 nm to +12 nm) across all experimental samples. The maximum deviation over the pattern is +2 nm. In this experiment, the initial target patterns of dense and sparse patterns were set to 45 nm and 75 nm, respectively.

Claims (19)

基板上にマスクパターンを形成した後に、エッチングプロセスによって所望のパターンを形成するように前記基板を処理する方法であって:
シリコン窒化物層と有機誘電層を有する2つの層を前記基板上に形成する工程;
前記マスクパターン又は前記2つの層のうちの1つのエッチングされたパターンの幅を測定する工程;及び、
前記の測定された幅に基づいて、前記エッチングプロセスにおいて用いられるHBr及び他の気体のうちのいずれか1つの流速を調節する工程;
を有する方法。
A method of processing a substrate to form a desired pattern by an etching process after forming a mask pattern on the substrate:
Forming two layers on the substrate having a silicon nitride layer and an organic dielectric layer;
Measuring the width of the mask pattern or the etched pattern of one of the two layers; and
Adjusting the flow rate of any one of HBr and other gases used in the etching process based on the measured width;
Having a method.
前記マスクパターンの測定された幅に基づいて調節された流速で、前記基板と同一の基板の2つの層のうちの1層をエッチングする工程をさらに有する、請求項1に記載の方法。   The method of claim 1, further comprising etching one of two layers of the same substrate as the substrate at a flow rate adjusted based on a measured width of the mask pattern. 前記マスクパターンの測定された幅に基づいて調節された流速で、他の基板の2つの層のうちの1層をエッチングする工程をさらに有する、請求項1に記載の方法。   2. The method of claim 1, further comprising etching one of the two layers of the other substrate at a flow rate adjusted based on the measured width of the mask pattern. 前記エッチングされたパターンの測定された幅に基づいて調節された流速で、前記基板と同一の基板の2つの層のうちの1層をエッチングする工程をさらに有する、請求項1に記載の方法であって、
前記測定する工程と前記調節する工程は、前記エッチングプロセスの間に実行される、
方法。
The method of claim 1, further comprising etching one of two layers of the same substrate as the substrate at a flow rate adjusted based on a measured width of the etched pattern. There,
The measuring and adjusting steps are performed during the etching process;
Method.
前記調節する工程が、前記測定された幅が所望の幅よりも狭いときに、前記他の気体に対するHBrの流速比を増大させる工程、及び、前記測定された幅が所望の幅よりも広いときに、前記他の気体に対するHBrの流速比を減少させる工程を有する、
請求項1に記載の方法。
The adjusting step includes increasing a flow rate ratio of HBr to the other gas when the measured width is narrower than a desired width; and when the measured width is wider than the desired width. The step of reducing the flow rate ratio of HBr to the other gas,
The method of claim 1.
前記有機誘電層が、前記エッチングする工程でエッチングされる、請求項2に記載の方法。   The method of claim 2, wherein the organic dielectric layer is etched in the etching step. 前記調節する工程が、前記測定された幅が所望の幅よりも狭いときに、エッチング時間を増大させる工程、及び、前記測定された幅が所望の幅よりも広いときに、前記エッチング時間を減少させる工程を有する、
請求項6に記載の方法。
The adjusting step increases the etching time when the measured width is narrower than the desired width; and reduces the etching time when the measured width is wider than the desired width. Having a step of
The method of claim 6.
前記エッチングする工程が、主エッチング及び該主エッチングに続くオーバーエッチングを有し、かつ、
前記HBrは前記オーバーエッチングで用いられる、
請求項6に記載の方法。
The step of etching comprises main etching and overetching following the main etching; and
The HBr is used in the overetching,
The method of claim 6.
前記調節する工程が、前記測定された幅が所望の幅よりも狭いときに、エッチング時間を増大させる工程、及び、前記測定された幅が所望の幅よりも広いときに、前記エッチング時間を減少させる工程を有する、
請求項8に記載の方法。
The adjusting step increases the etching time when the measured width is narrower than the desired width; and reduces the etching time when the measured width is wider than the desired width. Having a step of
The method according to claim 8.
前記調節する工程が、前記測定された幅が所望の幅よりも狭いときに、前記基板に印加されるRFバイアス出力を増大させる工程、及び、前記測定された幅が所望の幅よりも広いときに、前記基板に印加されるRFバイアス出力を減少させる工程を有する、
請求項1に記載の方法。
The adjusting includes increasing an RF bias output applied to the substrate when the measured width is narrower than a desired width; and when the measured width is wider than the desired width Reducing the RF bias output applied to the substrate.
The method of claim 1.
前記他の気体がN2及びO2を有する、請求項1に記載の方法。 The method of claim 1, wherein the other gas comprises N 2 and O 2 . 前記他の気体がAr及びO2を有する、請求項1に記載の方法。 The method of claim 1, wherein the other gas comprises Ar and O 2 . 基板上にマスクパターンを形成した後に、エッチングプロセスによって所望のパターンを形成するように前記基板を処理する方法であって:
シリコン窒化物層と、有機誘電層と、シリコン含有反射防止コーティング層を有する3つの層を前記基板上に形成する工程;
前記マスクパターン又は前記3つの層のうちの1つのエッチングされたパターンの幅を測定する工程;及び、
前記の測定された幅に基づいて、前記エッチングプロセスにおいて用いられるCF4及びCHF3のうちのいずれか1つの流速を調節する工程;
を有する方法。
A method of processing a substrate to form a desired pattern by an etching process after forming a mask pattern on the substrate:
Forming three layers on the substrate having a silicon nitride layer, an organic dielectric layer, and a silicon-containing antireflection coating layer;
Measuring the width of the mask pattern or the etched pattern of one of the three layers; and
Adjusting the flow rate of any one of CF 4 and CHF 3 used in the etching process based on the measured width;
Having a method.
前記マスクパターンの測定された幅に基づいて調節された流速で、前記基板と同一の基板の3つの層のうちの1層をエッチングする工程をさらに有する、請求項13に記載の方法。   14. The method of claim 13, further comprising etching one of three layers of the same substrate as the substrate at a flow rate adjusted based on a measured width of the mask pattern. 前記マスクパターンの測定された幅に基づいて調節された流速で、他の基板の3つの層のうちの1層をエッチングする工程をさらに有する、請求項13に記載の方法。   14. The method of claim 13, further comprising etching one of the three layers of the other substrate at a flow rate adjusted based on the measured width of the mask pattern. 前記エッチングされたパターンの測定された幅に基づいて調節された流速で、前記基板と同一の基板の2つの層のうちの1層をエッチングする工程をさらに有する、請求項13に記載の方法であって、
前記測定する工程と前記調節する工程は、前記エッチングプロセスの間に実行される、
方法。
The method of claim 13, further comprising etching one of two layers of the same substrate as the substrate at a flow rate adjusted based on a measured width of the etched pattern. There,
The measuring and adjusting steps are performed during the etching process;
Method.
前記マスクパターンの測定された幅に基づいて調節された流速で、シリコン含有反射防止コーティング層をエッチングする工程をさらに有する、請求項13に記載の方法。   14. The method of claim 13, further comprising etching the silicon-containing antireflective coating layer at a flow rate adjusted based on the measured width of the mask pattern. 前記調節する工程が、前記測定された幅が所望の幅よりも狭いときに、CHF3に対するCF4の流速比を増大させる工程、及び、前記測定された幅が所望の幅よりも広いときに、CHF3に対するCF4の流速比を減少させる工程を有する、請求項13に記載の方法。 The adjusting comprises increasing the flow rate ratio of CF 4 to CHF 3 when the measured width is narrower than the desired width; and when the measured width is wider than the desired width. comprises the step of reducing the flow rate ratio of CF 4 for CHF 3, the method of claim 13. 前記調節する工程が、前記測定された幅が所望の幅よりも狭いときに、前記基板に印加されるRFバイアス出力を増大させる工程、及び、前記測定された幅が所望の幅よりも広いときに、前記基板に印加されるRFバイアス出力を減少させる工程を有する、請求項13に記載の方法。   The adjusting includes increasing an RF bias output applied to the substrate when the measured width is narrower than a desired width; and when the measured width is wider than the desired width 14. The method of claim 13, further comprising reducing an RF bias output applied to the substrate.
JP2012502003A 2009-03-24 2010-03-24 Plasma etching method Pending JP2012521659A (en)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US21099009P 2009-03-24 2009-03-24
US61/210,990 2009-03-24
US21157309P 2009-03-31 2009-03-31
US21161409P 2009-03-31 2009-03-31
US61/211,614 2009-03-31
US61/211,573 2009-03-31
PCT/US2010/000865 WO2010110878A1 (en) 2009-03-24 2010-03-24 Plasma etching method

Publications (1)

Publication Number Publication Date
JP2012521659A true JP2012521659A (en) 2012-09-13

Family

ID=42781330

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012502003A Pending JP2012521659A (en) 2009-03-24 2010-03-24 Plasma etching method

Country Status (5)

Country Link
JP (1) JP2012521659A (en)
KR (1) KR20120001773A (en)
CN (1) CN102365392A (en)
TW (1) TW201108324A (en)
WO (1) WO2010110878A1 (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8809199B2 (en) 2011-02-12 2014-08-19 Tokyo Electron Limited Method of etching features in silicon nitride films
US8334083B2 (en) * 2011-03-22 2012-12-18 Tokyo Electron Limited Etch process for controlling pattern CD and integrity in multi-layer masks
EP3291008A1 (en) * 2016-09-06 2018-03-07 ASML Netherlands B.V. Method and apparatus to monitor a process apparatus

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10268526A (en) * 1997-03-24 1998-10-09 Toshiba Corp Production of semiconductor device and pattern forming method
US5854136A (en) * 1996-03-25 1998-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Three-step nitride etching process for better critical dimension and better vertical sidewall profile
US6423457B1 (en) * 2000-01-27 2002-07-23 Advanced Micro Devices, Inc. In-situ process for monitoring lateral photoresist etching
JP2004221545A (en) * 2002-12-26 2004-08-05 Tokyo Electron Ltd Plasma etching method
JP2005129906A (en) * 2003-10-21 2005-05-19 Applied Materials Inc Apparatus and method for controlling accuracy and repeatability of etch process

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7545045B2 (en) * 2005-03-24 2009-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Dummy via for reducing proximity effect and method of using the same

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5854136A (en) * 1996-03-25 1998-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Three-step nitride etching process for better critical dimension and better vertical sidewall profile
JPH10268526A (en) * 1997-03-24 1998-10-09 Toshiba Corp Production of semiconductor device and pattern forming method
US6423457B1 (en) * 2000-01-27 2002-07-23 Advanced Micro Devices, Inc. In-situ process for monitoring lateral photoresist etching
JP2004221545A (en) * 2002-12-26 2004-08-05 Tokyo Electron Ltd Plasma etching method
JP2005129906A (en) * 2003-10-21 2005-05-19 Applied Materials Inc Apparatus and method for controlling accuracy and repeatability of etch process

Also Published As

Publication number Publication date
KR20120001773A (en) 2012-01-04
CN102365392A (en) 2012-02-29
TW201108324A (en) 2011-03-01
WO2010110878A1 (en) 2010-09-30

Similar Documents

Publication Publication Date Title
US9117769B2 (en) Plasma etching method
TWI711887B (en) Low roughness euv lithography
KR101413661B1 (en) Within-sequence metrology based process tuning for adaptive self-aligned double patterning
KR101476435B1 (en) Method for multi-layer resist plasma etch
KR101111924B1 (en) Method for bilayer resist plasma etch
US6350390B1 (en) Plasma etch method for forming patterned layer with enhanced critical dimension (CD) control
JP5577532B2 (en) DC / RF hybrid processing system
TWI479565B (en) The formation of fine graphics
US6399507B1 (en) Stable plasma process for etching of films
JP5577530B2 (en) Method for patterning antireflection layer using sulfur hexafluoride (SF6) and hydrocarbon gas
US20190088497A1 (en) Plasma etching method and plasma etching apparatus
US20070296980A1 (en) Integrated phase angle and optical critical dimension measurement metrology for feed forward and feedback process control
JP2007294905A (en) Method of manufacturing semiconductor and etching system
KR101333744B1 (en) Method for processing a photolithographic reticle
US20110049098A1 (en) Plasma etching method
US7018934B2 (en) Methods and apparatus for etching metal layers on substrates
JP2007324384A (en) Semiconductor device manufacturing method
JP2001110784A (en) Apparatus and method for plasma treatment
US7306746B2 (en) Critical dimension control in a semiconductor fabrication process
US6340603B1 (en) Plasma emission detection during lateral processing of photoresist mask
US6900139B1 (en) Method for photoresist trim endpoint detection
US20100018944A1 (en) Patterning method
JP2012521659A (en) Plasma etching method
US20130071955A1 (en) Plasma etching method
US20090302000A1 (en) Pattern forming method

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20130325

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140527

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20140930