JP2012178394A - Method of manufacturing semiconductor device, semiconductor device and exposure apparatus - Google Patents

Method of manufacturing semiconductor device, semiconductor device and exposure apparatus Download PDF

Info

Publication number
JP2012178394A
JP2012178394A JP2011039364A JP2011039364A JP2012178394A JP 2012178394 A JP2012178394 A JP 2012178394A JP 2011039364 A JP2011039364 A JP 2011039364A JP 2011039364 A JP2011039364 A JP 2011039364A JP 2012178394 A JP2012178394 A JP 2012178394A
Authority
JP
Japan
Prior art keywords
film
resist
resist material
resist film
semiconductor substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2011039364A
Other languages
Japanese (ja)
Inventor
Atsumi Yamaguchi
敦美 山口
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Electronics Corp
Original Assignee
Renesas Electronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Renesas Electronics Corp filed Critical Renesas Electronics Corp
Priority to JP2011039364A priority Critical patent/JP2012178394A/en
Publication of JP2012178394A publication Critical patent/JP2012178394A/en
Withdrawn legal-status Critical Current

Links

Images

Landscapes

  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

PROBLEM TO BE SOLVED: To provide a method of manufacturing a semiconductor device with no bump, the semiconductor device and an exposure apparatus.SOLUTION: A photosensitive negative resist material that becomes a lower layer resist film is applied onto a film 2 to be processed. Acid is generated by irradiating the lower layer resist material film with exposure light. A lower layer resist film 3b is formed by applying bake processing. By applying development processing, a portion of a non-crosslinked lower layer resist material film is removed while leaving the crosslinked lower layer resist film 3b. By applying a photosensitive negative resist material that becomes an intermediate layer resist film and applying similar exposure processing and development processing, a portion of a non-crosslinked intermediate layer resist material film is removed while leaving the crosslinked intermediate layer resist film 4b.

Description

本発明は、半導体装置の製造方法および半導体装置ならびに露光装置に関し、特に、多層レジストプロセスを適用した半導体装置の製造方法と、その製造方法によって製造される半導体装置と、その製造方法に適用される露光装置とに関するものである。   The present invention relates to a semiconductor device manufacturing method, a semiconductor device, and an exposure apparatus, and more particularly to a semiconductor device manufacturing method to which a multilayer resist process is applied, a semiconductor device manufactured by the manufacturing method, and a manufacturing method thereof. The present invention relates to an exposure apparatus.

半導体集積回路を備えた半導体装置の製造を行う場合には、半導体基板等における所定の領域へのイオン注入処理や、半導体基板の表面に形成された被加工膜へのエッチング処理等のように、選択的な処理(加工)が施される。このような処理では、被加工膜等を選択的に保護する目的で、紫外線、X線、電子線等の活性光線に感光する組成物、いわゆる感光性フォトレジスト被膜(フォトレジスト膜)のパターンを被加工膜上に形成するリソグラフィが行われる。このリソグラフィでは、とりわけ、紫外線を利用したフォトレジスト膜によるパターン形成が最も広く用いられている。   When manufacturing a semiconductor device including a semiconductor integrated circuit, as in an ion implantation process to a predetermined region in a semiconductor substrate or the like, an etching process to a film to be processed formed on the surface of the semiconductor substrate, etc. Selective processing (processing) is performed. In such a process, for the purpose of selectively protecting a film to be processed, a pattern of a composition sensitive to actinic rays such as ultraviolet rays, X-rays and electron beams, a so-called photosensitive photoresist film (photoresist film) is formed. Lithography is performed on the film to be processed. In this lithography, in particular, pattern formation by a photoresist film using ultraviolet rays is most widely used.

半導体集積回路の高集積化および高性能化が進むにしたがい、回路パターンの微細化と高度な寸法制御が求められるようになっている。露光装置では、水銀ランプのg線(波長=436nm)から、i線(波長=365nm)、KrFエキシマレーザー(波長=248nm)、ArFエキシマレーザー(波長=193nm)へと、露光光源の短波長化が進められてきている。また、最近では、露光装置の縮小投影レンズと半導体基板上に塗布されたフォトレジスト膜との間に水(純水)を満たすことにより、解像力を向上させることができる液浸露光技術も登場し、光リソグラフィの延命化が図られている。   As the integration and performance of semiconductor integrated circuits progress, miniaturization of circuit patterns and advanced dimensional control are required. In the exposure apparatus, the wavelength of the exposure light source is shortened from g-line (wavelength = 436 nm) of mercury lamp to i-line (wavelength = 365 nm), KrF excimer laser (wavelength = 248 nm), and ArF excimer laser (wavelength = 193 nm). Has been promoted. Recently, an immersion exposure technique has also appeared that can improve the resolution by filling water (pure water) between the reduction projection lens of the exposure apparatus and the photoresist film applied on the semiconductor substrate. The life of optical lithography has been extended.

一方、フォトレジスト膜では、パターンの解像性を確保するために、フォトレジスト膜の膜厚の薄膜化が進み、最近では100nm前後の膜厚のフォトレジスト膜が適用されるようになっている。しかしながら、パターンの微細化とフォトレジスト膜の薄膜化とにより、有機BARC(Bottom Anti Reflection Coating)膜のような反射防止膜とフォトレジスト膜を適用したリソグラフィでは、被加工膜に対するエッチング耐性を確保することが難しくなってきている。なお、被加工膜には、たとえば、シリコン酸化膜あるいはポリシリコン膜等の様々な膜種がある。   On the other hand, in the photoresist film, in order to ensure the resolution of the pattern, the film thickness of the photoresist film has been reduced, and recently, a photoresist film having a thickness of about 100 nm has been applied. . However, in lithography using an antireflection film such as an organic BARC (Bottom Anti Reflection Coating) film and a photoresist film by miniaturizing the pattern and reducing the thickness of the photoresist film, etching resistance to the film to be processed is ensured. Things are getting harder. Examples of the film to be processed include various film types such as a silicon oxide film or a polysilicon film.

このようなエッチング耐性を確保するために、最近では多層レジストプロセスの導入が進められている。多層レジストプロセスの代表的なものとしては、三層レジストプロセスがある。三層レジストプロセスでは、上層レジスト膜、中間層レジスト膜および下層レジスト膜が形成される。上層レジスト膜は、露光と現像によってパターンが形成されるレジスト膜であり、感光性のレジスト膜である。下層レジスト膜は、被加工膜に対してドライエッチングのマスクとなるレジスト膜である。中間層レジスト膜は、上層レジスト膜のパターンを下層レジスト膜に転写する役割をもつレジスト膜である。   In order to ensure such etching resistance, a multilayer resist process has recently been introduced. A typical multi-layer resist process is a three-layer resist process. In the three-layer resist process, an upper layer resist film, an intermediate layer resist film, and a lower layer resist film are formed. The upper resist film is a resist film in which a pattern is formed by exposure and development, and is a photosensitive resist film. The lower resist film is a resist film that serves as a dry etching mask for the film to be processed. The intermediate layer resist film is a resist film having a role of transferring the pattern of the upper layer resist film to the lower layer resist film.

下層レジスト膜をドライエッチングのマスクとするため、下層レジスト膜の材料として、高いエッチング耐性を有するとともに、下地の段差を平坦化させたり反射防止の機能を有する材料が用いられる。また、中間層レジスト膜を、上層レジストおよび下層レジストに対してエッチング選択性をもたせるために、中間層レジスト膜の材料として、高濃度のシリコン(Si)原子を含有する材料が用いられる。このような多層レジストプロセスを採用することによって、高いエッチング耐性(エッチング選択比)が確保されて、微細なパターンを精度よく形成することが可能になる。なお、多層レジストプロセスを開示した文献の一例として、特許文献1がある。   In order to use the lower resist film as a mask for dry etching, a material having a high etching resistance and a function of flattening the step of the base or preventing reflection is used as the material of the lower resist film. In addition, in order to give the intermediate layer resist film etching selectivity with respect to the upper layer resist and the lower layer resist, a material containing high-concentration silicon (Si) atoms is used as the material of the intermediate layer resist film. By adopting such a multilayer resist process, high etching resistance (etching selection ratio) is ensured, and a fine pattern can be formed with high accuracy. Patent Document 1 is an example of a document that discloses a multilayer resist process.

特公平04−30740号公報Japanese Patent Publication No. 04-30740 特開2010−93049号公報JP 2010-93049 A

しかしながら、多層レジストプロセスでは、下層レジスト膜あるいは中間層レジスト膜に、次のような問題点があった。下層レジスト膜あるいは中間層レジスト膜を形成する工程では、まず、それぞれ所定の下層(中間層)レジスト材料がウェハ(半導体基板)の表面上に塗布される。次に、ウェハを所定の回転数にて回転させることにより、ウェハ上に均一な膜厚の下層(中間層)レジスト材料膜が形成される。次に、ウェハを回転させながら、ウェハのエッジに位置する下層(中間層)レジスト材料膜の部分に、下層(中間層)レジスト材料を溶解する所定の有機溶剤を噴きつけることによって、エッジリンスが行われる。その後、所定の温度にてベーク処理を行ない下層(中間層)レジスト材料を架橋させることによって、下層(中間層)レジスト膜が形成される。   However, the multilayer resist process has the following problems in the lower layer resist film or the intermediate layer resist film. In the step of forming the lower layer resist film or the intermediate layer resist film, first, a predetermined lower layer (intermediate layer) resist material is first applied on the surface of the wafer (semiconductor substrate). Next, the lower layer (intermediate layer) resist material film having a uniform thickness is formed on the wafer by rotating the wafer at a predetermined number of rotations. Next, while rotating the wafer, by spraying a predetermined organic solvent that dissolves the lower layer (intermediate layer) resist material onto the portion of the lower layer (intermediate layer) resist material film located at the edge of the wafer, the edge rinse is performed. Done. Thereafter, baking is performed at a predetermined temperature to crosslink the lower layer (intermediate layer) resist material, thereby forming a lower layer (intermediate layer) resist film.

この一連の下層(中間層)レジスト膜を形成する工程のうち、エッジリンスを行う工程では、ウェハの外周部に位置する下層(中間層)レジスト材料が溶解する。溶解した下層(中間層)レジスト材料は、回転するウェハから外方へ飛ばされることになる。一方、飛ばされずにウェハに残る溶解した下層(中間層)レジスト材料の分は、下層(中間層)レジスト材料を乾燥させる際に膨れて盛り上がることがある。このため、最外周に位置する下層(中間層)レジスト材料膜の部分の膜厚が、その内側に位置する下層(中間層)レジスト材料膜の部分の膜厚よりも厚くなってしまう。この下層(中間層)レジスト材料膜が膨れて盛り上がった部分は、「ハンプ」と称されている。   Among the steps of forming the series of lower layer (intermediate layer) resist films, in the step of performing edge rinse, the lower layer (intermediate layer) resist material located on the outer peripheral portion of the wafer is dissolved. The dissolved lower layer (intermediate layer) resist material is blown outward from the rotating wafer. On the other hand, the dissolved lower layer (intermediate layer) resist material remaining on the wafer without being blown may swell and rise when the lower layer (intermediate layer) resist material is dried. For this reason, the film thickness of the lower layer (intermediate layer) resist material film located on the outermost periphery is thicker than the film thickness of the lower layer (intermediate layer) resist material film located on the inner side. The portion where the lower layer (intermediate layer) resist material film swells and rises is called “hump”.

下層(中間層)レジスト膜にハンプが生じた状態で、被加工膜にエッチング等の加工を施すと、下層レジスト膜あるいは中間層レジスト膜のレジスト残渣が発生することがある。また、被加工膜の残渣(被加工膜残渣)が発生することがある。これらの残渣は異物の発生の要因となる。なお、ハンプが発生する現象や、ハンプの発生に伴って生じる課題を開示した文献の一例として、特許文献2がある。   If processing such as etching is performed on the film to be processed in a state where humps are generated in the lower layer (intermediate layer) resist film, a resist residue of the lower layer resist film or the intermediate layer resist film may be generated. In addition, a residue of the processed film (processed film residue) may be generated. These residues cause generation of foreign matters. Note that Patent Document 2 is an example of a document that discloses a phenomenon in which a hump occurs and a problem that occurs with the occurrence of a hump.

本発明は上記問題点を解決するためになされたものであり、一つの目的は、ハンプのない半導体装置の製造方法を提供することであり、他の目的は、そのような半導体装置の製造方法によって製造される、ハンプのない半導体装置を提供することであり、さらに他の目的は、そのような半導体装置の製造方法に使用される露光装置を提供することである。   The present invention has been made to solve the above problems, and one object is to provide a method for manufacturing a semiconductor device without humps, and another object is a method for manufacturing such a semiconductor device. It is another object of the present invention to provide an exposure apparatus used in a method for manufacturing such a semiconductor device.

本発明の一実施例に係る半導体装置の製造方法は、以下の工程を備えている。半導体基板の主表面上に、被加工膜を形成する。被加工膜を覆うように、第1レジスト材料を塗布する。被加工膜上に塗布された第1レジスト材料によって第1の膜を形成する。被加工膜を覆う第1の膜のうち、半導体基板の外縁から内側へ所定の距離までの、外縁に沿って延在する外周領域に位置する第1の膜の部分を除いて、外周領域の内側に位置する第1の膜の部分の全面に露光光を照射する。第1温度にて熱処理を施す。所定の現像液にて現像処理を行なうことにより、露光光が照射されていない外周領域に位置する第1の膜の部分を除去する。第1の膜を覆うように、少なくとも第2レジスト材料を塗布することにより、第1の膜上に、第2レジスト材料による第2の膜を形成する。第2の膜に、所定の写真製版処理を施すことにより、所定のパターンを形成する。第2の膜の所定のパターンから露出する第1の膜の部分を除去することにより、第1の膜に、所定のパターンに対応した第1の膜のパターンを形成する。第1の膜のパターンから露出する被加工膜の部分を除去する。   A method for manufacturing a semiconductor device according to an embodiment of the present invention includes the following steps. A film to be processed is formed on the main surface of the semiconductor substrate. A first resist material is applied so as to cover the film to be processed. A first film is formed by a first resist material applied on the film to be processed. Of the first film covering the film to be processed, except for the portion of the first film located in the outer peripheral area extending along the outer edge up to a predetermined distance from the outer edge of the semiconductor substrate to the inner side, Exposure light is irradiated to the entire surface of the first film portion located inside. Heat treatment is performed at the first temperature. By performing development processing with a predetermined developer, a portion of the first film located in the outer peripheral region where the exposure light is not irradiated is removed. By applying at least a second resist material so as to cover the first film, a second film made of the second resist material is formed on the first film. A predetermined pattern is formed by subjecting the second film to a predetermined photolithography process. By removing a portion of the first film exposed from the predetermined pattern of the second film, a pattern of the first film corresponding to the predetermined pattern is formed on the first film. The portion of the film to be processed that is exposed from the pattern of the first film is removed.

本発明の他の実施例に係る半導体装置は、上記半導体装置の製造方法によって製造される半導体装置である。   A semiconductor device according to another embodiment of the present invention is a semiconductor device manufactured by the semiconductor device manufacturing method.

本発明のさらに他の実施例に係る露光装置は、半導体基板を保持するステージと、光源部と、ブラインドとを備えている。光源部は、ステージに保持された半導体基板と対向するように、ステージから距離を隔てられた所定の位置に配置されている。ブラインドは、光源部とステージとの間に配置され、光源部から発せられる露光光が、ステージに保持された半導体基板の外縁に沿って延在する所定の外周領域に照射されるのを遮る。   An exposure apparatus according to still another embodiment of the present invention includes a stage for holding a semiconductor substrate, a light source unit, and a blind. The light source unit is arranged at a predetermined position spaced from the stage so as to face the semiconductor substrate held on the stage. The blind is disposed between the light source unit and the stage and blocks exposure light emitted from the light source unit from irradiating a predetermined outer peripheral region extending along the outer edge of the semiconductor substrate held on the stage.

本発明の一実施例に係る半導体装置の製造方法によれば、第1の膜にハンプが生じることがなく、これにより、レジスト残渣あるいは被加工膜残渣が低減される。その結果、異物の発生による半導体装置の歩留まりの低下を抑制することができる。また、膜剥がれによる半導体装置の信頼性劣化も抑制することができる。   According to the method of manufacturing a semiconductor device according to the embodiment of the present invention, no hump is generated in the first film, thereby reducing a resist residue or a processed film residue. As a result, it is possible to suppress a decrease in yield of the semiconductor device due to the generation of foreign matter. In addition, deterioration of the reliability of the semiconductor device due to film peeling can be suppressed.

本発明の他の実施例に係る半導体装置によれば、歩留まりの低下、あるいは、信頼性の劣化が抑制される。   According to the semiconductor device according to another embodiment of the present invention, a decrease in yield or a deterioration in reliability is suppressed.

本発明のさらに他の実施例に係る露光装置によれば、被加工膜をパターニングするレジスト膜としてハンプのないレジスト膜を形成することができる。   According to the exposure apparatus according to still another embodiment of the present invention, a resist film without hump can be formed as a resist film for patterning a film to be processed.

本発明の実施の形態1に係る半導体装置の製造方法の一工程を示す断面図である。It is sectional drawing which shows 1 process of the manufacturing method of the semiconductor device which concerns on Embodiment 1 of this invention. 同実施の形態において、図1に示す工程の後に行われる工程を示す断面図である。FIG. 2 is a cross-sectional view showing a step performed after the step shown in FIG. 1 in the same embodiment. 同実施の形態において、図2に示す工程の後に行われる工程を示す断面図である。FIG. 3 is a cross-sectional view showing a step performed after the step shown in FIG. 2 in the same embodiment. 同実施の形態において、下層レジスト材料におけるベースポリマーの架橋反応のイメージを示す図である。In the same embodiment, it is a figure which shows the image of the crosslinking reaction of the base polymer in lower layer resist material. 同実施の形態において、図3に示す工程の後に行われる工程を示す断面図である。FIG. 4 is a cross-sectional view showing a step performed after the step shown in FIG. 3 in the same embodiment. 同実施の形態において、図5に示す工程の後に行われる工程を示す断面図である。FIG. 6 is a cross-sectional view showing a step performed after the step shown in FIG. 5 in the same embodiment. 同実施の形態において、図6に示す工程の後に行われる工程を示す断面図である。FIG. 7 is a cross-sectional view showing a step performed after the step shown in FIG. 6 in the same embodiment. 同実施の形態において、図7に示す工程の後に行われる工程を示す断面図である。FIG. 8 is a cross-sectional view showing a step performed after the step shown in FIG. 7 in the same embodiment. 同実施の形態において、図8に示す工程の後に行われる工程を示す断面図である。FIG. 9 is a cross-sectional view showing a step performed after the step shown in FIG. 8 in the same embodiment. 同実施の形態において、中間層レジスト材料におけるベースポリマーの架橋反応のイメージを示す図である。In the same embodiment, it is a figure which shows the image of the crosslinking reaction of the base polymer in intermediate | middle layer resist material. 同実施の形態において、図9に示す工程の後に行われる工程を示す断面図である。FIG. 10 is a cross-sectional view showing a step performed after the step shown in FIG. 9 in the same embodiment. 同実施の形態において、図11に示す工程の後に行われる工程を示す断面図である。FIG. 12 is a cross-sectional view showing a step performed after the step shown in FIG. 11 in the same embodiment. 同実施の形態において、図12に示す工程の後に行われる工程を示す断面図である。FIG. 13 is a cross-sectional view showing a step performed after the step shown in FIG. 12 in the same embodiment. 同実施の形態において、図13に示す工程の後に行われる工程を示す断面図である。FIG. 14 is a cross-sectional view showing a step performed after the step shown in FIG. 13 in the same embodiment. 同実施の形態において、図14に示す工程の後に行われる工程を示す断面図である。FIG. 15 is a cross-sectional view showing a step performed after the step shown in FIG. 14 in the same embodiment. 同実施の形態において、図15に示す工程の後に行われる工程を示す断面図である。FIG. 16 is a cross-sectional view showing a step performed after the step shown in FIG. 15 in the same embodiment. 同実施の形態において、図16に示す工程の後に行われる工程を示す断面図である。FIG. 17 is a cross-sectional view showing a step performed after the step shown in FIG. 16 in the same embodiment. 同実施の形態において、図17に示す工程の後に行われる工程を示す断面図である。FIG. 18 is a cross-sectional view showing a step performed after the step shown in FIG. 17 in the same embodiment. 同実施の形態において、図18に示す工程の後に行われる工程を示す断面図である。FIG. 19 is a cross-sectional view showing a step performed after the step shown in FIG. 18 in the same embodiment. 同実施の形態において、図19に示す工程の後に行われる工程を示す断面図である。FIG. 20 is a cross-sectional view showing a step performed after the step shown in FIG. 19 in the same embodiment. 比較例に係る半導体装置の製造方法の一工程を示す断面図である。It is sectional drawing which shows 1 process of the manufacturing method of the semiconductor device which concerns on a comparative example. 図21に示す工程の後に行われる工程を示す断面図である。FIG. 22 is a cross-sectional view showing a step performed after the step shown in FIG. 21. 図22に示す工程の後に行われる工程を示す断面図である。FIG. 23 is a cross-sectional view showing a step performed after the step shown in FIG. 22. 図23に示す工程の後に行われる工程を示す断面図である。FIG. 24 is a cross-sectional view showing a step performed after the step shown in FIG. 23. 図24に示す工程の後に行われる工程を示す断面図である。FIG. 25 is a cross-sectional view showing a step performed after the step shown in FIG. 24. 図25に示す工程の後に行われる工程を示す断面図である。FIG. 26 is a cross-sectional view showing a step performed after the step shown in FIG. 25. 図26に示す工程の後に行われる工程を示す断面図である。FIG. 27 is a cross-sectional view showing a step performed after the step shown in FIG. 26. 図27に示す工程の後に行われる工程を示す断面図である。FIG. 28 is a cross-sectional view showing a step performed after the step shown in FIG. 27. 図28に示す工程の後に行われる工程を示す断面図である。FIG. 29 is a cross-sectional view showing a step performed after the step shown in FIG. 28. 図29に示す工程の後に行われる工程を示す断面図である。FIG. 30 is a cross-sectional view showing a step performed after the step shown in FIG. 29. 同実施の形態において、三層レジストプロセスにおける下層レジスト膜、中間層レジスト膜および上層レジスト膜のそれぞれの外縁を示す部分断面図である。FIG. 4 is a partial cross-sectional view showing respective outer edges of a lower layer resist film, an intermediate layer resist film, and an upper layer resist film in the three-layer resist process in the same embodiment. 比較例に係る三層レジストプロセスにおける下層レジスト膜、中間層レジスト膜および上層レジスト膜のそれぞれの外縁を示す部分断面図である。It is a fragmentary sectional view showing each outer edge of a lower layer resist film, an intermediate layer resist film, and an upper layer resist film in a three layer resist process concerning a comparative example. 本発明の実施の形態2に係る露光装置の概念を示す斜視図である。It is a perspective view which shows the concept of the exposure apparatus which concerns on Embodiment 2 of this invention. 同実施の形態において、図33に示す断面線XXXIV−XXXIVにおける断面図である。FIG. 34 is a cross sectional view taken along a cross sectional line XXXIV-XXXIV shown in FIG. 33 in the same embodiment. 本発明の実施の形態3に係る半導体装置の製造方法の一工程を示す断面図である。It is sectional drawing which shows 1 process of the manufacturing method of the semiconductor device which concerns on Embodiment 3 of this invention. 同実施の形態において、図35に示す工程の後に行われる工程を示す断面図である。FIG. 36 is a cross-sectional view showing a step performed after the step shown in FIG. 35 in the same embodiment. 同実施の形態において、図36に示す工程の後に行われる工程を示す断面図である。FIG. 37 is a cross-sectional view showing a step performed after the step shown in FIG. 36 in the same embodiment. 同実施の形態において、図37に示す工程の後に行われる工程を示す断面図である。FIG. 38 is a cross-sectional view showing a step performed after the step shown in FIG. 37 in the same embodiment. 同実施の形態において、図38に示す工程の後に行われる工程を示す断面図である。FIG. 39 is a cross-sectional view showing a step performed after the step shown in FIG. 38 in the same embodiment. 同実施の形態において、図39に示す工程の後に行われる工程を示す断面図である。FIG. 40 is a cross-sectional view showing a step performed after the step shown in FIG. 39 in the same embodiment. 同実施の形態において、図40に示す工程の後に行われる工程を示す断面図である。FIG. 41 is a cross-sectional view showing a process performed after the process shown in FIG. 40 in the same embodiment. 同実施の形態において、図41に示す工程の後に行われる工程を示す断面図である。FIG. 42 is a cross-sectional view showing a process performed after the process shown in FIG. 41 in the same Example. 同実施の形態において、図42に示す工程の後に行われる工程を示す断面図である。FIG. 43 is a cross-sectional view showing a step performed after the step shown in FIG. 42 in the same embodiment. 同実施の形態において、図43に示す工程の後に行われる工程を示す断面図である。FIG. 44 is a cross-sectional view showing a step performed after the step shown in FIG. 43 in the same embodiment.

実施の形態1
ここでは、多層レジストプロセスを適用した半導体装置の製造方法の主要部分について説明する。多層レジストプロセスとして、下層レジスト膜、中間層レジスト膜および上層レジスト膜の三層レジストプロセスを例に挙げる。
Embodiment 1
Here, the main part of the manufacturing method of the semiconductor device to which the multilayer resist process is applied will be described. As a multilayer resist process, a three-layer resist process of a lower layer resist film, an intermediate layer resist film, and an upper layer resist film is taken as an example.

まず、半導体基板の表面に、所定の加工が施される被加工膜が形成される。次に、被加工膜に接するように下層レジスト膜が形成される。下層レジスト膜となるレジスト材料は、たとえば、感光性のネガ型のレジスト材料が用いられる。このレジスト材料は、ベースポリマー、光酸発生剤、架橋剤および溶媒から構成される。ベースポリマーの一例として、たとえば(化1)に示すように、ポリヒドロキシスチレンが挙げられる。   First, a film to be processed to be subjected to predetermined processing is formed on the surface of a semiconductor substrate. Next, a lower resist film is formed in contact with the film to be processed. As the resist material to be the lower resist film, for example, a photosensitive negative resist material is used. This resist material is composed of a base polymer, a photoacid generator, a crosslinking agent and a solvent. An example of the base polymer is polyhydroxystyrene as shown in (Chemical Formula 1), for example.

Figure 2012178394
Figure 2012178394

光酸発生剤は、露光光の照射によって酸を発生させる機能を有し、たとえば、(化2)に示すように、トリフルオロメタンスルホン酸トリフェニルスルホニウムが挙げられる。   The photoacid generator has a function of generating an acid by irradiation with exposure light, and examples thereof include triphenylsulfonium trifluoromethanesulfonate as shown in (Chemical Formula 2).

Figure 2012178394
Figure 2012178394

架橋剤は、発生した酸のもとで架橋反応を可能にする機能を有し、たとえば(化3)に示すように、ヘキサメトキシメチルメラミンが挙げられる。   The cross-linking agent has a function of enabling a cross-linking reaction under the generated acid. For example, as shown in (Chemical Formula 3), hexamethoxymethyl melamine can be mentioned.

Figure 2012178394
Figure 2012178394

まず、その下層レジスト材料がレジスト塗布装置によって被加工膜の上に塗布され、所定の回転数をもって半導体基板を回転させることにより、図1に示すように、半導体基板1の表面(被加工膜2の表面)に均一な膜厚の下層レジスト材料膜3が形成される。   First, the lower layer resist material is applied onto the film to be processed by a resist coating apparatus, and the semiconductor substrate is rotated at a predetermined rotational speed, whereby the surface of the semiconductor substrate 1 (the film to be processed 2 as shown in FIG. 1). The lower resist material film 3 having a uniform film thickness is formed on the surface of the substrate.

次に、下層レジスト材料膜3に露光光を照射することにより酸を発生させる。このとき、図2に示すように、まず、半導体基板1の外縁に沿って延在する外周領域を覆うように、環状のブラインド53が配置される。次に、その状態で露光光54を照射することによって、外周領域の内側に位置する下層レジスト材料膜3の部分に露光光が照射されて、以下の(化4)に示すように、下層レジスト材料膜3に含まれる光酸発生剤が分解して酸(プロトン)が発生する。一方、外周領域に位置する下層レジスト材料膜3の部分には、ブラインド53によって露光光は遮られて照射されない。このため、光酸発生剤は分解せず酸は発生しない。   Next, an acid is generated by irradiating the lower resist material film 3 with exposure light. At this time, as shown in FIG. 2, first, the annular blind 53 is arranged so as to cover the outer peripheral region extending along the outer edge of the semiconductor substrate 1. Next, by irradiating the exposure light 54 in that state, the exposure light is irradiated to the portion of the lower resist material film 3 located inside the outer peripheral region, and as shown in the following (Chemical Formula 4), the lower resist The photoacid generator contained in the material film 3 is decomposed to generate an acid (proton). On the other hand, the portion of the lower resist material film 3 located in the outer peripheral region is not irradiated with the exposure light blocked by the blind 53. For this reason, the photoacid generator is not decomposed and no acid is generated.

Figure 2012178394
Figure 2012178394

次に、図3に示すように、たとえば、約150℃〜200℃程度の温度条件のもとでベーク処理(熱61)を施すことによって下層レジスト膜3bが形成される。このとき、図4に示すように、ベーク処理によって、下層レジスト材料膜3中に発生した酸による架橋反応が促進され、ベースポリマー41は架橋剤42を介して架橋されて、架橋ポリマー43(下層レジスト膜3b)が形成されることになる。   Next, as shown in FIG. 3, for example, a lower resist film 3b is formed by performing a baking process (heat 61) under a temperature condition of about 150 ° C. to 200 ° C. At this time, as shown in FIG. 4, the cross-linking reaction by the acid generated in the lower resist material film 3 is promoted by the baking treatment, and the base polymer 41 is cross-linked through the cross-linking agent 42, thereby forming the cross-linked polymer 43 (the lower layer). A resist film 3b) will be formed.

一方、外周領域に位置して酸が発生していない下層レジスト材料膜の部分は、未架橋の下層レジスト材料膜3として残される。次に、図5に示すように、現像液として、所定の有機溶媒62によって現像処理を施すことにより、図6に示すように、未架橋の下層レジスト材料膜3の部分が除去されて、架橋した下層レジスト膜3bが残される。半導体基板における所定の外周領域に位置する下層レジスト材料膜3の部分を除去することで、従来の三層レジストプロセスに見られたハンプが生じることはない。なお、半導体基板における外周領域とは一義的に定まる外周領域ではなく、下層レジスト(材料)膜、中間層レジスト(材料)膜および上層レジスト(材料)膜のそれぞれに対応して定まる領域である。   On the other hand, the portion of the lower resist material film which is located in the outer peripheral region and where no acid is generated is left as an uncrosslinked lower resist material film 3. Next, as shown in FIG. 5, a developing process is performed with a predetermined organic solvent 62 as a developing solution, thereby removing a portion of the uncrosslinked lower layer resist material film 3 as shown in FIG. 6. The lower resist film 3b is left. By removing the portion of the lower resist material film 3 located in a predetermined outer peripheral region of the semiconductor substrate, the hump seen in the conventional three-layer resist process does not occur. Note that the peripheral region in the semiconductor substrate is not a peripheral region that is uniquely determined, but a region that is determined corresponding to each of the lower layer resist (material) film, the intermediate layer resist (material) film, and the upper layer resist (material) film.

ここで、下層レジスト材料によっては、有機溶媒の代わりに、たとえば、水酸化テトラメチルアンモニウム水溶液のようなアルカリ性水溶液を、現像液として適用してもよい。また、下層レジスト膜3bにおける架橋反応をさらに促進させるために、前述したベーク処理の温度条件(約150℃〜200℃程度)よりも高い温度条件のもとで、ベーク処理を追加するようにしてもよい。   Here, depending on the lower layer resist material, instead of the organic solvent, for example, an alkaline aqueous solution such as an aqueous tetramethylammonium hydroxide solution may be applied as the developer. Further, in order to further promote the crosslinking reaction in the lower resist film 3b, the baking process is added under a temperature condition higher than the above-described baking temperature condition (about 150 ° C. to 200 ° C.). Also good.

次に、下層レジスト膜に接するように中間層レジスト膜が形成される。中間層レジスト膜となるレジスト材料は、下層レジスト膜となるレジスト材料と同様に、感光性のネガ型のレジスト材料が用いられ、このレジスト材料は、少なくともベースポリマー、光酸発生剤および溶媒から構成されている。また、これらの他に架橋剤を含有していてもよい。ベースポリマーの一例として、たとえば(化5)に示すように、有機ポリシロキサンが挙げられる。また、光酸発生剤、架橋剤および溶媒は、下層レジスト膜となるレジスト材料の光酸発生剤、架橋剤および溶媒と同じものが挙げられる。   Next, an intermediate layer resist film is formed in contact with the lower layer resist film. The resist material used as the intermediate layer resist film is a photosensitive negative resist material similar to the resist material used as the lower layer resist film, and this resist material is composed of at least a base polymer, a photoacid generator and a solvent. Has been. Moreover, you may contain the crosslinking agent in addition to these. An example of the base polymer is an organic polysiloxane as shown in (Chemical Formula 5). Examples of the photoacid generator, the crosslinking agent, and the solvent are the same as the photoacid generator, the crosslinking agent, and the solvent of the resist material that becomes the lower resist film.

Figure 2012178394
Figure 2012178394

まず、レジスト塗布装置によって下層レジスト膜の上に所定の中間層レジスト材料が塗布され、所定の回転数をもって半導体基板を回転させることにより、図7に示すように、下層レジスト膜3bを覆うように中間層レジスト材料膜4が形成される。次に、中間層レジスト材料膜4に露光光を照射することにより酸を発生させる。このとき、図8に示すように、半導体基板の外縁から下層レジスト膜3bの外縁よりも内側の所定の位置までの外周領域を覆うように、環状のブラインド53が配置される。   First, a predetermined intermediate layer resist material is applied onto the lower resist film by a resist coating apparatus, and the semiconductor substrate is rotated at a predetermined rotational speed so as to cover the lower resist film 3b as shown in FIG. An intermediate layer resist material film 4 is formed. Next, an acid is generated by irradiating the intermediate layer resist material film 4 with exposure light. At this time, as shown in FIG. 8, the annular blind 53 is arranged so as to cover the outer peripheral region from the outer edge of the semiconductor substrate to a predetermined position inside the outer edge of the lower resist film 3b.

次に、その状態で露光光54を照射することによって、その外周領域の内側に位置する中間層レジスト材料膜4の部分に露光光が照射されて、中間層レジスト材料膜4に含まれる光酸発生剤が分解して酸(プロトン)が発生する。一方、外周領域に位置する中間層レジスト材料膜4の部分には、ブラインド53によって露光光は遮られて照射されない。このため、光酸発生剤は分解せず酸は発生しない。   Next, by irradiating the exposure light 54 in this state, the exposure light is irradiated to the portion of the intermediate layer resist material film 4 located inside the outer peripheral region, and the photoacid contained in the intermediate layer resist material film 4 is irradiated. The generator is decomposed to generate an acid (proton). On the other hand, the exposure light is blocked by the blind 53 and is not irradiated onto the portion of the intermediate layer resist material film 4 located in the outer peripheral region. For this reason, the photoacid generator is not decomposed and no acid is generated.

次に、図9に示すように、たとえば、約150℃〜200℃程度の温度条件のもとでベーク処理(熱61)を施すことによって中間層レジスト膜4bが形成される。このとき、図10に示すように、ベーク処理によって、中間層レジスト材料膜4中に発生した酸による架橋反応が促進され、ベースポリマー41は架橋されて架橋ポリマー43(中間層レジスト膜4b)が形成されることになる。   Next, as shown in FIG. 9, for example, an intermediate layer resist film 4b is formed by performing a baking process (heat 61) under a temperature condition of about 150 ° C. to 200 ° C. At this time, as shown in FIG. 10, the cross-linking reaction by the acid generated in the intermediate layer resist material film 4 is promoted by baking, and the base polymer 41 is cross-linked to form the cross-linked polymer 43 (intermediate layer resist film 4 b). Will be formed.

なお、中間層レジスト膜4bの場合のベースポリマーの参照符号および架橋ポリマーの参照符号は、下層レジスト膜3bの場合のベースポリマーの参照符号および架橋ポリマーの参照符号とそれぞれ同じであるが、同じ物質を意図するものではない。   Note that the reference code of the base polymer and the reference code of the cross-linked polymer in the case of the intermediate layer resist film 4b are the same as the reference code of the base polymer and the reference code of the cross-linked polymer in the case of the lower layer resist film 3b, respectively. Is not intended.

一方、外周領域に位置して酸が発生していない中間層レジスト材料膜の部分は、未架橋の中間層レジスト材料膜4として残される。次に、図11に示すように、現像液として、所定の有機溶媒62によって現像処理を施すことにより、図12に示すように、未架橋の中間層レジスト材料膜4の部分が除去されて、架橋した中間層レジスト膜4bが残される。なお、中間層レジスト材料膜4を現像する有機溶媒62としては、下層レジスト材料膜3を現像する有機溶媒とは種類が異なっていてもよい。半導体基板1における所定の外周領域に位置する中間層レジスト材料膜4の部分を除去することで、従来の三層レジストプロセスに見られたハンプが生じることはない。   On the other hand, the portion of the intermediate layer resist material film which is located in the outer peripheral region and where no acid is generated remains as the uncrosslinked intermediate layer resist material film 4. Next, as shown in FIG. 11, as a developing solution, a development process is performed with a predetermined organic solvent 62, thereby removing the portion of the uncrosslinked intermediate layer resist material film 4 as shown in FIG. 12. The cross-linked intermediate layer resist film 4b is left. The organic solvent 62 for developing the intermediate layer resist material film 4 may be different from the organic solvent for developing the lower layer resist material film 3. By removing the portion of the intermediate layer resist material film 4 located in the predetermined outer peripheral region of the semiconductor substrate 1, the hump seen in the conventional three-layer resist process does not occur.

次に、中間層レジスト膜に接するように上層レジスト膜が形成される。まず、レジスト塗布装置によって所定の上層レジスト材料が塗布され、所定の回転数をもって半導体基板を回転させることにより、図13に示すように、中間層レジスト膜4bを覆うように上層レジスト材料膜5が形成される。   Next, an upper resist film is formed in contact with the intermediate resist film. First, a predetermined upper layer resist material is applied by a resist coating apparatus, and the semiconductor substrate is rotated at a predetermined number of rotations, so that the upper layer resist material film 5 covers the intermediate layer resist film 4b as shown in FIG. It is formed.

次に、上層レジスト材料膜5に所定の写真製版処理を施すことにより、チップが形成される領域に位置する上層レジスト材料膜には、所定のパターン(図示せず)が写真製版される。次に、図14に示すように、半導体基板1における所定の外周領域に位置する上層レジスト材料膜5の部分に露光光54を照射することによって周辺露光処理が施される。次に、写真製版処理等が施された上層レジスト材料膜5に、所定の温度条件のもとでベーク処理を施すことによって上層レジスト膜5b(図15参照)が形成される。   Next, by performing a predetermined photoengraving process on the upper resist material film 5, a predetermined pattern (not shown) is photoengraved on the upper resist material film located in the region where the chip is formed. Next, as shown in FIG. 14, peripheral exposure processing is performed by irradiating the portion of the upper resist material film 5 located in a predetermined outer peripheral region of the semiconductor substrate 1 with exposure light 54. Next, an upper resist film 5b (see FIG. 15) is formed by subjecting the upper resist material film 5 subjected to the photoengraving process and the like to baking under a predetermined temperature condition.

次に、所定の現像液によって現像処理を施すことにより、図15に示すように、チップ形成領域CRでは、上層レジスト膜5bに所定のパターンが形成される。一方、半導体基板の外周部PRでは、上層レジスト膜5bの部分が除去されて、それぞれハンプのない下層レジスト膜3bの表面と中間層レジスト膜4bの表面とが露出する。こうして、図16に示すように、被加工膜2をパターニングするための、下層レジスト膜3b、中間層レジスト膜4bおよび上層レジスト膜5bが形成される。なお、半導体基板における外周部PRとは、下層レジスト膜3bの外縁、中間層レジスト膜4bおよび上層レジスト膜5bの外縁を含む領域をいう。   Next, by performing development processing with a predetermined developer, a predetermined pattern is formed on the upper resist film 5b in the chip formation region CR as shown in FIG. On the other hand, at the outer periphery PR of the semiconductor substrate, the portion of the upper resist film 5b is removed, and the surface of the lower resist film 3b and the surface of the intermediate resist film 4b that are not humped are exposed. Thus, as shown in FIG. 16, a lower resist film 3b, an intermediate resist film 4b, and an upper resist film 5b for patterning the film to be processed 2 are formed. The outer peripheral portion PR in the semiconductor substrate refers to a region including the outer edge of the lower layer resist film 3b, the outer edge of the intermediate layer resist film 4b, and the upper layer resist film 5b.

次に、下層レジスト膜3b、中間層レジスト膜4bおよび上層レジスト膜5bによって被加工膜2がパターニングされる。まず、図17に示すように、上層レジスト膜5bをマスクとして中間層レジスト膜4bにエッチング処理を施すことにより、上層レジスト膜5bのパターンが中間層レジスト膜4bに転写される。この場合、中間層レジスト材料としてシリコン(Si)元素を含む材料を適用し、たとえば、CF4のようなフッ素系ガスを用いてエッチングを施すことで、上層レジスト膜5bに対して高いエッチング選択比を得ることができる。 Next, the processed film 2 is patterned by the lower resist film 3b, the intermediate resist film 4b, and the upper resist film 5b. First, as shown in FIG. 17, the upper resist film 5b is used as a mask to etch the intermediate resist film 4b, whereby the pattern of the upper resist film 5b is transferred to the intermediate resist film 4b. In this case, a material containing silicon (Si) element is applied as the intermediate layer resist material, and etching is performed using, for example, a fluorine-based gas such as CF 4 , so that a high etching selectivity with respect to the upper layer resist film 5b. Can be obtained.

次に、図18に示すように、中間層レジスト膜4bをマスクとして、下層レジスト膜3bにエッチングを施すことにより、中間層レジスト膜4bを介して上層レジスト膜5bのパターンが下層レジスト膜3bに転写される。この場合、たとえば、酸素(O2)あるいは窒素(N2)/水素(H2)のようなガスを用いてエッチングを施すことで、中間層レジスト膜4bに対して高いエッチング選択比を得ることができる。 Next, as shown in FIG. 18, by etching the lower resist film 3b using the intermediate resist film 4b as a mask, the pattern of the upper resist film 5b is changed to the lower resist film 3b via the intermediate resist film 4b. Transcribed. In this case, for example, etching is performed using a gas such as oxygen (O 2 ) or nitrogen (N 2 ) / hydrogen (H 2 ) to obtain a high etching selectivity with respect to the intermediate layer resist film 4 b. Can do.

次に、図19に示すように、下層レジスト膜3bをマスクとして、被加工膜2にエッチングを施すことにより、被加工膜2がパターニングされる。その後、図20に示すように、酸素プラズマアッシング処理を施すことにより、半導体基板1に残る下層レジスト膜3b等が除去されて、被加工膜2のパターニングが完了する。   Next, as shown in FIG. 19, the processed film 2 is patterned by etching the processed film 2 using the lower resist film 3b as a mask. Thereafter, as shown in FIG. 20, by performing an oxygen plasma ashing process, the lower resist film 3b and the like remaining on the semiconductor substrate 1 are removed, and the patterning of the film to be processed 2 is completed.

上述した半導体装置の製造方法では、半導体基板におけるそれぞれ所定の外周領域に位置する下層レジスト材料膜3の部分と中間層レジスト材料膜4の部分とが除去されて、半導体基板1における外周部PRでは、下層レジスト材料膜3あるいは中間層レジスト材料膜4にハンプは形成されない。これにより、レジスト残渣あるいは被加工膜残渣を低減することができる。このことについて、比較例を交えて説明する。   In the semiconductor device manufacturing method described above, the portion of the lower resist material film 3 and the portion of the intermediate layer resist material film 4 that are respectively located in predetermined outer peripheral regions of the semiconductor substrate are removed, and the outer peripheral portion PR of the semiconductor substrate 1 is removed. No hump is formed on the lower resist material film 3 or the intermediate resist material film 4. Thereby, resist residues or processed film residues can be reduced. This will be described with a comparative example.

比較例に係る半導体装置の製造方法では、まず、半導体基板の表面に形成された被加工膜の上に、レジスト塗布装置によって下層レジスト材料が塗布され、所定の回転数をもって半導体基板を回転させることにより、図21に示すように、半導体基板101の表面に均一な膜厚の下層レジスト材料膜103が形成される。   In the method of manufacturing a semiconductor device according to the comparative example, first, a lower layer resist material is applied onto a film to be processed formed on the surface of the semiconductor substrate by a resist coating apparatus, and the semiconductor substrate is rotated at a predetermined rotational speed. Thus, as shown in FIG. 21, a lower resist material film 103 having a uniform thickness is formed on the surface of the semiconductor substrate 101.

次に、図22に示すように、溶剤吐出ノズル154から、溶剤165を吐出させて下層レジスト材料膜103に噴き付けることによりエッジリンスが行われる。次に、下層レジスト材料膜103を乾燥させる。このとき、図23に示すように、溶解して半導体基板101に残された下層レジスト材料膜の成分が膨れて盛り上がり、ハンプ103aが発生することがある。次に、図24に示すように、所定の温度のもとで半導体基板101にベーク処理166を施すことにより、下層レジスト材料膜103が架橋し、図25に示すように、下層レジスト膜103bが形成される。   Next, as shown in FIG. 22, edge rinsing is performed by discharging the solvent 165 from the solvent discharge nozzle 154 and spraying it on the lower resist material film 103. Next, the lower resist material film 103 is dried. At this time, as shown in FIG. 23, the components of the lower resist material film dissolved and left on the semiconductor substrate 101 may swell and rise to generate a hump 103a. Next, as shown in FIG. 24, the lower resist material film 103 is cross-linked by performing a baking process 166 on the semiconductor substrate 101 at a predetermined temperature, and as shown in FIG. It is formed.

次に、半導体基板101の表面に中間層レジスト材料を塗布し、下層レジスト膜を形成する工程と同様の工程を経て、中間層レジスト膜104bが形成される。中間層レジスト膜104bには、中間層レジスト材料層を乾燥させる際に、ハンプ104aが発生することがある(図26参照)。次に、半導体基板101の表面に上層レジスト材料を塗布し、上述した実施の形態における上層レジスト膜を形成する工程と同様の工程を経て、上層レジスト膜105bが形成される。こうして、図26に示すように、被加工膜102をパターニングするための、下層レジスト膜103b、中間層レジスト膜104bおよび上層レジスト膜105bが形成される。半導体基板101の外周部に露出する下層レジスト膜103bあるいは中間層レジスト膜104bには、ハンプ103a,104aが認められる。   Next, an intermediate layer resist film 104b is formed through a process similar to the process of applying an intermediate layer resist material to the surface of the semiconductor substrate 101 and forming the lower layer resist film. In the intermediate layer resist film 104b, a hump 104a may be generated when the intermediate layer resist material layer is dried (see FIG. 26). Next, an upper resist material is applied to the surface of the semiconductor substrate 101, and the upper resist film 105b is formed through a process similar to the process of forming the upper resist film in the above-described embodiment. In this way, as shown in FIG. 26, the lower resist film 103b, the intermediate resist film 104b, and the upper resist film 105b for patterning the film to be processed 102 are formed. Humps 103a and 104a are observed in the lower resist film 103b or the intermediate resist film 104b exposed on the outer periphery of the semiconductor substrate 101.

次に、下層レジスト膜103b、中間層レジスト膜104bおよび上層レジスト膜105bによって被加工膜102がパターニングされる。まず、図27に示すように、上層レジスト膜105bをマスクとして中間層レジスト膜104bにエッチング処理を施すことにより、上層レジスト膜105bのパターンが中間層レジスト膜104bに転写される。このとき、中間層レジスト膜104bは、上層レジスト膜5bに対して高いエッチング選択比を有するため、ハンプ104aが発生している箇所の中間層レジスト膜104bの部分は、レジスト残渣104cとなることが多い。また、下層レジスト膜103bのハンプ103aもエッチングされずに残ることが多い。   Next, the processing target film 102 is patterned by the lower resist film 103b, the intermediate resist film 104b, and the upper resist film 105b. First, as shown in FIG. 27, the upper resist film 105b is used as a mask to etch the intermediate resist film 104b, whereby the pattern of the upper resist film 105b is transferred to the intermediate resist film 104b. At this time, since the intermediate layer resist film 104b has a high etching selectivity with respect to the upper layer resist film 5b, the portion of the intermediate layer resist film 104b where the hump 104a is generated may become a resist residue 104c. Many. Further, the hump 103a of the lower resist film 103b often remains without being etched.

次に、図28に示すように、中間層レジスト膜104bをマスクとして、下層レジスト膜103bにエッチングを施すことにより、中間層レジスト膜104bを介して上層レジスト膜105bのパターンが下層レジスト膜103bに転写される。このとき、下層レジスト膜103bは中間層レジスト膜104bに対して高いエッチング選択比を有するため、本来であれば、下層レジスト膜103bまで除去されるべき半導体基板101の外周部では、ハンプ103aが発生している箇所の下層レジスト膜103bの部分は、レジスト残渣103cとなることが多い。また、レジスト残渣104cが位置している箇所では、レジスト残渣104cがマスクとなって、その直下に位置する下層レジスト膜103bの部分がレジスト残渣103dとなることがある。   Next, as shown in FIG. 28, by etching the lower resist film 103b using the intermediate resist film 104b as a mask, the pattern of the upper resist film 105b is changed to the lower resist film 103b via the intermediate resist film 104b. Transcribed. At this time, since the lower resist film 103b has a high etching selectivity with respect to the intermediate resist film 104b, a hump 103a is generated at the outer peripheral portion of the semiconductor substrate 101 that should be removed to the lower resist film 103b. In many cases, the portion of the lower resist film 103b that is being formed becomes a resist residue 103c. Further, at the position where the resist residue 104c is located, the resist residue 104c may serve as a mask, and the portion of the lower resist film 103b located immediately below the resist residue 104c may become the resist residue 103d.

このように、比較例に係る半導体装置の製造方法では、被加工膜102をパターニングするためのレジストマスク(下層レジスト膜103b等)が形成された時点で、半導体基板101における外周部では、レジスト残渣103c、103d、104cが存在することが多い。   As described above, in the method for manufacturing a semiconductor device according to the comparative example, at the time when a resist mask (such as the lower layer resist film 103b) for patterning the film to be processed 102 is formed, the resist residue is formed on the outer periphery of the semiconductor substrate 101. In many cases, 103c, 103d, and 104c exist.

次に、図29に示すように、下層レジスト膜103b等をマスクとして、被加工膜102にエッチングを施すことにより、被加工膜102がパターニングされる。このとき、レジスト残渣104c、103dが位置している箇所では、レジスト残渣がマスクとなって、被加工膜102の部分は被加工膜残渣102bとなる。また、レジスト残渣103cが位置していた箇所でも、被加工膜102の部分が被加工膜残渣102aとなる。   Next, as shown in FIG. 29, the processed film 102 is patterned by etching the processed film 102 using the lower resist film 103b and the like as a mask. At this time, where the resist residues 104c and 103d are located, the resist residue serves as a mask, and the portion of the processed film 102 becomes the processed film residue 102b. Further, even in the place where the resist residue 103c is located, the portion of the film to be processed 102 becomes the film residue 102a to be processed.

その後、図30に示すように、酸素プラズマアッシング処理を施すことにより、下層レジスト膜103b等が除去される。このとき、レジスト残渣103dが完全に除去されないことがある。また、被加工膜残渣102a,102bも除去されずに、半導体基板101の外周部に残されることになる。   Thereafter, as shown in FIG. 30, an oxygen plasma ashing process is performed to remove the lower resist film 103b and the like. At this time, the resist residue 103d may not be completely removed. Further, the processed film residues 102a and 102b are not removed and are left on the outer peripheral portion of the semiconductor substrate 101.

比較例に係る半導体装置の製造方法では、半導体基板の外周部に被加工膜残渣102a,102b等が存在する状態で製造工程を進めると、被加工膜残渣102a,102b等が異物となって、半導体装置の歩留まりを低下させてしまうおそれがある。また、膜剥がれの要因となって、半導体装置の信頼性を下げてしまうおそれがある。   In the manufacturing method of the semiconductor device according to the comparative example, when the manufacturing process proceeds in a state where the processed film residues 102a and 102b and the like exist on the outer peripheral portion of the semiconductor substrate, the processed film residues 102a and 102b and the like become foreign matters. There is a risk of decreasing the yield of the semiconductor device. In addition, the reliability of the semiconductor device may be lowered due to film peeling.

また、上層レジスト膜をパターニングした後に、寸法や重ね合わせ精度等の不具合によって再生処理(リワーク処理)を行う必要が生じた場合には、中間層レジスト膜はフッ素系ガスを用いてエッチバックされ、下層レジスト膜は酸素ガスを用いてアッシングされることになる。このため、ハンプが発生している箇所では、中間層レジスト膜あるいは下層レジスト膜の部分を除去しきれない場合が多く、異物の発生要因となる。   In addition, after patterning the upper resist film, if it is necessary to perform a regeneration process (rework process) due to defects such as dimensions and overlay accuracy, the intermediate resist film is etched back using a fluorine-based gas, The lower resist film is ashed using oxygen gas. For this reason, the portion of the intermediate layer resist film or the lower layer resist film cannot often be completely removed at the place where the hump is generated, which becomes a cause of generation of foreign matters.

一方、半導体基板101の外周部に残された被加工膜残渣102a,102b等を除去する手法があり、一般に、ベベルエッチングあるいはベベルCMP(Chemical Mechanical Polishing)が知られている。所定のエッチング処理や再生処理を行った後に、このベベルエッチング等を行うことで、被加工膜残渣102a,102b等が除去される。ところが、これらの処理を行なう場合には、下地の半導体基板101にダメージを与えることになり、半導体装置の歩留まりや信頼性に影響を与えるおそれがあり、また、その再生処理を行なう回数を制限する必要もある。   On the other hand, there is a method of removing the processed film residues 102a, 102b and the like remaining on the outer peripheral portion of the semiconductor substrate 101, and bevel etching or bevel CMP (Chemical Mechanical Polishing) is generally known. By performing this bevel etching after performing a predetermined etching process and regeneration process, the processed film residues 102a, 102b, etc. are removed. However, when these processes are performed, the underlying semiconductor substrate 101 is damaged, which may affect the yield and reliability of the semiconductor device, and limit the number of times the regeneration process is performed. There is also a need.

これに対して、上述した半導体装置の製造方法では、下層レジスト膜および中間層レジスト膜として、それぞれ感光性を有するレジスト材料を適用し、半導体基板におけるそれぞれ所定の外周領域に位置する下層レジスト(材料)膜の部分と中間層レジスト(材料)膜の部分とが除去される。これにより、従来の三層レジストプロセスのように、半導体基板における外周部においてハンプが生じることはなく、異物の発生による半導体装置の歩留まりの低下を抑制することができる。また、膜剥がれによる半導体装置の信頼性劣化も抑制することができる。   On the other hand, in the method for manufacturing a semiconductor device described above, a resist material having photosensitivity is applied as the lower layer resist film and the intermediate layer resist film, and lower layer resists (materials) located in predetermined outer peripheral regions of the semiconductor substrate, respectively. ) The film portion and the intermediate layer resist (material) film portion are removed. As a result, unlike the conventional three-layer resist process, hump does not occur in the outer peripheral portion of the semiconductor substrate, and the decrease in the yield of the semiconductor device due to the generation of foreign matters can be suppressed. In addition, deterioration of the reliability of the semiconductor device due to film peeling can be suppressed.

また、上層レジスト膜をパターニングした後に、寸法や重ね合わせ精度等の不具合によって再生処理を行う必要が生じた場合であっても、下地(膜、半導体基板等)にダメージを与えることなくすべてのレジスト膜を完全に除去することができ、その後、再度レジストパターンを形成することが可能となる。また、再生処理による下地の半導体基板へのダメージがないため、再生処理を行う回数に制限をかける必要もない。   In addition, after patterning the upper resist film, all resists can be used without damaging the underlying layer (film, semiconductor substrate, etc.) even if it is necessary to perform a regeneration process due to defects such as dimensions or overlay accuracy. The film can be completely removed, and then a resist pattern can be formed again. Further, since there is no damage to the underlying semiconductor substrate due to the regeneration process, there is no need to limit the number of times the regeneration process is performed.

さらに、上述した半導体装置の製造方法では、半導体基板におけるそれぞれ外周領域に位置するレジスト(材料)膜の部分に露光処理を施して除去することで、エッジリンスを行う場合に比べて、各レジスト膜の外縁の位置精度(寸法の制御性)を向上させることができる。このことについて説明する。   Furthermore, in the semiconductor device manufacturing method described above, each resist film is removed by performing an exposure process on a portion of the resist (material) film located in each outer peripheral region of the semiconductor substrate, thereby removing the edge rinse. The position accuracy (controllability of dimensions) of the outer edge can be improved. This will be described.

半導体基板におけるそれぞれ外周領域に位置するレジスト(材料)膜の部分に露光処理を施す場合、図31に示すように、下層レジスト膜3bの外縁の位置のばらつき幅L1、中間層レジスト膜4bの外縁の位置のばらつきの幅L2、上層レジスト膜5bの外縁の位置のばらつき幅L3は、いずれも約0.1mm(±0.05mm)とされる。このため、下層レジスト膜3bの外縁よりも内側に中間層レジスト膜4bの外縁を配置させ、さらに、その中間層レジスト膜4bの外縁よりも内側に上層レジスト膜5bの外縁を配置させようとすれば、下層レジスト膜3bの外縁と上層レジスト膜5bの外縁との距離P(外縁の位置のばらつき幅の合計)は約0.3mm必要になる。   When the exposure process is performed on the resist (material) film portions located in the respective outer peripheral regions of the semiconductor substrate, as shown in FIG. 31, the variation width L1 of the outer edge position of the lower resist film 3b and the outer edge of the intermediate resist film 4b The position variation width L2 and the outer edge position variation width L3 of the upper resist film 5b are both about 0.1 mm (± 0.05 mm). Therefore, the outer edge of the intermediate layer resist film 4b is arranged inside the outer edge of the lower layer resist film 3b, and further, the outer edge of the upper layer resist film 5b is arranged inside the outer edge of the intermediate layer resist film 4b. For example, the distance P between the outer edge of the lower resist film 3b and the outer edge of the upper resist film 5b (the total variation width of the outer edge position) needs to be about 0.3 mm.

これに対して、エッジリンスを行う比較例では、図32に示すように、下層レジスト膜103bの外縁の位置のばらつき幅PL1、中間層レジスト膜104bの外縁の位置のばらつきの幅PL2は、いずれも約0.6mm(±0.3mm)とされ、上層レジスト膜105bの外縁の位置のばらつき幅PL3は、約0.1mm(±0.05mm)とされる。このため、下層レジスト膜103bの外縁よりも内側に中間層レジスト膜104bの外縁を配置させ、さらに、その中間層レジスト膜104bの外縁よりも内側に上層レジスト膜105bの外縁を配置させようとすると、下層レジスト膜103bの外縁と上層レジスト膜105bの外縁との距離PL(外縁の位置のばらつき幅の合計)は約1.3mm必要になる。   On the other hand, in the comparative example in which the edge rinse is performed, as shown in FIG. 32, the variation width PL1 of the outer edge position of the lower resist film 103b and the variation width PL2 of the outer edge position of the intermediate layer resist film 104b are Also, the variation width PL3 of the position of the outer edge of the upper resist film 105b is about 0.1 mm (± 0.05 mm). Therefore, when the outer edge of the intermediate layer resist film 104b is arranged inside the outer edge of the lower layer resist film 103b, and further, the outer edge of the upper layer resist film 105b is arranged inside the outer edge of the intermediate layer resist film 104b. The distance PL between the outer edge of the lower resist film 103b and the outer edge of the upper resist film 105b (the total variation width of the outer edge positions) needs to be about 1.3 mm.

なお、図32では、ハンプは誇張して示されているため、そのサイズを正しく反映したものではない。ハンプの高さは、塗布されるレジスト材料膜の膜厚の数倍程度(数百nm〜1μm程度)であり、また、ハンプが発生する領域の幅(半導体基板の径方向の長さ)は、約5μm〜10μm程度である。   In FIG. 32, the hump is exaggerated and does not accurately reflect its size. The height of the hump is about several times the thickness of the resist material film to be applied (several hundred nm to 1 μm), and the width of the region where the hump occurs (the length in the radial direction of the semiconductor substrate) is About 5 μm to 10 μm.

したがって、露光処理を施す上述した半導体装置の製造方法では、エッジリンスを行う比較例に係る半導体装置の製造方法よりも、半導体装置(チップ)が形成される有効面積を増やすことができる。   Therefore, in the manufacturing method of the semiconductor device described above that performs the exposure process, the effective area on which the semiconductor device (chip) is formed can be increased as compared with the manufacturing method of the semiconductor device according to the comparative example that performs edge rinsing.

(レジスト材料について)
上述した半導体装置の製造方法では、下層レジスト材料のベースポリマー、光酸発生剤および架橋剤として、(化1)〜(化3)にそれぞれ示されるベースポリマー、光酸発生剤および架橋剤を一例に挙げ、また、中間層レジスト材料のベースポリマーとして、(化5)に示されるベースポリマーを一例に挙げて説明した。ここで、上述した半導体装置の製造方法において、下層レジスト膜あるいは中間層レジスト膜として適用されるレジスト材料について説明する。
(Registration materials)
In the semiconductor device manufacturing method described above, examples of the base polymer, the photoacid generator, and the crosslinking agent shown in (Chemical Formula 1) to (Chemical Formula 3) are given as examples of the base polymer, the photoacid generator, and the crosslinking agent of the lower layer resist material. In addition, as a base polymer for the intermediate layer resist material, the base polymer represented by (Chemical Formula 5) has been described as an example. Here, a resist material applied as a lower layer resist film or an intermediate layer resist film in the above-described semiconductor device manufacturing method will be described.

まず、三層レジストプロセスにおける従来の一般的な下層レジスト膜あるいは中間層レジスト膜として適用されているレジスト材料は、ベースポリマー、架橋剤、熱酸発生剤、溶媒から構成されている。このレジスト材料では、回転塗布後のベークによって熱酸発生剤の分解が起こり、レジスト材料膜中に酸が発生する。発生した酸により、ベースポリマーと架橋剤との架橋反応が起こる。   First, a resist material applied as a conventional general lower layer resist film or intermediate layer resist film in a three-layer resist process is composed of a base polymer, a crosslinking agent, a thermal acid generator, and a solvent. In this resist material, the thermal acid generator is decomposed by baking after spin coating, and an acid is generated in the resist material film. The generated acid causes a crosslinking reaction between the base polymer and the crosslinking agent.

これに対して、上述した半導体装置の製造方法に用いる下層レジスト膜あるいは中間層レジスト膜として適用されるレジスト材料は、従来の下層レジスト膜あるいは中間層レジスト膜として適用されるレジスト材料における熱酸発生剤を光酸発生剤に置き換えた材料である。以下、そのレジスト材料について詳しく説明する。   On the other hand, the resist material applied as the lower layer resist film or intermediate layer resist film used in the semiconductor device manufacturing method described above generates thermal acid in the resist material applied as the conventional lower layer resist film or intermediate layer resist film. It is a material in which the agent is replaced with a photoacid generator. Hereinafter, the resist material will be described in detail.

(下層レジスト材料について)
下層レジスト膜には高いエッチング耐性とともに、下地段差の平坦化と反射防止の効果が求められるため、ベースポリマーとして、一般的にフェノール樹脂のような芳香環を高濃度で含むものが用いられる。また、この下層レジスト膜と、その上に塗布する中間層レジスト(材料)膜とが混ざり合わないように、ポリマーを架橋させて中間層レジスト材料の溶媒に下層レジスト膜が溶けないようにする必要がある。
(About lower layer resist material)
Since the lower resist film is required to have high etching resistance, flattening of the underlying step and antireflection effect, a base polymer generally containing a high concentration of an aromatic ring such as a phenol resin is used. Also, it is necessary to crosslink the polymer so that the lower layer resist film does not dissolve in the solvent of the intermediate layer resist material so that the lower layer resist film and the intermediate layer resist (material) film applied thereon are not mixed. There is.

そこで、ここで用いる下層レジスト材料は、少なくともベースポリマー、架橋剤、光酸発生剤、溶媒から構成される。ベースポリマーの例としては、ベンゼン骨格、ナフタレン骨格、アントラセン骨格、フルオレン骨格、ピレン骨格などを含むポリマーが挙げられる。架橋剤の例としては、メラミン、尿素、ベンゾグアナミン、グリコールウリルのアミノ基の少なくとも2つがメチロール基またはアルコキシメチル基で置換され、2個以上の反応性基をもつ化合物が挙げられる。光酸発生剤(PAG:Photo Acid Generator)は、通常、化学増幅型レジストに用いられるものと同じものでよく、最も効果的な光酸発生剤としては、ヨードニウム塩およびスルホニウム塩が挙げられる。他に、イミドスルホネート類、ジアゾメタン類、有機ハロゲン化物などの光酸発生剤でもよい。   Therefore, the lower layer resist material used here is composed of at least a base polymer, a crosslinking agent, a photoacid generator, and a solvent. Examples of the base polymer include polymers containing a benzene skeleton, a naphthalene skeleton, an anthracene skeleton, a fluorene skeleton, a pyrene skeleton, and the like. Examples of the crosslinking agent include compounds having at least two amino groups of melamine, urea, benzoguanamine, and glycoluril substituted with a methylol group or an alkoxymethyl group and having two or more reactive groups. The photo acid generator (PAG) may be the same as that usually used for the chemically amplified resist, and examples of the most effective photo acid generator include iodonium salts and sulfonium salts. In addition, photoacid generators such as imide sulfonates, diazomethanes, and organic halides may be used.

溶媒は、上述したベースポリマー、架橋剤、光酸発生剤、その他の添加剤が溶解する有機溶剤であれば特に制限はないが、たとえば、シクロヘキサノン、ケトン類、アルコール類、エーテル類、エステル類、ラクトン類等が挙げられる。ケトン類にはメチル−2−アミルケトン等が挙げられる。アルコール類には3−メトキシブタノール、3−メチル−3−メトキシブタノール、1−メトキシ−2−プロパノール、1−エトキシ−2−プロパノール等が挙げられる。エーテル類にはプロピレングリコールモノメチルエーテル、エチレングリコールモノメチルエーテル、プロピレングリコールモノエチルエーテル、エチレングリコールモノエチルエーテル、プロピレングリコールジメチルエーテル、ジエチレングリコールジメチルエーテル等が挙げられる。エステル類にはプロピレングリコールモノメチルエーテルアセテート、プロピレングリコールモノエチルエーテルアセテート、乳酸エチル、ピルビン酸エチル、酢酸ブチル、3−メトキシプロピオン酸メチル、3−エトキシプロピオン酸エチル、酢酸tert−ブチル, プロピオン酸tert−ブチル、プロピレングリコールモノメチルエーテルアセテート、プロピレングリコールモノtert−ブチルエーテルアセテート等が挙げられる。ラクトン類にはγ−ブチロラクトン等が挙げられる。   The solvent is not particularly limited as long as it is an organic solvent in which the above-described base polymer, crosslinking agent, photoacid generator, and other additives are dissolved. For example, cyclohexanone, ketones, alcohols, ethers, esters, Examples include lactones. Examples of ketones include methyl-2-amyl ketone. Examples of alcohols include 3-methoxybutanol, 3-methyl-3-methoxybutanol, 1-methoxy-2-propanol, 1-ethoxy-2-propanol and the like. Examples of ethers include propylene glycol monomethyl ether, ethylene glycol monomethyl ether, propylene glycol monoethyl ether, ethylene glycol monoethyl ether, propylene glycol dimethyl ether, and diethylene glycol dimethyl ether. Esters include propylene glycol monomethyl ether acetate, propylene glycol monoethyl ether acetate, ethyl lactate, ethyl pyruvate, butyl acetate, methyl 3-methoxypropionate, ethyl 3-ethoxypropionate, tert-butyl acetate, tert-propionic acid Examples include butyl, propylene glycol monomethyl ether acetate, and propylene glycol mono tert-butyl ether acetate. Examples of lactones include γ-butyrolactone.

これらの溶剤のうち、一つの溶剤あるいは2種以上の溶剤を混合した混合物を用いてもよい。また、中でも、ジエチレングリコールジメチルエーテルや1−エトキシ−2−プロパノール、乳酸エチル、プロピレングリコールモノメチルエーテルアセテート、プロピレングリコールモノメチルエーテルおよびそれらの混合溶媒が好ましい。   Of these solvents, one solvent or a mixture of two or more solvents may be used. Of these, diethylene glycol dimethyl ether, 1-ethoxy-2-propanol, ethyl lactate, propylene glycol monomethyl ether acetate, propylene glycol monomethyl ether, and mixed solvents thereof are preferable.

(中間層レジスト材料について)
中間層レジスト膜には、上層レジスト膜および下層レジスト膜に対してエッチング選択性をもたせるために、高濃度のシリコン(Si)原子を含有するポリマーが用いられる。また、この中間層レジスト膜と、その上に塗布する上層レジスト(材料)膜とが混ざり合わないように、ポリマーを架橋させて上層レジスト材料の溶媒に中間層レジスト膜が溶けないようにする必要がある。
(Regarding the interlayer resist material)
A polymer containing a high concentration of silicon (Si) atoms is used for the intermediate layer resist film in order to have etching selectivity with respect to the upper layer resist film and the lower layer resist film. In addition, it is necessary to crosslink the polymer so that the intermediate layer resist film does not dissolve in the solvent of the upper layer resist material so that the intermediate layer resist film and the upper layer resist (material) film applied thereon are not mixed. There is.

そこで、ここで用いる中間層レジスト材料は、少なくともベースポリマー、光酸発生剤、溶媒から構成される。また、ベースポリマーの架橋反応をより促進させるために、さらに架橋剤を含有していてもよい。ベースポリマーの例としては、カルボニル基、エステル基、ラクトン基、あるいは、エーテル基をペンダントさせたシロキサンポリマー、シラザン系ポリマー、シルセスキオキサンポリマーなどが挙げられる。また、架橋剤、光酸発生剤および溶媒としては、下層レジスト材料の場合と同様の、架橋剤、光酸発生剤および溶媒を用いることができる。   Therefore, the intermediate layer resist material used here is composed of at least a base polymer, a photoacid generator, and a solvent. Moreover, in order to further promote the crosslinking reaction of the base polymer, a crosslinking agent may be further contained. Examples of the base polymer include a siloxane polymer, a silazane polymer, a silsesquioxane polymer in which a carbonyl group, an ester group, a lactone group, or an ether group is pendant. As the crosslinking agent, photoacid generator and solvent, the same crosslinking agent, photoacid generator and solvent as in the case of the lower layer resist material can be used.

なお、上述した半導体装置の製造方法では、上層レジスト膜として、ポジ型レジスト材料を例に挙げて、周辺露光処理を施す場合について説明したが、上層レジスト膜としては、ネガ型レジスト材料を適用してもよい。この場合には、周辺領域に位置する上層レジスト材料膜の部分に露光光を照射させないようにし、現像処理によって当該部分を除去すればよい。   In the semiconductor device manufacturing method described above, the case of performing the peripheral exposure process using the positive resist material as an example of the upper resist film has been described. However, the negative resist material is applied as the upper resist film. May be. In this case, the portion of the upper resist material film located in the peripheral region is not irradiated with exposure light, and the portion may be removed by development processing.

実施の形態2
ここでは、多層レジストプロセスに適用される露光装置の一例について説明する。図33および図34に示すように、本露光装置50は、半導体基板1が載置されるステージ51、露光光の光源ユニット52、露光光を遮るブラインド53を備えている。光源ユニット52は、ステージ51に載置された半導体基板と対向するように距離を隔てて配置されている。その光源ユニット52として、高圧水銀灯(i線:波長=365nm)、KrFエキシマレーザー(波長=248nm)等が好ましく、また、単一の波長の光でなくても、紫外線の領域を含むブロードな波長の光を発光する光源でもよい。
Embodiment 2
Here, an example of an exposure apparatus applied to the multilayer resist process will be described. As shown in FIGS. 33 and 34, the exposure apparatus 50 includes a stage 51 on which the semiconductor substrate 1 is placed, a light source unit 52 for exposure light, and a blind 53 for blocking exposure light. The light source unit 52 is arranged at a distance so as to face the semiconductor substrate placed on the stage 51. The light source unit 52 is preferably a high-pressure mercury lamp (i-line: wavelength = 365 nm), a KrF excimer laser (wavelength = 248 nm), or the like, and has a broad wavelength including an ultraviolet region even if it is not a single wavelength light. It may be a light source that emits light.

ブラインド53は、ステージ51に載置された半導体基板の外縁に沿って延在する所定の外周領域を覆い、露光光が外周領域に照射されるのを遮断させることができるものであれば、特に、材質等は制限されない。また、ステージ51には、載置された半導体基板を加熱する加熱機構(図示せず)を設けてもよい。   As long as the blind 53 can cover a predetermined outer peripheral region extending along the outer edge of the semiconductor substrate placed on the stage 51 and can block exposure light from being irradiated to the outer peripheral region, The material is not limited. The stage 51 may be provided with a heating mechanism (not shown) for heating the mounted semiconductor substrate.

上述した露光装置では、ブラインド53によって露光光を遮断することによって、半導体基板におけるそれぞれ所定の外周領域に位置する下層レジスト材料膜の部分と中間層レジスト材料膜の部分とを架橋させないようにする。これにより、それぞれ所定の外周領域に位置する下層レジスト材料膜の部分と中間層レジスト膜の部分とを現像液に溶解させてこれを除去することができる。その結果、ハンプのない下層レジスト膜あるいは中間層レジスト膜を形成することができる。また、下層レジスト材料膜と中間層レジスト材料膜とに、エッジリンスを行ったとしても、ハンプが生じている部分を含むようにレジスト材料を架橋させないよう露光光を遮断することで、当該部分を現像液に溶解させて除去することができる。   In the exposure apparatus described above, the exposure light is blocked by the blind 53 so that the lower resist material film portion and the intermediate resist material film portion located in the respective predetermined outer peripheral regions of the semiconductor substrate are not cross-linked. Thereby, the lower resist material film portion and the intermediate resist film portion, which are respectively located in predetermined outer peripheral regions, can be dissolved in the developer and removed. As a result, a lower resist film or an intermediate resist film without hump can be formed. Even if edge rinsing is performed on the lower layer resist material film and the intermediate layer resist material film, the exposure light is blocked so as not to crosslink the resist material so as to include the portion where the hump is generated. It can be removed by dissolving in a developer.

なお、上述した露光装置としては、回路パターン等を写真製版する本来の露光装置内に、ブラインドに対応する機能をもたせた態様の露光装置としてもよい。また、上述した露光装置(機能)を現像装置に組み込むようにしてもよい。   Note that the exposure apparatus described above may be an exposure apparatus having an aspect in which a function corresponding to a blind is provided in an original exposure apparatus for photoengraving a circuit pattern or the like. Further, the above-described exposure device (function) may be incorporated in the developing device.

実施の形態3
ここでは、多層レジストプロセスを適用したより具体的な半導体装置の製造方法の一例について説明する。まず、図35に示すように、半導体基板1の表面に熱酸化処理を施すことにより、絶縁膜19が形成される。その絶縁膜19上に、たとえば、ポリシリコン膜およびその金属シリサイド膜等を含む導電膜20が形成される。
Embodiment 3
Here, an example of a more specific method for manufacturing a semiconductor device to which a multilayer resist process is applied will be described. First, as shown in FIG. 35, the surface of the semiconductor substrate 1 is subjected to a thermal oxidation process, whereby the insulating film 19 is formed. On the insulating film 19, for example, a conductive film 20 including a polysilicon film and a metal silicide film thereof is formed.

次に、ゲート電極をパターニングするための写真製版処理として、三層レジストプロセスが適用される。すなわち、図1〜図16に示す一連の三層レジストプロセスと同様のプロセスが適用されて、図36に示すように、下層レジスト膜21、中間層レジスト膜22および上層レジスト膜23が形成される。半導体基板1におけるチップ形成領域CRでは、ゲート電極をパターニングするための上層レジスト膜23のレジストパターン23aが形成される。一方、半導体基板1における外周部PRでは、ハンプのない中間層レジスト膜22と下層レジスト膜21が露出している。   Next, a three-layer resist process is applied as a photolithography process for patterning the gate electrode. That is, a process similar to the series of three-layer resist processes shown in FIGS. 1 to 16 is applied to form a lower resist film 21, an intermediate resist film 22, and an upper resist film 23 as shown in FIG. . In the chip formation region CR in the semiconductor substrate 1, a resist pattern 23a of the upper resist film 23 for patterning the gate electrode is formed. On the other hand, in the outer peripheral portion PR of the semiconductor substrate 1, the intermediate layer resist film 22 and the lower layer resist film 21 without hump are exposed.

次に、上層レジスト膜23のレジストパターン23aをマスクとして、中間層レジスト膜22にエッチングを施すことにより、レジストパターン23aが中間層レジスト膜22にレジストパターン22aとして転写され、さらに、中間層レジスト膜22のレジストパターン22aをマスクとして下層レジスト膜21にエッチングを施すことにより、レジストパターン23aが下層レジスト膜21にレジストパターン21aとして転写される。   Next, by etching the intermediate layer resist film 22 using the resist pattern 23a of the upper layer resist film 23 as a mask, the resist pattern 23a is transferred to the intermediate layer resist film 22 as a resist pattern 22a. Further, the intermediate layer resist film By etching the lower resist film 21 using the resist pattern 22a of 22 as a mask, the resist pattern 23a is transferred to the lower resist film 21 as the resist pattern 21a.

こうして、図37に示すように、ゲート電極をパターニングするための下層レジスト膜、中間層レジスト膜および上層レジスト膜によるレジストパターン23a,22a,21aが形成される。なお、図37では、上層レジスト膜23のレジストパターン23aまで残された状態が示されているが、少なくとも、下層レジスト膜21のレジストパターン21aが残されていればよい。   Thus, as shown in FIG. 37, resist patterns 23a, 22a, and 21a are formed by the lower layer resist film, the intermediate layer resist film, and the upper layer resist film for patterning the gate electrode. Note that FIG. 37 shows a state where the resist pattern 23a of the upper resist film 23 is left, but it is sufficient that at least the resist pattern 21a of the lower resist film 21 is left.

次に、レジストパターン23a,22a,21aをマスクとして、導電膜20にエッチングを施すことにより、図38に示すように、ゲート電極20aが形成される。次に、そのゲート電極20aをマスクとして、半導体基板1に、たとえばn型の不純物を低いドーズ量にて注入することにより、n型低濃度不純物領域24aが形成される(図39参照)。次に、ゲート電極20aを覆うように、絶縁膜(図示せず)が形成される。その絶縁膜に異方性エッチングを施すことにより、ゲート電極20aの側壁にサイドウォール絶縁膜25が形成される(図39参照)。   Next, the conductive film 20 is etched using the resist patterns 23a, 22a, and 21a as a mask, thereby forming the gate electrode 20a as shown in FIG. Next, using the gate electrode 20a as a mask, an n-type impurity region 24a is formed by implanting, for example, an n-type impurity at a low dose into the semiconductor substrate 1 (see FIG. 39). Next, an insulating film (not shown) is formed so as to cover the gate electrode 20a. By performing anisotropic etching on the insulating film, a sidewall insulating film 25 is formed on the side wall of the gate electrode 20a (see FIG. 39).

次に、ゲート電極20aおよびサイドウォール絶縁膜25をマスクとして、n型の不純物を高いドーズ量にて注入することにより、n型高濃度不純物領域24bが形成される。こうして、図39に示すように、半導体基板1の表面上にゲート絶縁膜19aを介在させて形成されたゲート電極20a、n型低濃度不純物領域24aおよびn型高濃度不純物領域24bを含むMOS(Metal Oxide Semiconductor)トランジスタが形成される。次に、ゲート電極20a等を覆うように半導体基板1上に、たとえば、シリコン酸化膜等の層間絶縁膜26が形成される(図40参照)。   Next, by using the gate electrode 20a and the sidewall insulating film 25 as a mask, an n-type impurity is implanted at a high dose, thereby forming an n-type high concentration impurity region 24b. Thus, as shown in FIG. 39, the MOS (including the gate electrode 20a, the n-type low-concentration impurity region 24a, and the n-type high-concentration impurity region 24b formed on the surface of the semiconductor substrate 1 with the gate insulating film 19a interposed therebetween. Metal Oxide Semiconductor) transistors are formed. Next, an interlayer insulating film 26 such as a silicon oxide film is formed on the semiconductor substrate 1 so as to cover the gate electrode 20a and the like (see FIG. 40).

次に、その層間絶縁膜26にコンタクトホールを形成するための写真製版処理として、三層レジストプロセスが適用される。すなわち、図1〜図16に示す一連の三層レジストプロセスと同様のプロセスが適用されて、図40に示すように、下層レジスト膜27、中間層レジスト膜28および上層レジスト膜29が形成される。半導体基板1におけるチップ形成領域CRでは、コンタクトホールを形成するための上層レジスト膜29のレジストパターン29aが形成される。一方、半導体基板1における外周部PRでは、ハンプのない中間層レジスト膜28と下層レジスト膜27が露出している。   Next, a three-layer resist process is applied as a photoengraving process for forming contact holes in the interlayer insulating film 26. That is, a process similar to the series of three-layer resist processes shown in FIGS. 1 to 16 is applied to form a lower resist film 27, an intermediate resist film 28, and an upper resist film 29 as shown in FIG. . In the chip formation region CR in the semiconductor substrate 1, a resist pattern 29a of the upper resist film 29 for forming a contact hole is formed. On the other hand, in the outer peripheral portion PR of the semiconductor substrate 1, the intermediate layer resist film 28 and the lower layer resist film 27 without hump are exposed.

次に、上層レジスト膜29のレジストパターン29aを、中間層レジスト膜28および下層レジスト膜27に転写し、コンタクトホールを形成するためのレジストパターン(図示せず)が形成される。そのレジストパターンをマスクとして、層間絶縁膜26に異方性エッチングを施すことにより、図41に示すように、n型高濃度不純物領域24bの表面を露出するコンタクトホール30が形成される。その後、レジストパターンが除去される。次に、図42に示すように、コンタクトホール内を充填するように、層間絶縁膜26上にバリアメタル等を含む所定の導電膜31が形成される。   Next, the resist pattern 29a of the upper resist film 29 is transferred to the intermediate resist film 28 and the lower resist film 27 to form a resist pattern (not shown) for forming contact holes. By performing anisotropic etching on interlayer insulating film 26 using the resist pattern as a mask, contact hole 30 exposing the surface of n-type high concentration impurity region 24b is formed as shown in FIG. Thereafter, the resist pattern is removed. Next, as shown in FIG. 42, a predetermined conductive film 31 including a barrier metal or the like is formed on the interlayer insulating film 26 so as to fill the contact hole.

次に、その導電膜31をパターニングするための写真製版処理として、三層レジストプロセスが適用される。すなわち、図1〜図16に示す一連の三層レジストプロセスと同様のプロセスが適用されて、図43に示すように、下層レジスト膜32、中間層レジスト膜33および上層レジスト膜34が形成される。半導体基板1におけるチップ形成領域CRでは、導電膜31をパターニングするための上層レジスト膜34のレジストパターン34aが形成される。一方、半導体基板1における外周部PRでは、ハンプのない中間層レジスト膜33と下層レジスト膜32が露出している。   Next, a three-layer resist process is applied as a photolithography process for patterning the conductive film 31. That is, a process similar to the series of three-layer resist processes shown in FIGS. 1 to 16 is applied to form a lower resist film 32, an intermediate resist film 33, and an upper resist film 34 as shown in FIG. . In the chip formation region CR in the semiconductor substrate 1, a resist pattern 34a of the upper resist film 34 for patterning the conductive film 31 is formed. On the other hand, the intermediate layer resist film 33 and the lower layer resist film 32 without hump are exposed at the outer peripheral portion PR in the semiconductor substrate 1.

上層レジスト膜34のレジストパターン34aを、中間層レジスト膜33および下層レジスト膜32に転写し、導電膜をパターニングするためのレジストパターン(図示せず)が形成される。そのレジストパターンをマスクとして、導電膜31に異方性エッチングを施すことにより、図44に示すように、n型高濃度不純物領域24bに電気的に接続される配線31aが形成される。その後、下層レジスト膜32等が除去される。こうして、トランジスタを備えた半導体装置の主要部分が形成される。   The resist pattern 34a of the upper resist film 34 is transferred to the intermediate resist film 33 and the lower resist film 32, and a resist pattern (not shown) for patterning the conductive film is formed. By performing anisotropic etching on the conductive film 31 using the resist pattern as a mask, a wiring 31a electrically connected to the n-type high concentration impurity region 24b is formed as shown in FIG. Thereafter, the lower resist film 32 and the like are removed. Thus, a main part of the semiconductor device including the transistor is formed.

上述した、写真製版処理として三層レジストプロセスを適用した半導体装置の製造方法では、露光光を照射させないようにして半導体基板におけるそれぞれ所定の外周領域に位置する下層レジスト(材料)膜の部分と中間層レジスト(材料)膜の部分とを現像液に溶解させてこれを除去することで、ハンプのない下層レジスト膜と中間層レジスト膜とを形成することができる。これにより、導電膜20、層間絶縁膜26、導電膜31等の被加工膜をパターニングした後の半導体基板1の外周部では、レジスト残渣あるいは被加工膜残渣が低減される。その結果、異物の発生による半導体装置の歩留まりの低下を抑制することができるとともに、膜剥がれによる半導体装置の信頼性劣化も抑制することができる。   In the semiconductor device manufacturing method to which the three-layer resist process is applied as the photoengraving process described above, the lower resist (material) film portions and intermediate portions located in predetermined outer peripheral regions of the semiconductor substrate without being exposed to exposure light. By dissolving the layer resist (material) film portion in a developing solution and removing it, a lower resist film and an intermediate resist film without humps can be formed. Thereby, the resist residue or the processed film residue is reduced in the outer peripheral portion of the semiconductor substrate 1 after patterning the processed film such as the conductive film 20, the interlayer insulating film 26, and the conductive film 31. As a result, it is possible to suppress a decrease in yield of the semiconductor device due to the generation of foreign matter, and it is possible to suppress deterioration in reliability of the semiconductor device due to film peeling.

なお、上述した三層レジストプロセスは、実施の形態1あるいは実施の形態3において説明した工程に限られず、写真製版処理が行われる工程に広く適用することが可能である。また、写真製版処理としては、三層レジストプロセスに限られず、感光性レジストに転写されたレジストパターンを、被加工膜のエッチングとの関係でエッチング耐性を有し、そして、感光性を有するレジスト膜に転写するプロセスであれば、二層レジストプロセスあるいは四層以上のレジストプロセスにも適用が可能である。   Note that the above-described three-layer resist process is not limited to the steps described in Embodiment 1 or Embodiment 3, and can be widely applied to the steps in which photolithography is performed. The photolithography process is not limited to the three-layer resist process, and the resist pattern transferred to the photosensitive resist has an etching resistance in relation to the etching of the film to be processed, and the photosensitive resist film. As long as it is a process for transferring to a two-layer resist, it can be applied to a two-layer resist process or a four-layer or more resist process.

今回開示された実施の形態は例示であってこれに制限されるものではない。本発明は上記で説明した範囲ではなく、特許請求の範囲によって示され、特許請求の範囲と均等の意味および範囲でのすべての変更が含まれることが意図される。   The embodiment disclosed this time is an example, and the present invention is not limited to this. The present invention is defined by the terms of the claims, rather than the scope described above, and is intended to include any modifications within the scope and meaning equivalent to the terms of the claims.

本発明は、多層レジストプロセスを適用した半導体装置の製造方法等に有効に利用される。   The present invention is effectively used for a manufacturing method of a semiconductor device to which a multilayer resist process is applied.

1 半導体基板、2 被加工膜、3 下層レジスト材料膜、3b 下層レジスト膜、4 中間層レジスト材料膜、4b 中間層レジスト膜、5 上層レジスト材料膜、5b 上層レジスト膜、19 絶縁膜、19a ゲート絶縁膜、20 導電膜、20a ゲート電極、21 下層レジスト膜、21a レジストパターン、22 中間層レジスト膜、22a レジストパターン、23 上層レジスト膜、23a レジストパターン、24a n型低濃度不純物領域、24b n型高濃度不純物領域、25 サイドウォール絶縁膜、26 層間絶縁膜、27 下層レジスト膜、28 中間層レジスト膜、29 上層レジスト膜、29a レジストパターン、30 コンタクトホール、31 導電膜、31a 導電膜、32 下層レジスト膜、33 中間層レジスト膜、34 上層レジスト膜、34a レジストパターン、41 ベースポリマー、42 架橋剤、43 架橋ポリマー、50 ウェハ露光装置、51 ステージ、52 光源ユニット、53 ブラインド、54 露光光、61 熱、62 現像液。   DESCRIPTION OF SYMBOLS 1 Semiconductor substrate, 2 Processed film, 3 Lower resist material film, 3b Lower resist film, 4 Intermediate resist material film, 4b Intermediate resist film, 5 Upper resist material film, 5b Upper resist film, 19 Insulating film, 19a Gate Insulating film, 20 conductive film, 20a gate electrode, 21 lower resist film, 21a resist pattern, 22 intermediate resist film, 22a resist pattern, 23 upper resist film, 23a resist pattern, 24a n-type low concentration impurity region, 24b n-type High concentration impurity region, 25 sidewall insulating film, 26 interlayer insulating film, 27 lower resist film, 28 intermediate resist film, 29 upper resist film, 29a resist pattern, 30 contact hole, 31 conductive film, 31a conductive film, 32 lower layer Resist film, 33 Intermediate layer resist film 34 upper resist film, 34a resist pattern, 41 a base polymer, 42 crosslinking agent, 43 crosslinked polymers, 50 wafer exposure apparatus, 51 stages, 52 a light source unit, 53 blind, 54 exposure light, 61 heat, 62 developer.

Claims (8)

半導体基板の主表面上に、被加工膜を形成する工程と、
前記被加工膜を覆うように、第1レジスト材料を塗布する工程と、
前記被加工膜上に塗布された第1レジスト材料によって第1の膜を形成する工程と、
前記被加工膜を覆う前記第1の膜のうち、前記半導体基板の外縁から内側へ所定の距離までの、前記外縁に沿って延在する外周領域に位置する前記第1の膜の部分を除いて、前記外周領域の内側に位置する前記第1の膜の部分の全面に露光光を照射する工程と、
第1温度にて熱処理を施す工程と、
所定の現像液にて現像処理を行なうことにより、前記露光光が照射されていない前記外周領域に位置する前記第1の膜の部分を除去する工程と、
前記第1の膜を覆うように、少なくとも第2レジスト材料を塗布することにより、前記第1の膜上に、前記第2レジスト材料による第2の膜を形成する工程と、
前記第2の膜に、所定の写真製版処理を施すことにより、所定のパターンを形成する工程と、
前記第2の膜の前記所定のパターンから露出する前記第1の膜の部分を除去することにより、前記第1の膜に、前記所定のパターンに対応した前記第1の膜のパターンを形成する工程と、
前記第1の膜の前記パターンから露出する前記被加工膜の部分を除去する工程と
を備えた、半導体装置の製造方法。
Forming a film to be processed on the main surface of the semiconductor substrate;
Applying a first resist material so as to cover the film to be processed;
Forming a first film with a first resist material applied on the film to be processed;
Of the first film covering the film to be processed, except for the portion of the first film located in the outer peripheral region extending along the outer edge up to a predetermined distance from the outer edge of the semiconductor substrate to the inside. Irradiating the entire surface of the portion of the first film located inside the outer peripheral region with exposure light;
Applying heat treatment at a first temperature;
Removing a portion of the first film located in the outer peripheral region that is not irradiated with the exposure light by performing a development process with a predetermined developer;
Forming a second film of the second resist material on the first film by applying at least a second resist material so as to cover the first film;
Forming a predetermined pattern by subjecting the second film to a predetermined photolithography process;
By removing the portion of the first film exposed from the predetermined pattern of the second film, the pattern of the first film corresponding to the predetermined pattern is formed on the first film. Process,
And a step of removing the portion of the film to be processed exposed from the pattern of the first film.
前記第1の膜を形成する工程の後、前記第2の膜を形成する工程の前に、前記第1温度よりも高い第2温度にて、前記第1の膜に熱処理を施す工程を備えた、請求項1記載の半導体装置の製造方法。   After the step of forming the first film, before the step of forming the second film, a step of performing a heat treatment on the first film at a second temperature higher than the first temperature. A method of manufacturing a semiconductor device according to claim 1. 前記第2の膜に前記所定のパターンを形成する工程は、
前記第2の膜に周辺露光を行う工程と、
前記現像処理を行なうことにより、前記周辺露光が行われた領域に位置する前記第2の膜の部分を除去する工程と
を含む、請求項1または2に記載の半導体装置の製造方法。
Forming the predetermined pattern on the second film,
Performing a peripheral exposure on the second film;
The method of manufacturing a semiconductor device according to claim 1, further comprising: removing the portion of the second film located in the area where the peripheral exposure has been performed by performing the development process.
前記第2の膜に前記所定のパターンを形成する工程は、
前記第2の膜のうち、前記半導体基板の外縁から内側へ所定の距離までの、前記外縁に沿って延在する外周領域に位置する前記第2の膜の部分を除いて、前記外周領域の内側に位置する前記第2の膜の部分に露光光を照射する工程と、
前記現像処理を行なうことにより、前記露光光が照射されていない前記外周領域に位置する前記第2の膜の部分を除去する工程と
を含む、請求項1または2に記載の半導体装置の製造方法。
Forming the predetermined pattern on the second film,
Of the second film, except for the part of the second film located in the outer peripheral area extending along the outer edge from the outer edge of the semiconductor substrate to a predetermined distance from the outer edge of the semiconductor substrate. Irradiating exposure light to a portion of the second film located inside;
The method for manufacturing a semiconductor device according to claim 1, further comprising: removing the portion of the second film located in the outer peripheral region that is not irradiated with the exposure light by performing the development process. .
前記第2の膜を形成する工程は、前記第2レジスト材料を塗布する前に、
前記第1の膜を覆うように、第3レジスト材料を塗布する工程と、
前記第1の膜を覆う前記第3レジスト材料のうち、前記外周領域に位置する前記第3レジスト材料の部分を除いて、前記外周領域の内側に位置する前記第3レジスト材料の部分の全面に露光光を照射する工程と、
所定の温度にて熱処理を施すことにより、前記露光光が照射された前記第3レジスト材料の部分の架橋反応を促進させる工程と、
所定の現像液にて現像処理を行なうことにより、前記架橋反応が促進された前記第3レジスト材料の部分を残して、前記露光光が照射されず架橋反応が起こっていない前記外周領域に位置する前記第3レジスト材料の部分を除去する工程と
を含み、
前記第2の膜に前記所定のパターンを形成する工程は、
前記第2レジスト材料によって形成される第2レジスト膜に、前記所定のパターンを形成する工程と、
前記第2レジスト膜に形成された前記所定のパターンから露出する前記第3レジスト材料によって形成される第3レジスト膜の部分を除去することにより、前記所定のパターンに対応した前記第3レジスト膜のパターンを形成する工程と
を含み、
前記第1の膜の前記パターンを形成する工程は、少なくとも前記第3レジスト膜の前記パターンから露出する前記第1の膜の部分を除去する工程を含む、請求項1〜3のいずれかに記載の半導体装置の製造方法。
In the step of forming the second film, before applying the second resist material,
Applying a third resist material so as to cover the first film;
Of the third resist material covering the first film, except for the third resist material portion located in the outer peripheral region, the entire surface of the third resist material portion located inside the outer peripheral region. Irradiating with exposure light;
A step of accelerating a crosslinking reaction of a portion of the third resist material irradiated with the exposure light by performing a heat treatment at a predetermined temperature;
By performing development processing with a predetermined developer, the portion of the third resist material in which the cross-linking reaction is promoted is left, and the exposure light is not irradiated and the outer peripheral region is not cross-linked. Removing a portion of the third resist material;
Forming the predetermined pattern on the second film,
Forming the predetermined pattern on a second resist film formed of the second resist material;
By removing a portion of the third resist film formed by the third resist material exposed from the predetermined pattern formed on the second resist film, the third resist film corresponding to the predetermined pattern is removed. Forming a pattern,
The step of forming the pattern of the first film includes a step of removing at least a portion of the first film exposed from the pattern of the third resist film. Semiconductor device manufacturing method.
請求項1〜5のいずれかに記載の半導体装置の製造方法によって製造された、半導体装置。   A semiconductor device manufactured by the method for manufacturing a semiconductor device according to claim 1. 半導体基板を保持するステージと、
前記ステージに保持された前記半導体基板と対向するように、前記ステージから距離を隔てられた所定の位置に配置された光源部と、
前記光源部と前記ステージとの間に配置され、前記光源部から発せられる露光光が、前記ステージに保持された前記半導体基板の外縁に沿って延在する所定の外周領域に照射されるのを遮るブラインドと
を備えた、露光装置。
A stage for holding a semiconductor substrate;
A light source unit disposed at a predetermined position spaced from the stage so as to face the semiconductor substrate held on the stage;
Exposure light emitted from the light source unit, which is disposed between the light source unit and the stage, is applied to a predetermined outer peripheral region extending along the outer edge of the semiconductor substrate held by the stage. An exposure apparatus comprising a blind for blocking.
前記ステージは、前記半導体基板を所定の温度に加熱する加熱部を含む、請求項7記載の露光装置。   The exposure apparatus according to claim 7, wherein the stage includes a heating unit that heats the semiconductor substrate to a predetermined temperature.
JP2011039364A 2011-02-25 2011-02-25 Method of manufacturing semiconductor device, semiconductor device and exposure apparatus Withdrawn JP2012178394A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2011039364A JP2012178394A (en) 2011-02-25 2011-02-25 Method of manufacturing semiconductor device, semiconductor device and exposure apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2011039364A JP2012178394A (en) 2011-02-25 2011-02-25 Method of manufacturing semiconductor device, semiconductor device and exposure apparatus

Publications (1)

Publication Number Publication Date
JP2012178394A true JP2012178394A (en) 2012-09-13

Family

ID=46980074

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011039364A Withdrawn JP2012178394A (en) 2011-02-25 2011-02-25 Method of manufacturing semiconductor device, semiconductor device and exposure apparatus

Country Status (1)

Country Link
JP (1) JP2012178394A (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016157779A (en) * 2015-02-24 2016-09-01 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
KR20180132042A (en) * 2016-03-31 2018-12-11 니폰 제온 가부시키가이샤 A resist pattern forming method and a resist

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016157779A (en) * 2015-02-24 2016-09-01 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor device
KR20180132042A (en) * 2016-03-31 2018-12-11 니폰 제온 가부시키가이샤 A resist pattern forming method and a resist
KR102417026B1 (en) 2016-03-31 2022-07-05 니폰 제온 가부시키가이샤 Resist pattern formation method and resist

Similar Documents

Publication Publication Date Title
US11822238B2 (en) Extreme ultraviolet photolithography method with developer composition
TWI387998B (en) A lithography method
JP3697426B2 (en) Pattern forming method and semiconductor device manufacturing method
US8435728B2 (en) Method of slimming radiation-sensitive material lines in lithographic applications
US8053368B2 (en) Method for removing residues from a patterned substrate
TW201800861A (en) Method for lithography patterning
KR102005640B1 (en) Semiconductor method of protecting wafer from bevel contamination
US8158332B2 (en) Method of manufacturing a semiconductor device
US8338086B2 (en) Method of slimming radiation-sensitive material lines in lithographic applications
JP2012156454A (en) Manufacturing method of semiconductor device, semiconductor device, and resist application apparatus
US20100310995A1 (en) Double patterning strategy for contact hole and trench in photolithography
TWI737856B (en) Method for lithography patterning
JP2013021201A (en) Semiconductor device manufacturing method and semiconductor device
JP2012178394A (en) Method of manufacturing semiconductor device, semiconductor device and exposure apparatus
JP2010156819A (en) Semiconductor device manufacturing method
TWI515768B (en) Lithography patterning method and double patterning method
TWI623020B (en) Method for patterning incorporating misalignment error protection
JP2009139695A (en) Method for manufacturing semiconductor device
JP2010073899A (en) Method for processing substrate and substrate processing apparatus
TWI761987B (en) Negative tone photoresist and developing method thereof
JP2010118501A (en) Method for manufacturing semiconductor device
KR20240011641A (en) Patterning method using secondary resist surface functionalization for mask formation
CN114764214A (en) Method of photolithography
CN110941148A (en) Method for manufacturing semiconductor device
US20160196968A1 (en) Patterning method

Legal Events

Date Code Title Description
A300 Withdrawal of application because of no request for examination

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20140513