JP2012169590A - FORMATION METHOD OF Cu WIRING, DEPOSITION METHOD OF Cu FILM, AND DEPOSITION SYSTEM - Google Patents

FORMATION METHOD OF Cu WIRING, DEPOSITION METHOD OF Cu FILM, AND DEPOSITION SYSTEM Download PDF

Info

Publication number
JP2012169590A
JP2012169590A JP2011251520A JP2011251520A JP2012169590A JP 2012169590 A JP2012169590 A JP 2012169590A JP 2011251520 A JP2011251520 A JP 2011251520A JP 2011251520 A JP2011251520 A JP 2011251520A JP 2012169590 A JP2012169590 A JP 2012169590A
Authority
JP
Japan
Prior art keywords
film
forming
recess
wiring
trench
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2011251520A
Other languages
Japanese (ja)
Other versions
JP5767570B2 (en
Inventor
Tadahiro Ishizaka
忠大 石坂
Atsushi Gomi
淳 五味
Takayoshi Kato
多佳良 加藤
Atsushi Yokoyama
敦 横山
Takashi Sakuma
隆 佐久間
Chiaki Yasumuro
千晃 安室
Hiroshi Toshima
宏至 戸島
Tatsuo Hatano
達夫 波多野
Yasushi Mizusawa
寧 水澤
Masamichi Hara
正道 原
Kenji Suzuki
健二 鈴木
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2011251520A priority Critical patent/JP5767570B2/en
Priority to US13/359,138 priority patent/US8859422B2/en
Priority to KR1020120008241A priority patent/KR101357531B1/en
Publication of JP2012169590A publication Critical patent/JP2012169590A/en
Priority to US14/297,726 priority patent/US20140287163A1/en
Application granted granted Critical
Publication of JP5767570B2 publication Critical patent/JP5767570B2/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Abstract

PROBLEM TO BE SOLVED: To ensure to bury Cu in a recessed part such as a fine trench or a hole without causing voids and form Cu wiring having low resistance.SOLUTION: A formation method of Cu wiring has: a process where a barrier film 204 is formed on a surface of a trench 203 in an interlayer insulation film 202 having the trench 203 formed on a wafer W; a process where an Ru film 205 is formed on the barrier film 204; and a process where a Cu film 206 is formed on the Ru film 205 through physical vapor deposition with the wafer heated so that Cu migrates and the trench 203 is buried.

Description

本発明は、基板に形成されたトレンチまたはホールにCu配線を形成するCu配線の形成方法およびCu膜の成膜方法、ならびにCu配線を形成するための成膜システムに関する。   The present invention relates to a Cu wiring forming method for forming a Cu wiring in a trench or hole formed in a substrate, a Cu film forming method, and a film forming system for forming a Cu wiring.

半導体デバイスの製造においては、半導体ウエハに成膜処理やエッチング処理等の各種の処理を繰り返し行って所望のデバイスを製造するが、近時、半導体デバイスの高速化、配線パターンの微細化、高集積化の要求に対応して、配線の導電性向上およびエレクトロマイグレーション耐性の向上が求められている。   In the manufacture of semiconductor devices, various processes such as film formation and etching are repeatedly performed on a semiconductor wafer to manufacture a desired device. Recently, however, the speed of semiconductor devices, the miniaturization of wiring patterns, and the high integration Corresponding to the demands for making them, there is a demand for improving the electrical conductivity of the wiring and the electromigration resistance.

このような点に対応して、配線材料にアルミニウム(Al)やタングステン(W)よりも導電性が高く(抵抗が低く)かつエレクトロマイグレーション耐性に優れている銅(Cu)が用いられるようになってきている。   Corresponding to these points, copper (Cu) having higher conductivity (lower resistance) and better electromigration resistance than aluminum (Al) and tungsten (W) is used as the wiring material. It is coming.

Cu配線の形成方法としては、トレンチやホールが形成された層間絶縁膜全体にタンタル金属(Ta)、チタン(Ti)、タンタル窒化膜(TaN)、チタン窒化膜(TiN)などからなるバリア膜をPVDであるプラズマスパッタで形成し、バリア膜の上に同じくプラズマスパッタによりCuシード膜を形成し、さらにその上にCuめっきを施してトレンチやホールを完全に埋め込み、ウエハ表面の余分な銅薄膜をCMP(Chemical Mechanical Polishing)処理により研磨処理して取り除く技術が提案されている(例えば特許文献1)。また、密着性良くかつ微細パターンにもCu膜を形成することができる技術として、バリア膜の上にCVD(Chemical Vapor Deposition)によりRu膜を形成した後にCuシード膜およびCuめっきを形成する方法も提案されている(例えば特許文献2)。   As a method of forming Cu wiring, a barrier film made of tantalum metal (Ta), titanium (Ti), tantalum nitride film (TaN), titanium nitride film (TiN), etc. is formed on the entire interlayer insulating film in which trenches and holes are formed. It is formed by PVD plasma sputtering, and a Cu seed film is also formed on the barrier film by plasma sputtering. Further, Cu plating is applied on the barrier film to completely fill trenches and holes, and an extra copper thin film on the wafer surface is formed. There has been proposed a technique of removing by polishing by CMP (Chemical Mechanical Polishing) (for example, Patent Document 1). In addition, as a technique capable of forming a Cu film with good adhesion and a fine pattern, there is also a method of forming a Cu seed film and Cu plating after forming a Ru film on the barrier film by CVD (Chemical Vapor Deposition). It has been proposed (for example, Patent Document 2).

特開2006−148075号公報JP 2006-148075 A 特開2007−194624号公報JP 2007-194624 A

しかしながら、半導体デバイスのデザインルールが益々微細化しており、トレンチの幅やホール径が数十nmとなっており、このような狭いトレンチやホール等の凹部内に、プラズマスパッタリングでバリア膜やシード膜を形成する場合には、トレンチやホールの開口部にオーバーハング部分が発生してしまい、その後のCuめっきによりトレンチやホールを埋め込んでも内部が十分に埋まらずにボイドが発生する等の問題が生ずる。   However, semiconductor device design rules are becoming increasingly finer, trench widths and hole diameters are several tens of nanometers, and barrier films and seed films are formed by plasma sputtering in such narrow trenches and holes. In the case of forming, an overhang portion is generated in the opening portion of the trench or hole, and even if the trench or hole is buried by subsequent Cu plating, the inside is not sufficiently filled and a void is generated. .

上記特許文献1では、プラズマスパッタ装置の載置台に供給するバイアス電力を調整して成膜レートとスパッタエッチングのエッチングレートをコントロールすることにより良好な埋め込みを行なう試みがなされており、Cuめっき装置を改善してCuめっきの埋め込み性を高めることも検討されており、上記特許文献2でもCuの埋め込み性は高められているが、最近、さらに微細化されたトレンチやホールに対して対応することが困難である。   In the above-mentioned Patent Document 1, an attempt is made to perform satisfactory filling by adjusting the bias power supplied to the mounting table of the plasma sputtering apparatus to control the film formation rate and the etching rate of sputter etching. Improvement of Cu plating embeddability has also been studied, and Cu embeddability is also improved in Patent Document 2 described above, but recently it is possible to cope with further miniaturized trenches and holes. Have difficulty.

また、Cuめっきは不純物が多く、配線の低抵抗化の要求に対し、必ずしも十分に対応することができていないのが現状である。   In addition, Cu plating has many impurities, and the current situation is that it cannot always respond sufficiently to the demand for lower resistance of wiring.

本発明はかかる事情に鑑みてなされたものであって、微細なトレンチまたはホール等の凹部にボイドを発生させずに確実にCuを埋め込むことができ、かつ低抵抗のCu配線を形成することができるCu配線の形成方法およびCu膜の成膜方法、ならびにそのようなCu配線を形成するための成膜システムを提供することを課題とする。   The present invention has been made in view of such circumstances, and can reliably embed Cu without generating voids in concave portions such as fine trenches or holes, and form a low-resistance Cu wiring. It is an object of the present invention to provide a method for forming a Cu wiring, a method for forming a Cu film, and a film forming system for forming such a Cu wiring.

本発明の第1の観点では、基板に形成された凹部内にCuを埋め込んでCu配線を形成するCu配線の形成方法であって、少なくとも前記凹部の表面にバリア膜を形成する工程と、前記バリア膜の上にRu膜を形成する工程と、前記Ru膜の上に、加熱しつつ、PVDによりCuがマイグレーションするようにCu膜を形成して前記凹部内にCuを埋め込む工程とを有することを特徴とするCu配線の形成方法を提供する。この場合に、前記凹部としてはトレンチまたはホールを挙げることができる。   According to a first aspect of the present invention, there is provided a Cu wiring forming method for forming a Cu wiring by embedding Cu in a recess formed in a substrate, the method comprising forming a barrier film at least on the surface of the recess, Forming a Ru film on the barrier film; and forming a Cu film on the Ru film so that Cu migrates by PVD while being heated, and embedding Cu in the recess. A method for forming a Cu wiring is provided. In this case, the recess may include a trench or a hole.

本発明の第2の観点では、基板に形成された凹部内にCuを埋め込んでCu配線を形成するCu配線の形成方法であって、少なくとも前記凹部の表面にバリア膜を形成する工程と、前記バリア膜の上にRu膜を形成する工程と、前記Ru膜の上に、加熱しつつ、PVDによりCuがマイグレーションするようにCu膜を形成して前記凹部内にCuを埋め込む工程とを有し、前記凹部は、トレンチと該トレンチの底部に形成されたホールとを有し、前記Cu膜を形成して前記凹部内にCuを埋め込む工程は、前記ホールへのCuの埋め込みが完了するまでの第1段階と、前記ホールの埋め込みが完了後、前記トレンチの埋め込みが完了するまでの第2段階とを有し、前記第1段階の成膜速度は前記第2段階の成膜速度よりも小さいことを特徴とするCu配線の形成方法を提供する。   According to a second aspect of the present invention, there is provided a Cu wiring forming method for forming a Cu wiring by embedding Cu in a recess formed in a substrate, wherein a step of forming a barrier film at least on the surface of the recess, Forming a Ru film on the barrier film, and forming a Cu film on the Ru film so that Cu migrates by PVD while heating, and embedding Cu in the recess. The recess has a trench and a hole formed at the bottom of the trench, and the step of forming the Cu film and embedding Cu in the recess is performed until the Cu is completely embedded in the hole. A first stage and a second stage from the completion of the hole filling to the completion of the trench filling, wherein the first stage deposition rate is lower than the second stage deposition rate. With features It provides a method of forming that Cu wiring.

上記第2の観点において、前記第1段階の成膜速度は、トレンチの底部でオーバーハングが生じない程度のCuの流動性が確保される成膜速度であることが好ましい。また、前記第1段階の成膜速度は5〜20nm/minであり、前記第2段階の成膜速度は20〜150nm/minであることが好ましい。   In the second aspect, it is preferable that the film formation rate in the first stage is a film formation rate that ensures the fluidity of Cu to such an extent that no overhang occurs at the bottom of the trench. The first stage film formation rate is preferably 5 to 20 nm / min, and the second stage film formation speed is preferably 20 to 150 nm / min.

上記第1の観点および第2の観点において、前記Cuを埋め込むためのCu膜は、基板が収容された処理容器内にプラズマ生成ガスによりプラズマを生成し、CuターゲットからCuを放出させて、Cuを前記プラズマ中でイオン化させ、前記基板にバイアス電力を印加してCuイオンを基板上に引きこむことにより形成されることが好ましい。   In the first aspect and the second aspect, the Cu film for embedding Cu generates plasma with a plasma generation gas in a processing container in which a substrate is accommodated, and releases Cu from a Cu target to form Cu. Is preferably ionized in the plasma, and a bias power is applied to the substrate to attract Cu ions onto the substrate.

この場合に前記Cuを埋め込むためのCu膜の形成は、基板温度を65℃以上350℃以下にして行われることが好ましい。   In this case, the formation of the Cu film for embedding Cu is preferably performed at a substrate temperature of 65 ° C. or higher and 350 ° C. or lower.

前記Cuを埋め込むためのCu膜の形成は、基板温度を200℃超350℃以下にし、かつ前記Cuイオンによる基板へのCu成膜量Tと前記プラズマ生成ガスのイオンによるCu膜のエッチング量Tが0≦T/T<1の関係を満たすように前記バイアス電力の大きさを調整して行うことができる。前記Cu膜の形成は、基板温度を65℃以上200℃以下にし、かつ前記Cuイオンによる基板へのCu成膜量Tと前記プラズマ生成ガスのイオンによるCu膜のエッチング量Tが0.02≦T/T<1の関係を満たすように前記バイアス電力の大きさを調整して行うことができる。これらの場合に、前記バイアス電力の大きさは、0.05≦T/T≦0.24を満たすように調整されることが好ましい。 The formation of the Cu film for embedding Cu in the substrate temperature was set to 200 ° C. Ultra 350 ° C. or less, and the amount of etching ions by Cu film of the Cu deposition amount T D and the plasma generation gas to the substrate by the Cu ions T E can be performed by adjusting the magnitude of the bias power so as to satisfy the relation of 0 ≦ T E / T D < 1. The formation of the Cu film, the substrate temperature was below 200 ° C. 65 ° C. or higher, and the Cu deposition amount T D and the etching amount T E of ions by Cu film of the plasma generation gas of Cu ions to the substrate 0. The bias power can be adjusted so as to satisfy the relationship of 02 ≦ T E / T D <1. In these cases, the magnitude of the bias power is preferably adjusted so as to satisfy 0.05 ≦ T E / T D ≦ 0.24.

上記第1の観点および第2の観点において、前記バリア膜は、Ti膜、TiN膜、Ta膜、TaN膜、Ta/TaNの2層膜、TaCN膜、W膜、WN膜、WCN膜、Zr膜、ZrN膜、V膜、VN膜、Nb膜、NbN膜からなる群から選択されるものを用いることができる。前記バリア膜は、PVDにより形成されることが好ましい。   In the first and second aspects, the barrier film includes a Ti film, a TiN film, a Ta film, a TaN film, a Ta / TaN two-layer film, a TaCN film, a W film, a WN film, a WCN film, and a Zr film. A film selected from the group consisting of a film, a ZrN film, a V film, a VN film, an Nb film, and an NbN film can be used. The barrier film is preferably formed by PVD.

前記Ru膜は、CVDにより形成されることが好ましい。また、前記Ru膜は、成膜原料としてルテニウムカルボニルを用いたCVDにより形成されることがより好ましい。   The Ru film is preferably formed by CVD. The Ru film is more preferably formed by CVD using ruthenium carbonyl as a film forming material.

本発明の第3の観点では、基板に形成された凹部を有する所定の層にバリア膜およびRu膜を介して前記凹部内にCuを埋め込むためのCu膜を成膜するCu膜の成膜方法であって、前記Ru膜の上に加熱しつつ、PVDによりCuがマイグレーションするようにCu膜を成膜して、前記凹部内にCuを埋め込むことを特徴とするCu膜の成膜方法を提供する。   In a third aspect of the present invention, a Cu film forming method for forming a Cu film for embedding Cu in a recess through a barrier film and a Ru film in a predetermined layer having a recess formed in a substrate A Cu film forming method is provided, in which a Cu film is formed so that Cu migrates by PVD while being heated on the Ru film, and Cu is embedded in the recess. To do.

本発明の第4の観点では、基板に形成された凹部を有する所定の層にバリア膜およびRu膜を介して前記凹部内にCuを埋め込むためのCu膜を成膜するCu膜の成膜方法であって、前記凹部は、トレンチと該トレンチの底部に形成されたホールとを有し、前記Ru膜の上に加熱しつつ、PVDによりCuがマイグレーションするようにCu膜を成膜して、前記凹部内にCuを埋め込み、前記Cu膜の成膜は、前記ホールへのCuの埋め込みが完了するまでの第1段階と、前記ホールの埋め込みが完了後、前記トレンチの埋め込みが完了するまでの第2段階とを有し、前記第1段階の成膜速度は前記第2段階の成膜速度よりも小さいことを特徴とするCu膜の成膜方法を提供する。   In a fourth aspect of the present invention, a Cu film forming method for forming a Cu film for embedding Cu in a recess formed in a predetermined layer having a recess formed in a substrate via a barrier film and an Ru film The concave portion has a trench and a hole formed at the bottom of the trench, and while heating on the Ru film, forming a Cu film so that Cu migrates by PVD, Cu is embedded in the recess, and the formation of the Cu film is a first stage until the filling of the Cu into the hole is completed, and after the filling of the hole is completed, the filling of the trench is completed. A Cu film forming method, wherein the film forming speed of the first stage is smaller than the film forming speed of the second stage.

本発明の第5の観点では、基板に形成された凹部内にCuを埋め込んでCu配線を形成するための成膜システムであって、前記凹部の表面にバリア膜を形成するバリア膜成膜装置と、前記バリア膜の上にRu膜を形成するRu膜成膜装置と、前記Ru膜の上に、PVDによりCu膜を形成して前記凹部にCuを埋め込むCu膜成膜装置と、前記Cu膜成膜装置を、前記基板を加熱しつつ、CuがマイグレーションするようにCu膜を形成して前記凹部にCuを埋め込むように制御する制御部とを有することを特徴とする成膜システムを提供する。   According to a fifth aspect of the present invention, there is provided a film forming system for forming a Cu wiring by embedding Cu in a concave portion formed on a substrate, wherein the barrier film forming apparatus forms a barrier film on the surface of the concave portion. A Ru film forming apparatus that forms a Ru film on the barrier film, a Cu film forming apparatus that forms a Cu film on the Ru film by PVD and embeds Cu in the recess, and the Cu film A film forming system comprising: a control unit configured to control a film forming apparatus so that Cu is migrated and Cu is embedded in the recess while heating the substrate. To do.

上記成膜システムにおいて、前記バリア膜成膜装置と、前記Ru膜成膜装置と、前記Cu膜成膜装置との間を真空を破らずに搬送する搬送手段をさらに有することが好ましい。   In the film forming system, it is preferable that the film forming system further includes a transport unit that transports the barrier film forming apparatus, the Ru film forming apparatus, and the Cu film forming apparatus without breaking a vacuum.

本発明の第6の観点では、コンピュータ上で動作し、成膜システムを制御するためのプログラムが記憶された記憶媒体であって、前記プログラムは、実行時に、上記第1または第2の観点のCu配線の形成方法が行われるように、コンピュータに前記成膜システムを制御させることを特徴とする記憶媒体を提供する。   According to a sixth aspect of the present invention, there is provided a storage medium that operates on a computer and stores a program for controlling the film forming system, and the program is executed when the program is executed according to the first or second aspect. Provided is a storage medium characterized by causing a computer to control the film forming system so that a Cu wiring forming method is performed.

本発明の第7の観点では、コンピュータ上で動作し、成膜装置を制御するためのプログラムが記憶された記憶媒体であって、前記プログラムは、実行時に、上記第3または第4の観点のCu膜の成膜方法が行われるように、コンピュータに前記成膜装置を制御させることを特徴とする記憶媒体を提供する。   According to a seventh aspect of the present invention, there is provided a storage medium that operates on a computer and stores a program for controlling a film forming apparatus, wherein the program is executed according to the third or fourth aspect when executed. Provided is a storage medium characterized by causing a computer to control the film forming apparatus so that a Cu film forming method is performed.

本発明によれば、基板に形成されたトレンチまたはホール等の凹部内にCuを埋め込んでCu配線を形成するにあたり、バリア膜の上にRu膜を形成し、その上にPVDによりCu膜を形成して凹部にCuを埋め込むが、CuはRuへの濡れ性が良好であるため、Ru膜上でCuを凝集させずにマイグレーションさせることができる。このため、加熱しつつ、CuがマイグレーションするようにCu膜を形成することにより、Cuが凹部に流動し、凹部の間口を塞ぐことがないため、凹部として微細なトレンチやホールが形成されている場合にも、その中にボイドを発生させずに確実にCuを埋めることができる。また、Cu配線がPVDのみで形成されるため、不純物が少なく低抵抗のCu配線を実現することができる。   According to the present invention, when Cu is formed by embedding Cu in a recess such as a trench or a hole formed in a substrate, a Ru film is formed on the barrier film, and a Cu film is formed thereon by PVD. Then, Cu is embedded in the recess, but Cu has good wettability to Ru, so that migration can be performed without aggregating Cu on the Ru film. For this reason, by forming the Cu film so that Cu migrates while heating, Cu does not flow into the recess and does not block the opening of the recess, so that a fine trench or hole is formed as the recess. Even in this case, Cu can be reliably filled without generating voids therein. Further, since the Cu wiring is formed only by PVD, it is possible to realize a low resistance Cu wiring with less impurities.

また、凹部の構造がトレンチと該トレンチの底部に形成されたホールを有するものである場合には、CuがマイグレーションするようにCu膜を形成してもトレンチの底部でオーバーハングが生じてホール部分にボイドが形成するおそれがあるが、Cu膜を形成して凹部内にCuを埋め込む工程を、ホールへのCuの埋め込みが完了するまでの第1段階、および前記ホールの埋め込みが完了後、前記トレンチの埋め込みが完了するまでの第2段階を有するものとし、第1段階の成膜速度が第2段階の成膜速度よりも小さくなるようにすることにより、このようなボイドの形成を防止することができる。   In addition, when the structure of the recess has a trench and a hole formed at the bottom of the trench, even if a Cu film is formed so that Cu migrates, an overhang occurs at the bottom of the trench and the hole portion In the first step until the Cu filling into the hole is completed, and after the hole filling is completed, The formation of such voids is prevented by having a second stage until the trench filling is completed and by making the first stage deposition rate smaller than the second stage deposition rate. be able to.

本発明に係るCu配線の形成方法を実施するためのマルチチャンバタイプの成膜システムの一例を示す平面図である。It is a top view which shows an example of the multi-chamber type film-forming system for enforcing the formation method of Cu wiring concerning the present invention. 図1の成膜システムに搭載された、Cu膜を形成するためのCu膜成膜装置を示す断面図である。It is sectional drawing which shows Cu film | membrane film-forming apparatus for forming Cu film | membrane mounted in the film-forming system of FIG. 図1の成膜システムに搭載された、Ruライナー膜を形成するためのRuライナー膜成膜装置を示す断面図である。It is sectional drawing which shows the Ru liner film | membrane film-forming apparatus for forming the Ru liner film | membrane mounted in the film-forming system of FIG. 本発明の第1の実施形態に係るCu配線の形成方法のフローチャートである。It is a flowchart of the formation method of Cu wiring which concerns on the 1st Embodiment of this invention. 本発明の第1の実施形態に係るCu配線の形成方法を説明するための工程断面図である。It is process sectional drawing for demonstrating the formation method of Cu wiring which concerns on the 1st Embodiment of this invention. 図2の装置によりCu膜を成膜する場合におけるバイアスパワーとCu成膜量との関係を示す模式図である。It is a schematic diagram which shows the relationship between the bias power and Cu film-forming amount in the case of forming Cu film | membrane with the apparatus of FIG. 図2の装置によりCu膜を成膜する場合における成膜モデルを説明するための模式図である。It is a schematic diagram for demonstrating the film-forming model in the case of forming Cu film | membrane with the apparatus of FIG. 実際に図2の装置でCu膜を形成した際において、横軸にCuターゲットに供給する直流電力をとり、縦軸にバイアスパワーをとって、T/Tの値を等高線で示す図である。When the Cu film is actually formed with the apparatus of FIG. 2, the horizontal axis represents the DC power supplied to the Cu target, the vertical axis represents the bias power, and the values of T E / T D are shown by contour lines. is there. 実際に図2の装置でCu膜を形成した際において、横軸にバイアスパワーをとり、縦軸にT/Tをとって、Cuターゲットへの直流電力ごとにこれらの関係を示すグラフである。When the Cu film is actually formed with the apparatus of FIG. 2, the horizontal axis represents the bias power, the vertical axis represents T E / T D, and the graph shows these relationships for each DC power to the Cu target. is there. バイアスパワーをT/T=0となる130W(0.19W/cm)として、成膜温度を200℃、225℃、250℃、300℃としてCu膜を成膜した際の走査型電子顕微鏡(SEM)写真である。Scanning electrons when a Cu film is formed with a bias power of 130 W (0.19 W / cm 2 ) at which T E / T D = 0 and film formation temperatures of 200 ° C., 225 ° C., 250 ° C., and 300 ° C. It is a microscope (SEM) photograph. バイアスパワーをT/T=0となる130W(0.19W/cm)、およびT/T=0.02となる195W(0.28W/cm)として、成膜温度65℃でCu膜を成膜した際の走査型電子顕微鏡(SEM)写真である。As 130W comprising a bias power and T E / T D = 0 ( 0.19W / cm 2), and T E / T D = 0.02 and made 195W (0.28W / cm 2), film formation temperature 65 ° C. It is a scanning electron microscope (SEM) photograph at the time of forming a Cu film | membrane by (1). バイアスパワーをT/Tが0〜0.24になるように変化させて、成膜温度250℃でCu膜を成膜時間56secで成膜した際の走査型電子顕微鏡(SEM)写真である。Scanning electron microscope (SEM) photograph when changing the bias power so that T E / T D becomes 0 to 0.24 and forming a Cu film at a film formation temperature of 250 ° C. for a film formation time of 56 sec. is there. 本発明の第1の実施形態によりCu配線を形成した際における、Tiバリア膜のみを形成した状態、Ruライナー膜を形成した状態、Cu膜を5nm、10nm、20nm、30nm成膜した状態の走査型電子顕微鏡(SEM)写真である。Scanning of a state in which only a Ti barrier film is formed, a state in which a Ru liner film is formed, and a state in which a Cu film is formed to 5 nm, 10 nm, 20 nm, and 30 nm when Cu wiring is formed according to the first embodiment of the present invention It is a scanning electron microscope (SEM) photograph. 本発明の第1の実施形態によって形成したCu配線と、Cuめっきを用いた従来のCu配線の電気特性を比較した結果を示す図である。It is a figure which shows the result of having compared the electrical characteristic of Cu wiring formed by the 1st Embodiment of this invention, and the conventional Cu wiring using Cu plating. 本発明の第2の実施形態に係るCu配線の形成方法のフローチャートである。It is a flowchart of the formation method of Cu wiring concerning a 2nd embodiment of the present invention. 本発明の第2の実施形態に係るCu配線の形成方法を説明するための工程断面図である。It is process sectional drawing for demonstrating the formation method of Cu wiring which concerns on the 2nd Embodiment of this invention. デュアルダマシン構造にCuを埋め込む際にトレンチ底部に形成されたビアにボイドが生じる場合のメカニズムを説明するための図である。It is a figure for demonstrating the mechanism in case a void arises in the via | veer formed in the trench bottom part when Cu is embedded to a dual damascene structure. 第2の実施形態に従ってデュアルダマシン構造にCuを埋め込む際の状態を説明するための図である。It is a figure for demonstrating the state at the time of embedding Cu in the dual damascene structure according to 2nd Embodiment. 図2の成膜装置において、直流電源のパワーとCu堆積速度との関係を示す図である。In the film-forming apparatus of FIG. 2, it is a figure which shows the relationship between the power of DC power supply, and Cu deposition rate.

以下、添付図面を参照して本発明の実施形態について具体的に説明する。   Hereinafter, embodiments of the present invention will be specifically described with reference to the accompanying drawings.

<本発明の実施形態に用いる成膜システム>
図1は本発明に係るCu配線の形成方法を実施するためのマルチチャンバタイプの成膜システムの一例を示す平面図である。
<Film Forming System Used in Embodiment of the Present Invention>
FIG. 1 is a plan view showing an example of a multi-chamber type film forming system for carrying out a Cu wiring forming method according to the present invention.

成膜システム1は、バリア膜およびRuライナー膜を形成する第1の処理部2と、Cu膜を形成する第2の処理部3と、搬入出部4とを有しており、半導体ウエハ(以下、単にウエハと記す。)Wに対してCu配線を形成するためのものである。   The film forming system 1 includes a first processing unit 2 that forms a barrier film and a Ru liner film, a second processing unit 3 that forms a Cu film, and a loading / unloading unit 4. Hereinafter, simply referred to as a wafer.) For forming a Cu wiring for W.

第1の処理部2は、平面形状が七角形をなす第1の真空搬送室11と、この第1の真空搬送室11の4つの辺に対応する壁部に接続された、2つのバリア膜成膜装置12a,12bおよび2つのRuライナー膜成膜装置14a,14bとを有している。バリア膜成膜装置12aおよびRuライナー膜成膜装置14aとバリア膜成膜装置12bおよびRuライナー膜成膜装置14bとは線対称の位置に配置されている。   The first processing unit 2 includes a first vacuum transfer chamber 11 having a heptagonal planar shape and two barrier films connected to wall portions corresponding to the four sides of the first vacuum transfer chamber 11. It has film forming apparatuses 12a and 12b and two Ru liner film forming apparatuses 14a and 14b. The barrier film forming apparatus 12a and the Ru liner film forming apparatus 14a, and the barrier film forming apparatus 12b and the Ru liner film forming apparatus 14b are arranged in line-symmetric positions.

第1の真空搬送室11の他の2辺に対応する壁部には、それぞれウエハWのデガス処理を行うデガス室5a,5bが接続されている。また、第1の真空搬送室11のデガス室5aと5bとの間の壁部には、第1の真空搬送室11と後述する第2の真空搬送室21との間でウエハWの受け渡しを行う受け渡し室5が接続されている。   Degas chambers 5 a and 5 b for degassing the wafer W are connected to the walls corresponding to the other two sides of the first vacuum transfer chamber 11. Further, the wafer W is transferred between the first vacuum transfer chamber 11 and a second vacuum transfer chamber 21 described later on the wall portion between the degas chambers 5a and 5b of the first vacuum transfer chamber 11. A delivery chamber 5 is connected.

バリア膜成膜装置12a,12b、Ruライナー膜成膜装置14a,14b、デガス室5a,5b、および受け渡し室5は、第1の真空搬送室11の各辺にゲートバルブGを介して接続され、これらは対応するゲートバルブGを開放することにより第1の真空搬送室11と連通され、対応するゲートバルブGを閉じることにより第1の真空搬送室11から遮断される。   The barrier film forming apparatuses 12a and 12b, the Ru liner film forming apparatuses 14a and 14b, the degas chambers 5a and 5b, and the delivery chamber 5 are connected to the respective sides of the first vacuum transfer chamber 11 through gate valves G. These are communicated with the first vacuum transfer chamber 11 by opening the corresponding gate valve G, and are disconnected from the first vacuum transfer chamber 11 by closing the corresponding gate valve G.

第1の真空搬送室11内は所定の真空雰囲気に保持されるようになっており、その中には、バリア膜成膜装置12a,12b、Ruライナー膜成膜装置14a,14b、デガス室5a,5b、および受け渡し室5に対してウエハWの搬入出を行う第1の搬送機構16が設けられている。この第1の搬送機構16は、第1の真空搬送室11の略中央に配設されており、回転および伸縮可能な回転・伸縮部17を有し、その回転・伸縮部17の先端にウエハWを支持する2つの支持アーム18a,18bが設けられており、これら2つの支持アーム18a,18bは互いに反対方向を向くように回転・伸縮部17に取り付けられている。   The inside of the first vacuum transfer chamber 11 is maintained in a predetermined vacuum atmosphere, and among these, barrier film forming apparatuses 12a and 12b, Ru liner film forming apparatuses 14a and 14b, and a degas chamber 5a. , 5b, and a first transfer mechanism 16 for carrying the wafer W in and out of the delivery chamber 5. The first transfer mechanism 16 is disposed substantially at the center of the first vacuum transfer chamber 11, and has a rotation / extension / contraction part 17 that can be rotated and expanded / contracted. Two support arms 18a and 18b for supporting W are provided, and these two support arms 18a and 18b are attached to the rotating / extending / contracting portion 17 so as to face opposite directions.

第2の処理部3は、平面形状が七角形をなす第2の真空搬送室21と、この第2の真空搬送室21の対向する2つの辺に対応する壁部に接続された、2つのCu膜成膜装置22a,22bとを有している。   The second processing unit 3 includes a second vacuum transfer chamber 21 having a heptagonal planar shape, and two wall portions connected to two opposing sides of the second vacuum transfer chamber 21. Cu film forming apparatuses 22a and 22b.

第2の真空搬送室21の第1の処理部2側の2辺に対応する壁部には、それぞれ上記デガス室5a,5bが接続され、デガス室5aと5bとの間の壁部には、上記受け渡し室5が接続されている。すなわち、受け渡し室5ならびにデガス室5aおよび5bは、いずれも第1の真空搬送室11と第2の真空搬送室21との間に設けられ、受け渡し室5の両側にデガス室5aおよび5bが配置されている。さらに、搬入出部4側の2辺には、それぞれ大気搬送および真空搬送可能なロードロック室6a,6bが接続されている。   The degas chambers 5a and 5b are connected to the wall portions corresponding to the two sides of the second vacuum transfer chamber 21 on the first processing unit 2 side, respectively, and the wall portion between the degas chambers 5a and 5b is connected to the walls. The delivery chamber 5 is connected. That is, the delivery chamber 5 and the degas chambers 5 a and 5 b are both provided between the first vacuum transfer chamber 11 and the second vacuum transfer chamber 21, and the degas chambers 5 a and 5 b are arranged on both sides of the transfer chamber 5. Has been. Furthermore, load lock chambers 6a and 6b capable of atmospheric conveyance and vacuum conveyance are connected to the two sides on the carry-in / out section 4 side, respectively.

Cu膜成膜装置22a,22b、デガス室5a,5b、およびロードロック室6a,6bは、第2の真空搬送室21の各辺にゲートバルブGを介して接続され、これらは対応するゲートバルブを開放することにより第2の真空搬送室21と連通され、対応するゲートバルブGを閉じることにより第2の真空搬送室21から遮断される。また、受け渡し室5はゲートバルブを介さずに第2の真空搬送室21に接続されている。   The Cu film forming apparatuses 22a and 22b, the degas chambers 5a and 5b, and the load lock chambers 6a and 6b are connected to the respective sides of the second vacuum transfer chamber 21 through gate valves G, which correspond to the corresponding gate valves. Is opened and communicated with the second vacuum transfer chamber 21, and is closed from the second vacuum transfer chamber 21 by closing the corresponding gate valve G. The delivery chamber 5 is connected to the second vacuum transfer chamber 21 without a gate valve.

第2の真空搬送室21内は所定の真空雰囲気に保持されるようになっており、その中には、Cu膜成膜装置22a,22b、デガス室5a,5b、ロードロック室6a,6b、および受け渡し室5に対してウエハWの搬入出を行う第2の搬送機構26が設けられている。この第2の搬送機構26は、第2の真空搬送室21の略中央に配設されており、回転および伸縮可能な回転・伸縮部27を有し、その回転・伸縮部27の先端にウエハWを支持する2つの支持アーム28a,28bが設けられており、これら2つの支持アーム28a,28bは互いに反対方向を向くように回転・伸縮部27に取り付けられている。   The inside of the second vacuum transfer chamber 21 is maintained in a predetermined vacuum atmosphere. Among them, Cu film forming apparatuses 22a and 22b, degas chambers 5a and 5b, load lock chambers 6a and 6b, In addition, a second transfer mechanism 26 for carrying the wafer W in and out of the delivery chamber 5 is provided. The second transfer mechanism 26 is disposed substantially at the center of the second vacuum transfer chamber 21, and has a rotation / extension / contraction part 27 that can be rotated and expanded / contracted. Two support arms 28a and 28b for supporting W are provided, and these two support arms 28a and 28b are attached to the rotating / extending / contracting portion 27 so as to face opposite directions.

搬入出部4は、上記ロードロック室6a,6bを挟んで第2の処理部3と反対側に設けられており、ロードロック室6a,6bが接続される大気搬送室31を有している。ロードロック室6a,6bと大気搬送室31との間の壁部にはゲートバルブGが設けられている。大気搬送室31のロードロック室6a,6bが接続された壁部と対向する壁部には被処理基板としてのウエハWを収容するキャリアCを接続する2つの接続ポート32,33が設けられている。これら接続ポート32,33にはそれぞれ図示しないシャッターが設けられており、これら接続ポート32,33にウエハWを収容した状態の、または空のキャリアCが直接取り付けられ、その際にシャッターが外れて外気の侵入を防止しつつ大気搬送室31と連通するようになっている。また、大気搬送室31の側面にはアライメントチャンバ34が設けられており、そこでウエハWのアライメントが行われる。大気搬送室31内には、キャリアCに対するウエハWの搬入出およびロードロック室6a,6bに対するウエハWの搬入出を行う大気搬送用搬送機構36が設けられている。この大気搬送用搬送機構36は、2つの多関節アームを有しており、キャリアCの配列方向に沿ってレール38上を走行可能となっていて、それぞれの先端のハンド37上にウエハWを載せてその搬送を行うようになっている。   The loading / unloading unit 4 is provided on the opposite side of the second processing unit 3 with the load lock chambers 6a and 6b interposed therebetween, and has an atmospheric transfer chamber 31 to which the load lock chambers 6a and 6b are connected. . A gate valve G is provided on a wall portion between the load lock chambers 6 a and 6 b and the atmospheric transfer chamber 31. Two connection ports 32 and 33 for connecting a carrier C that accommodates a wafer W as a substrate to be processed are provided on the wall portion of the atmospheric transfer chamber 31 that faces the wall portion to which the load lock chambers 6a and 6b are connected. Yes. Each of the connection ports 32 and 33 is provided with a shutter (not shown). A wafer C containing a wafer W or an empty carrier C is directly attached to the connection ports 32 and 33, and the shutter is released at that time. The air communication chamber 31 communicates with the outside air while preventing the outside air from entering. An alignment chamber 34 is provided on the side surface of the atmospheric transfer chamber 31 where the wafer W is aligned. In the atmospheric transfer chamber 31, an atmospheric transfer transfer mechanism 36 that loads and unloads the wafer W with respect to the carrier C and loads and unloads the wafer W with respect to the load lock chambers 6 a and 6 b is provided. This atmospheric transfer mechanism 36 has two articulated arms, and can run on the rail 38 along the arrangement direction of the carrier C. The wafer W is placed on the hand 37 at each tip. It is loaded and transported.

この成膜システム1は、この成膜システム1の各構成部を制御するための制御部40を有している。この制御部40は、各構成部の制御を実行するマイクロプロセッサ(コンピュータ)からなるプロセスコントローラ41と、オペレータが成膜システム1を管理するためにコマンドの入力操作等を行うキーボードや、成膜システム1の稼働状況を可視化して表示するディスプレイ等からなるユーザーインターフェース42と、成膜システム1で実行される処理をプロセスコントローラ41の制御にて実現するための制御プログラムや、各種データ、および処理条件に応じて処理装置の各構成部に処理を実行させるためのプログラムすなわちレシピが格納された記憶部43とを備えている。なお、ユーザーインターフェース42および記憶部43はプロセスコントローラ41に接続されている。   The film forming system 1 has a control unit 40 for controlling each component of the film forming system 1. The control unit 40 includes a process controller 41 composed of a microprocessor (computer) that executes control of each component, a keyboard on which an operator inputs commands to manage the film forming system 1, and a film forming system. 1, a user interface 42 including a display for visualizing and displaying the operation status of 1, a control program for realizing processing executed by the film forming system 1 under the control of the process controller 41, various data, and processing conditions And a storage unit 43 that stores a program for causing each component of the processing apparatus to execute processing, that is, a recipe. Note that the user interface 42 and the storage unit 43 are connected to the process controller 41.

上記レシピは記憶部43の中の記憶媒体43aに記憶されている。記憶媒体は、ハードディスクであってもよいし、CDROM、DVD、フラッシュメモリ等の可搬性のものであってもよい。また、他の装置から、例えば専用回線を介してレシピを適宜伝送させるようにしてもよい。   The recipe is stored in the storage medium 43 a in the storage unit 43. The storage medium may be a hard disk or a portable medium such as a CDROM, DVD, or flash memory. Moreover, you may make it transmit a recipe suitably from another apparatus via a dedicated line, for example.

そして、必要に応じて、ユーザーインターフェース42からの指示等にて任意のレシピを記憶部43から呼び出してプロセスコントローラ41に実行させることで、プロセスコントローラ41の制御下で、成膜システム1での所望の処理が行われる。   Then, if desired, an arbitrary recipe is called from the storage unit 43 by an instruction from the user interface 42 and is executed by the process controller 41, so that a desired value in the film forming system 1 is controlled under the control of the process controller 41. Is performed.

このような成膜システム1においては、キャリアCから大気搬送用搬送機構36によりトレンチやホールを有する所定パターンが形成されたウエハWを取り出し、ロードロック室6aまたは6bに搬送し、そのロードロック室を第2の真空搬送室21と同程度の真空度に減圧した後、第2の搬送機構26によりロードロック室のウエハWを取り出し、第2の真空搬送室21を介してデガス室5aまたは5bに搬送し、ウエハWのデガス処理を行う。その後、第1の搬送機構16によりデガス室のウエハWを取り出し、第1の真空搬送室11を介してバリア膜成膜装置12aまたは12bに搬入し、バリア膜として例えば、Ti膜、TiN膜、Ta膜、TaN膜等を成膜する。バリア膜成膜後、第1の搬送機構16によりバリア膜成膜装置12aまたは12bからウエハWを取り出し、Ruライナー膜成膜装置14aまたは14bに搬入し、Ruライナー膜を成膜する。Ruライナー膜成膜後、第1の搬送機構16によりRuライナー膜成膜装置14aまたは14bからウエハWを取り出し、受け渡し室5に搬送する。その後、第2の搬送機構26によりウエハWを取り出し、第2の真空搬送室21を介してCu膜成膜装置22aまたは22bに搬入し、Cu膜を成膜する。Cu膜成膜後、第2の搬送機構26によりCu膜成膜装置22aまたは22bからウエハWを取り出し、ロードロック室6aまたは6bに搬送し、そのロードロック室を大気圧に戻した後、大気搬送用搬送機構36によりCu膜が形成されたウエハWを取り出し、キャリアCに戻す。このような処理をキャリア内のウエハWの数の分だけ繰り返す。   In such a film forming system 1, the wafer W on which a predetermined pattern having trenches and holes is formed is taken out from the carrier C by the atmospheric transfer mechanism 36 and transferred to the load lock chamber 6a or 6b. Is depressurized to the same degree of vacuum as the second vacuum transfer chamber 21, the wafer W in the load lock chamber is taken out by the second transfer mechanism 26, and the degas chamber 5 a or 5 b is removed via the second vacuum transfer chamber 21. The wafer W is degassed. After that, the wafer W in the degas chamber is taken out by the first transfer mechanism 16 and loaded into the barrier film forming apparatus 12a or 12b through the first vacuum transfer chamber 11, and for example, a Ti film, a TiN film, A Ta film, a TaN film, or the like is formed. After the barrier film is formed, the wafer W is taken out from the barrier film forming apparatus 12a or 12b by the first transport mechanism 16 and loaded into the Ru liner film forming apparatus 14a or 14b, and a Ru liner film is formed. After forming the Ru liner film, the wafer W is taken out from the Ru liner film forming apparatus 14 a or 14 b by the first transfer mechanism 16 and transferred to the delivery chamber 5. Thereafter, the wafer W is taken out by the second transfer mechanism 26 and transferred into the Cu film forming apparatus 22a or 22b via the second vacuum transfer chamber 21, and a Cu film is formed. After the Cu film is formed, the wafer W is taken out from the Cu film forming apparatus 22a or 22b by the second transfer mechanism 26, transferred to the load lock chamber 6a or 6b, and the load lock chamber is returned to the atmospheric pressure. The wafer W on which the Cu film is formed is taken out by the transfer mechanism 36 and transferred back to the carrier C. Such a process is repeated for the number of wafers W in the carrier.

<Cu膜成膜装置>
次に、本発明の方法を実施するために重要なCu膜成膜装置22a(22b)について説明する。
図2は、Cu膜成膜装置の一例を示す断面図である。ここではCu膜成膜装置としてiPVD(Ionized physical vapor deposition)であるICP(Inductively Coupled Plasma)型プラズマスパッタ装置を例にとって説明する。
<Cu film deposition system>
Next, the Cu film forming apparatus 22a (22b) important for carrying out the method of the present invention will be described.
FIG. 2 is a cross-sectional view showing an example of a Cu film forming apparatus. Here, an ICP (Inductively Coupled Plasma) type plasma sputtering apparatus which is an iPVD (Ionized physical vapor deposition) will be described as an example of the Cu film forming apparatus.

図2に示すように、このCu膜成膜装置22a(22b)は、例えばアルミニウム等により筒体状に成形された処理容器51を有している。この処理容器51は接地され、その底部52には排気口53が設けられており、排気口53には排気管54が接続されている。排気管54には圧力調整を行うスロットルバルブ55および真空ポンプ56が接続されており、処理容器51内が真空引き可能となっている。また処理容器51の底部52には、処理容器51内へ所定のガスを導入するガス導入口57が設けられる。このガス導入口57にはガス供給配管58が接続されており、ガス供給配管58には、プラズマ励起用ガスとして希ガス、例えばArガスや他の必要なガス例えばNガス等を供給するためのガス供給源59が接続されている。また、ガス供給配管58には、ガス流量制御器、バルブ等よりなるガス制御部60が介装されている。 As shown in FIG. 2, the Cu film forming apparatus 22a (22b) includes a processing container 51 formed into a cylindrical shape with, for example, aluminum. The processing vessel 51 is grounded, and an exhaust port 53 is provided at the bottom 52, and an exhaust pipe 54 is connected to the exhaust port 53. A throttle valve 55 and a vacuum pump 56 for adjusting pressure are connected to the exhaust pipe 54 so that the inside of the processing container 51 can be evacuated. Further, a gas inlet 57 for introducing a predetermined gas into the processing container 51 is provided at the bottom 52 of the processing container 51. A gas supply pipe 58 is connected to the gas inlet 57 for supplying a rare gas such as Ar gas or other necessary gas such as N 2 gas as the plasma excitation gas. The gas supply source 59 is connected. The gas supply pipe 58 is provided with a gas control unit 60 including a gas flow rate controller and a valve.

処理容器51内には、被処理基板であるウエハWを載置するための載置機構62が設けられる。この載置機構62は、円板状に成形された載置台63と、この載置台63を支持するとともに接地された中空筒体状の支柱64とを有している。載置台63は、例えばアルミニウム合金等の導電性材料よりなり、支柱64を介して接地されている。載置台63の中には冷却ジャケット65が設けられており、図示しない冷媒流路を介して冷媒を供給するようになっている。また、載置台63内には冷却ジャケット65の上に絶縁材料で被覆された抵抗ヒーター87が埋め込まれている。抵抗ヒーター87は図示しない電源から給電されるようになっている。載置台63には熱電対(図示せず)が設けられており、この熱電対で検出された温度に基づいて、冷却ジャケット65への冷媒の供給および抵抗ヒーター87への給電を制御することにより、ウエハ温度を所定の温度に制御できるようになっている。   In the processing container 51, a mounting mechanism 62 for mounting a wafer W as a substrate to be processed is provided. The mounting mechanism 62 includes a mounting table 63 formed in a disc shape, and a hollow cylindrical column support 64 that supports the mounting table 63 and is grounded. The mounting table 63 is made of a conductive material such as an aluminum alloy, and is grounded via a support column 64. A cooling jacket 65 is provided in the mounting table 63 so as to supply the refrigerant through a refrigerant channel (not shown). A resistance heater 87 covered with an insulating material is embedded on the cooling jacket 65 in the mounting table 63. The resistance heater 87 is supplied with power from a power source (not shown). The mounting table 63 is provided with a thermocouple (not shown), and by controlling the supply of the refrigerant to the cooling jacket 65 and the power supply to the resistance heater 87 based on the temperature detected by the thermocouple. The wafer temperature can be controlled to a predetermined temperature.

載置台63の上面側には、例えばアルミナ等の誘電体部材66aの中に電極66bが埋め込まれて構成された薄い円板状の静電チャック66が設けられており、ウエハWを静電力により吸着保持できるようになっている。また、支柱64の下部は、処理容器51の底部52の中心部に形成された挿通孔67を貫通して下方へ延びている。支柱64は、図示しない昇降機構により上下移動可能となっており、これにより載置機構62の全体が昇降される。   On the upper surface side of the mounting table 63, for example, a thin disk-shaped electrostatic chuck 66 configured by embedding an electrode 66b in a dielectric member 66a such as alumina is provided. It can be held by suction. Further, the lower portion of the support column 64 extends downward through an insertion hole 67 formed at the center of the bottom 52 of the processing vessel 51. The support column 64 can be moved up and down by an elevator mechanism (not shown), whereby the entire mounting mechanism 62 is moved up and down.

支柱64を囲むように、伸縮可能に構成された蛇腹状の金属ベローズ68が設けられており、この金属ベローズ68は、その上端が載置台63の下面に気密に接合され、また下端が処理容器51の底部52の上面に気密に接合されており、処理容器51内の気密性を維持しつつ載置機構62の昇降移動を許容できるようになっている。   A bellows-like metal bellows 68 configured to be stretchable is provided so as to surround the support column 64, and the upper end of the metal bellows 68 is airtightly joined to the lower surface of the mounting table 63, and the lower end thereof is a processing container. It is airtightly joined to the upper surface of the bottom part 52 of 51, and the raising / lowering movement of the mounting mechanism 62 can be permitted while maintaining the airtightness in the processing container 51.

また底部52には、上方に向けて例えば3本(図2では2本のみ示す)の支持ピン69が起立させて設けられており、また、この支持ピン69に対応させて載置台63にピン挿通孔70が形成されている。したがって、載置台63を降下させた際に、ピン挿通孔70を貫通した支持ピン69の上端部でウエハWを受けて、そのウエハWを外部より侵入する搬送アーム(図示せず)との間で移載することができる。このため、処理容器51の下部側壁には、搬送アームを侵入させるために搬出入口71が設けられ、この搬出入口71には、開閉可能になされたゲートバルブGが設けられている。このゲートバルブGの反対側には、前述した第2の真空搬送室21が設けられている。   Further, for example, three support pins 69 (only two are shown in FIG. 2) are provided upright on the bottom portion 52, and are provided on the mounting table 63 so as to correspond to the support pins 69. An insertion hole 70 is formed. Therefore, when the mounting table 63 is lowered, the wafer W is received by the upper end portion of the support pin 69 penetrating the pin insertion hole 70, and between the transfer arm (not shown) that enters the wafer W from the outside. Can be transferred. For this reason, a carry-out / inlet 71 is provided in the lower side wall of the processing container 51 in order to allow the transfer arm to enter, and the carry-out / inlet 71 is provided with a gate valve G that can be opened and closed. On the opposite side of the gate valve G, the aforementioned second vacuum transfer chamber 21 is provided.

また上述した静電チャック66の電極66bには、給電ライン72を介してチャック用電源73が接続されており、このチャック用電源73から電極66bに直流電圧を印加することにより、ウエハWが静電力により吸着保持される。また給電ライン72にはバイアス用高周波電源74が接続されており、この給電ライン72を介して静電チャック66の電極66bに対してバイアス用の高周波電力を供給し、ウエハWにバイアス電力が印加されるようになっている。この高周波電力の周波数は、400kHz〜60MHzが好ましく、例えば13.56MHzが採用される。   In addition, a chuck power source 73 is connected to the electrode 66b of the electrostatic chuck 66 through a power supply line 72. By applying a DC voltage to the electrode 66b from the chuck power source 73, the wafer W is brought into a static state. Adsorbed and held by electric power. A bias high frequency power source 74 is connected to the power supply line 72, and bias high frequency power is supplied to the electrode 66 b of the electrostatic chuck 66 via the power supply line 72, and bias power is applied to the wafer W. It has come to be. The frequency of the high frequency power is preferably 400 kHz to 60 MHz, and for example, 13.56 MHz is adopted.

一方、処理容器51の天井部には、例えばアルミナ等の誘電体よりなる高周波に対して透過性のある透過板76がOリング等のシール部材77を介して気密に設けられている。そして、この透過板76の上部に、処理容器51内の処理空間Sにプラズマ励起用ガスとしての希ガス、例えばArガスをプラズマ化してプラズマを発生するためのプラズマ発生源78が設けられる。なお、このプラズマ励起用ガスとして、Arに代えて他の希ガス、例えばHe、Ne、Kr等を用いてもよい。   On the other hand, a transmission plate 76 that is permeable to high frequencies made of a dielectric material such as alumina, for example, is hermetically provided on the ceiling of the processing vessel 51 via a seal member 77 such as an O-ring. A plasma generation source 78 for generating a plasma by generating a rare gas, for example, Ar gas, as a plasma excitation gas in the processing space S in the processing vessel 51 in the upper portion of the transmission plate 76 is provided. As this plasma excitation gas, other rare gases such as He, Ne, Kr, etc. may be used instead of Ar.

プラズマ発生源78は、透過板76に対応させて設けた誘導コイル80を有しており、この誘導コイル80には、プラズマ発生用の例えば13.56MHzの高周波電源81が接続されて、上記透過板76を介して処理空間Sに高周波電力が導入され誘導電界を形成するようになっている。   The plasma generation source 78 has an induction coil 80 provided so as to correspond to the transmission plate 76. To this induction coil 80, for example, a 13.56 MHz high frequency power source 81 for plasma generation is connected, and the transmission is performed. High frequency power is introduced into the processing space S via the plate 76 to form an induced electric field.

また透過板76の直下には、導入された高周波電力を拡散させる例えばアルミニウムよりなるバッフルプレート82が設けられる。そして、このバッフルプレート82の下部には、上記処理空間Sの上部側方を囲むようにして例えば断面が内側に向けて傾斜されて環状(截頭円錐殻状)のCuターゲット83が設けられており、このCuターゲット83にはArイオンを引きつけるための直流電力を印加するターゲット用の電圧可変の直流電源84が接続されている。なお、直流電源に代えて交流電源を用いてもよい。   A baffle plate 82 made of aluminum, for example, is provided directly below the transmission plate 76 to diffuse the introduced high-frequency power. Further, at the lower part of the baffle plate 82, for example, an annular (a frustoconical shell-shaped) Cu target 83 is provided so as to surround the upper side of the processing space S and the cross section is inclined inward. The Cu target 83 is connected to a target variable voltage DC power supply 84 for applying DC power for attracting Ar ions. An AC power supply may be used instead of the DC power supply.

また、Cuターゲット83の外周側には、これに磁界を付与するための磁石85が設けられている。Cuターゲット83はプラズマ中のArイオンによりCuの金属原子、あるいは金属原子団としてスパッタされるとともに、プラズマ中を通過する際に多くはイオン化される。   A magnet 85 is provided on the outer peripheral side of the Cu target 83 to apply a magnetic field thereto. The Cu target 83 is sputtered as Cu metal atoms or metal atomic groups by Ar ions in the plasma, and is mostly ionized when passing through the plasma.

またこのCuターゲット83の下部には、上記処理空間Sを囲むようにして例えばアルミニウムや銅よりなる円筒状の保護カバー部材86が設けられている。この保護カバー部材86は接地されるとともに、その下部は内側へ屈曲されて載置台63の側部近傍に位置されている。したがって、保護カバー部材86の内側の端部は、載置台63の外周側を囲むようにして設けられている。   A cylindrical protective cover member 86 made of, for example, aluminum or copper is provided below the Cu target 83 so as to surround the processing space S. The protective cover member 86 is grounded, and a lower portion thereof is bent inward and is positioned in the vicinity of the side portion of the mounting table 63. Therefore, the inner end of the protective cover member 86 is provided so as to surround the outer peripheral side of the mounting table 63.

なお、Cu膜成膜装置の各構成部も、上述の制御部40により制御されるようになっている。   Each component of the Cu film forming apparatus is also controlled by the control unit 40 described above.

このように構成されるCu膜成膜装置においては、ウエハWを図2に示す処理容器51内へ搬入し、このウエハWを載置台63上に載置して静電チャック66により吸着し、制御部40の制御下で以下の動作が行われる。このとき、載置台63は、抵抗ヒーター87により所定温度に加熱されて温度制御される。   In the Cu film forming apparatus configured as described above, the wafer W is loaded into the processing container 51 shown in FIG. 2, and the wafer W is placed on the mounting table 63 and adsorbed by the electrostatic chuck 66. The following operations are performed under the control of the control unit 40. At this time, the mounting table 63 is heated to a predetermined temperature by the resistance heater 87 and temperature controlled.

まず、真空ポンプ56を動作させることにより所定の真空状態にされた処理容器51内に、ガス制御部60を操作して所定流量でArガスを流しつつスロットルバルブ55を制御して処理容器51内を所定の真空度に維持する。その後、可変直流電源84から直流電圧をCuターゲット83に印加し、さらにプラズマ発生源78の高周波電源81から誘導コイル80に高周波電力(プラズマ電力)を供給する。一方、バイアス用高周波電源74から静電チャック66の電極66bに対して所定のバイアス用の高周波電力を供給する。   First, by operating the gas control unit 60 and flowing the Ar gas at a predetermined flow rate into the processing container 51 that is brought into a predetermined vacuum state by operating the vacuum pump 56, the throttle valve 55 is controlled to control the inside of the processing container 51. Is maintained at a predetermined degree of vacuum. Thereafter, a DC voltage is applied from the variable DC power source 84 to the Cu target 83, and high frequency power (plasma power) is supplied from the high frequency power source 81 of the plasma generation source 78 to the induction coil 80. On the other hand, a predetermined high frequency power for bias is supplied from the high frequency power source 74 for bias to the electrode 66 b of the electrostatic chuck 66.

これにより、処理容器51内においては、誘導コイル80に供給された高周波電力によりアルゴンプラズマが形成されてアルゴンイオンが生成され、これらイオンはCuターゲット83に印加された直流電圧に引き寄せられてCuターゲット83に衝突し、このCuターゲット83がスパッタされてCu粒子が放出される。この際、Cuターゲット83に印加する直流電圧により放出されるCu粒子の量が最適に制御される。   Thereby, in the processing container 51, argon plasma is formed by the high frequency power supplied to the induction coil 80 to generate argon ions, and these ions are attracted to the DC voltage applied to the Cu target 83 to be Cu target. The Cu target 83 is sputtered and Cu particles are released. At this time, the amount of Cu particles released is optimally controlled by a DC voltage applied to the Cu target 83.

また、スパッタされたCuターゲット83からのCu粒子であるCu原子、Cu原子団はプラズマ中を通る際に多くはイオン化される。ここでCu粒子は、イオン化されたCuイオンと電気的に中性な中性Cu原子とが混在する状態となって下方向へ飛散して行く。特に、この処理容器51内の圧力をある程度高くし、これによりプラズマ密度を高めて、Cu粒子を高効率でイオン化できるようになっている。この時のイオン化率は高周波電源81から供給される高周波電力により制御される。   Further, Cu atoms and Cu atomic groups, which are Cu particles from the sputtered Cu target 83, are mostly ionized when passing through the plasma. Here, the Cu particles are scattered in a downward direction in a state where ionized Cu ions and electrically neutral Cu atoms are mixed. In particular, the pressure in the processing vessel 51 is increased to some extent, thereby increasing the plasma density so that the Cu particles can be ionized with high efficiency. The ionization rate at this time is controlled by the high frequency power supplied from the high frequency power supply 81.

そして、Cuイオンは、バイアス用高周波電源74から静電チャック66の電極66bに印加されたバイアス用の高周波電力によりウエハW面上に形成される厚さ数mm程度のイオンシースの領域に入ると、強い指向性をもってウエハW側に加速するように引き付けられてウエハWに堆積してCu薄膜が形成される。なお、このときバイアス用高周波電源74から静電チャック66の電極66bに対して印加されるバイアスパワーを調整することによりCuによる成膜とArによるエッチングを調整して適切な成膜を実現することができる。この点について詳細は後述する。   Then, when Cu ions enter the region of an ion sheath having a thickness of about several millimeters formed on the wafer W surface by the high frequency power for bias applied to the electrode 66b of the electrostatic chuck 66 from the high frequency power source 74 for bias. The Cu thin film is formed by being attracted so as to accelerate toward the wafer W with strong directivity and deposited on the wafer W. At this time, by adjusting the bias power applied to the electrode 66b of the electrostatic chuck 66 from the high frequency power source 74 for bias, the film formation by Cu and the etching by Ar are adjusted to realize an appropriate film formation. Can do. Details of this point will be described later.

<バリア膜成膜装置>
バリア膜成膜装置12a(12b)としてはCuターゲット83を使用する材料に変えるのみで図2の成膜装置と同様の構成の成膜装置を用いてプラズマスパッタにより成膜することができる。また、プラズマスパッタに限定されず、通常のスパッタ、イオンプレーティング等の他のPVDであってもよく、CVD(Chemical Vapor Deposition)やALD(Atomic Layer Deposition)、プラズマを用いたCVDやALDで成膜することもできる。不純物を低減する観点からはPVDが好ましい。
<Barrier film deposition system>
The barrier film forming apparatus 12a (12b) can be formed by plasma sputtering using a film forming apparatus having the same configuration as the film forming apparatus shown in FIG. Further, the present invention is not limited to plasma sputtering, but may be other PVD such as normal sputtering, ion plating, CVD (Chemical Vapor Deposition), ALD (Atomic Layer Deposition), or CVD or ALD using plasma. A membrane can also be formed. From the viewpoint of reducing impurities, PVD is preferred.

<Ru膜成膜装置>
次に、Ruライナー膜を形成するためのRuライナー膜成膜装置14a(14b)について説明する。Ruライナー膜は熱CVDにより好適に形成することができる。図3は、Ru膜成膜装置の一例を示す断面図であり、熱CVDによりRu膜を形成するものである。
<Ru film deposition system>
Next, the Ru liner film forming apparatus 14a (14b) for forming the Ru liner film will be described. The Ru liner film can be suitably formed by thermal CVD. FIG. 3 is a cross-sectional view showing an example of a Ru film forming apparatus, in which a Ru film is formed by thermal CVD.

図3に示すように、このRuライナー膜成膜装置14a(14b)は、例えばアルミニウム等により筒体に形成された処理容器101を有している。処理容器101の内部には、ウエハWを載置する例えばAlN等のセラミックスからなる載置台102が配置されており、この載置台102内にはヒーター103が設けられている。このヒーター103はヒーター電源(図示せず)から給電されることにより発熱する。   As shown in FIG. 3, this Ru liner film forming apparatus 14a (14b) has a processing vessel 101 formed in a cylindrical body of, for example, aluminum. Inside the processing vessel 101, a mounting table 102 made of ceramics such as AlN for mounting the wafer W is disposed, and a heater 103 is provided in the mounting table 102. The heater 103 generates heat when supplied with power from a heater power source (not shown).

処理容器101の天壁には、Ru膜を形成するための処理ガスやパージガス等を処理容器101内にシャワー状に導入するためのシャワーヘッド104が載置台102と対向するように設けられている。シャワーヘッド104はその上部にガス導入口105を有し、その内部にガス拡散空間106が形成されており、その底面には多数のガス吐出孔107が形成されている。ガス導入口105にはガス供給配管108が接続されており、ガス供給配管108にはRu膜を形成するための処理ガスやパージガス等を供給するためのガス供給源109が接続されている。また、ガス供給配管108には、ガス流量制御器、バルブ等よりなるガス制御部110が介装されている。Ruを成膜するためのガスとしては、ルテニウムカルボニル(Ru(CO)12)を挙げることができる。このルテニウムカルボニルは熱分解によりRuとなりウエハW上にRu膜を形成することができる。 On the top wall of the processing vessel 101, a shower head 104 for introducing a processing gas for forming a Ru film, a purge gas or the like into the processing vessel 101 in a shower shape is provided so as to face the mounting table 102. . The shower head 104 has a gas introduction port 105 in the upper portion thereof, a gas diffusion space 106 is formed in the interior thereof, and a number of gas discharge holes 107 are formed in the bottom surface thereof. A gas supply pipe 108 is connected to the gas inlet 105, and a gas supply source 109 for supplying a processing gas, a purge gas, and the like for forming a Ru film is connected to the gas supply pipe 108. The gas supply pipe 108 is provided with a gas control unit 110 including a gas flow rate controller and a valve. Examples of the gas for forming the Ru film include ruthenium carbonyl (Ru 3 (CO) 12 ). This ruthenium carbonyl becomes Ru by thermal decomposition, and a Ru film can be formed on the wafer W.

処理容器101の底部には、排気口111が設けられており、この排気口111には排気管112が接続されている。排気管112には圧力調整を行うスロットルバルブ113および真空ポンプ114が接続されており、処理容器101内が真空引き可能となっている。   An exhaust port 111 is provided at the bottom of the processing container 101, and an exhaust pipe 112 is connected to the exhaust port 111. A throttle valve 113 and a vacuum pump 114 for adjusting pressure are connected to the exhaust pipe 112, and the inside of the processing vessel 101 can be evacuated.

載置台102には、ウエハ搬送用の3本(2本のみ図示)のウエハ支持ピン116が載置台102の表面に対して突没可能に設けられ、これらウエハ支持ピン116は支持板117に固定されている。そして、ウエハ支持ピン116は、エアシリンダ等の駆動機構118によりロッド119を昇降することにより、支持板117を介して昇降される。なお、符号120はベローズである。一方、処理容器101の側壁には、ウエハ搬出入口121が形成されており、ゲートバルブGを開けた状態で第1の真空搬送室11との間でウエハWの搬入出が行われる。   On the mounting table 102, three wafer support pins 116 for wafer transfer (only two are shown) are provided so as to be able to project and retract with respect to the surface of the mounting table 102, and these wafer support pins 116 are fixed to the support plate 117. Has been. The wafer support pins 116 are moved up and down via the support plate 117 by moving the rod 119 up and down by a drive mechanism 118 such as an air cylinder. Reference numeral 120 denotes a bellows. On the other hand, a wafer loading / unloading port 121 is formed on the side wall of the processing chamber 101, and the wafer W is loaded into and unloaded from the first vacuum transfer chamber 11 with the gate valve G opened.

このようなRuライナー膜成膜装置14a(14b)においては、ゲートバルブGを開けて、ウエハWを載置台102上に載置した後、ゲートバルブGを閉じ、処理容器101内を真空ポンプ114により排気して処理容器101内を所定の圧力に調整しつつ、ヒーター103より載置台102を介してウエハWを所定温度に加熱した状態で、ガス供給源109からガス供給配管108およびシャワーヘッド104を介して処理容器101内へルテニウムカルボニル(Ru(CO)12)ガス等の処理ガスを導入する。これにより、ウエハW上で処理ガスの反応が進行し、ウエハWの表面にRu膜が形成される。 In such a Ru liner film forming apparatus 14 a (14 b), the gate valve G is opened, the wafer W is placed on the mounting table 102, the gate valve G is closed, and the inside of the processing chamber 101 is vacuum pumped 114. While the wafer W is heated to a predetermined temperature from the heater 103 via the mounting table 102 while the inside of the processing vessel 101 is adjusted to a predetermined pressure by evacuating the gas from the gas supply source 109 to the gas supply pipe 108 and the shower head 104. A processing gas such as ruthenium carbonyl (Ru 3 (CO) 12 ) gas is introduced into the processing vessel 101 through As a result, the reaction of the processing gas proceeds on the wafer W, and a Ru film is formed on the surface of the wafer W.

Ru膜の成膜には、ルテニウムカルボニル以外の他の成膜原料、例えば(シクロペンタジエニル)(2,4−ジメチルペンタジエニル)ルテニウム、ビス(シクロペンタジエニル)(2,4−メチルペンタジエニル)ルテニウム、(2,4−ジメチルペンタジエニル)(エチルシクロペンタジエニル)ルテニウム、ビス(2,4−メチルペンタジエニル)(エチルシクロペンタジエニル)ルテニウムのようなルテニウムのペンタジエニル化合物をOガスのような分解ガスとともに用いることができる。またRu膜をPVDで成膜することもできる。ただし、良好なステップカバレッジが得られ、かつ膜の不純物を少なくすることができることからルテニウムカルボニルを用いたCVDで成膜することが好ましい。 For the formation of the Ru film, other film forming materials other than ruthenium carbonyl, for example, (cyclopentadienyl) (2,4-dimethylpentadienyl) ruthenium, bis (cyclopentadienyl) (2,4-methyl) Pentadienyl of ruthenium such as pentadienyl) ruthenium, (2,4-dimethylpentadienyl) (ethylcyclopentadienyl) ruthenium, bis (2,4-methylpentadienyl) (ethylcyclopentadienyl) ruthenium The compound can be used with a cracked gas such as O 2 gas. In addition, the Ru film can be formed by PVD. However, it is preferable to form a film by CVD using ruthenium carbonyl because good step coverage can be obtained and impurities in the film can be reduced.

<第1の実施形態に係るCu配線の形成方法>
次に、本発明の第1の実施形態に係るCu配線の形成方法について図4のフローチャートおよび図5の工程断面図を参照して説明する。
<Method for Forming Cu Wiring According to First Embodiment>
Next, a Cu wiring forming method according to the first embodiment of the present invention will be described with reference to the flowchart of FIG. 4 and the process cross-sectional view of FIG.

本実施形態では、まず、下部構造201(詳細は省略)の上にSiO膜等の層間絶縁膜202を有し、そこに凹部としてトレンチ203が形成されたウエハWを準備する(ステップ1、図5(a))。このようなウエハWとしては、DegasプロセスやPre−Cleanプロセスによって、絶縁膜表面の水分やエッチング/アッシング時の残渣を除去したものであることが好ましい。本実施形態ではこのようなウエハWを図1の成膜システムに搬入し、デガス室5aまたは5bでデガス処理を行う。 In this embodiment, first, a wafer W having an interlayer insulating film 202 such as a SiO 2 film on a lower structure 201 (details omitted) and having a trench 203 formed as a recess there is prepared (Step 1, FIG. 5 (a)). Such a wafer W is preferably one obtained by removing moisture on the insulating film surface and residues during etching / ashing by a Degas process or a Pre-Clean process. In this embodiment, such a wafer W is carried into the film forming system shown in FIG. 1, and a degas process is performed in the degas chamber 5a or 5b.

次に、ウエハWをバリア膜成膜装置12aまたは12bに搬入し、トレンチ203表面を含む全面にCuを遮蔽(バリア)するバリア膜204を成膜する(ステップ2、図5(b))。   Next, the wafer W is carried into the barrier film forming apparatus 12a or 12b, and a barrier film 204 that shields (barriers) Cu is formed on the entire surface including the surface of the trench 203 (step 2, FIG. 5B).

バリア膜204としては、Cuに対して高いバリア性を有し、低抵抗を有するものが好ましく、Ti膜、TiN膜、Ta膜、TaN膜、Ta/TaNの2層膜を好適に用いることができる。また、TaCN膜、W膜、WN膜、WCN膜、Zr膜、ZrN膜、V膜、VN膜、Nb膜、NbN膜等を用いることができる。Cu配線はトレンチまたはホール内に埋め込むCuの体積が大きくなるほど低抵抗になるので、バリア膜は非常に薄く形成することが好ましく、そのような観点からその厚さは1〜20nmが好ましい。より好ましくは1〜10nmである。バリア膜は、プラズマスパッタにより成膜することができる。また、通常のスパッタ、イオンプレーティング等の他のPVDで成膜することができ、CVDやALD、プラズマを用いたCVDやALDで成膜することもできる。   The barrier film 204 preferably has a high barrier property against Cu and low resistance, and a Ti film, a TiN film, a Ta film, a TaN film, and a Ta / TaN two-layer film are preferably used. it can. Further, a TaCN film, a W film, a WN film, a WCN film, a Zr film, a ZrN film, a V film, a VN film, an Nb film, an NbN film, or the like can be used. Since the Cu wiring has a lower resistance as the volume of Cu embedded in the trench or hole increases, the barrier film is preferably formed very thin. From such a viewpoint, the thickness is preferably 1 to 20 nm. More preferably, it is 1-10 nm. The barrier film can be formed by plasma sputtering. Moreover, it can form into a film by other PVD, such as normal sputtering and ion plating, and it can also form into a film by CVD and ALD which used CVD, ALD, and plasma.

次いで、Ruライナー膜成膜装置14aまたは14bにより、バリア膜204の上にRuライナー膜205を成膜する(ステップ3、図5(c))。Ruライナー膜は、埋め込むCuの体積を大きくして配線を低抵抗にする観点から、例えば1〜5nmと薄く形成することが好ましい。Ruライナー膜は、ルテニウムカルボニル(Ru(CO)12)を成膜原料として用いて上述した図3に示すような成膜装置を用いて熱CVDにより好適に形成することができる。これにより、高純度で非常に薄いRu膜を高ステップカバレッジで成膜することができる。このときの成膜条件は、例えば処理容器内の圧力が1.3〜66.5Paの範囲であり、成膜温度(ウエハ温度)が150〜250℃の範囲である。Ruライナー膜205は、上述したように、ルテニウムカルボニル以外の他の成膜原料、例えば(シクロペンタジエニル)(2,4−ジメチルペンタジエニル)ルテニウム、ビス(シクロペンタジエニル)(2,4−メチルペンタジエニル)ルテニウム、(2,4−ジメチルペンタジエニル)(エチルシクロペンタジエニル)ルテニウム、ビス(2,4−メチルペンタジエニル)(エチルシクロペンタジエニル)ルテニウムのようなルテニウムのペンタジエニル化合物を用いたCVDやPVDで成膜することもできる。 Next, the Ru liner film 205 is formed on the barrier film 204 by the Ru liner film forming apparatus 14a or 14b (step 3, FIG. 5C). The Ru liner film is preferably formed as thin as 1 to 5 nm, for example, from the viewpoint of increasing the volume of Cu to be embedded and reducing the resistance of the wiring. The Ru liner film can be suitably formed by thermal CVD using the film forming apparatus as shown in FIG. 3 using ruthenium carbonyl (Ru 3 (CO) 12 ) as a film forming material. Thereby, it is possible to form a highly pure and very thin Ru film with high step coverage. The film formation conditions at this time are, for example, a pressure in the processing vessel in the range of 1.3 to 66.5 Pa, and a film formation temperature (wafer temperature) in the range of 150 to 250 ° C. As described above, the Ru liner film 205 is a film forming material other than ruthenium carbonyl, such as (cyclopentadienyl) (2,4-dimethylpentadienyl) ruthenium, bis (cyclopentadienyl) (2, Such as 4-methylpentadienyl) ruthenium, (2,4-dimethylpentadienyl) (ethylcyclopentadienyl) ruthenium, bis (2,4-methylpentadienyl) (ethylcyclopentadienyl) ruthenium The film can also be formed by CVD or PVD using a ruthenium pentadienyl compound.

次いで、図2に示すiPVDであるプラズマスパッタ装置からなるCu膜成膜装置22aまたは22bを用いて、ウエハWの載置台にバイアスを印加してCuがマイグレーションするようにCu配線となるCu膜206を成膜し、トレンチ203を埋め込む(ステップ4、図5(d))。   Next, using the Cu film deposition apparatus 22a or 22b made of a plasma sputtering apparatus that is an iPVD shown in FIG. 2, a bias is applied to the mounting table of the wafer W so that Cu migrates so that Cu migrates. Is deposited and the trench 203 is embedded (step 4, FIG. 5D).

プラズマスパッタ装置においては、バイアスを印加することによりプラズマによりターゲットから放出されたCuイオンがウエハWに引きこまれ、成膜レートを大きくすることができるが、バイアスパワーが大きくなりすぎるとプラズマ生成用のガスのイオン(例えばArイオン)によるウエハW表面のスパッタ作用(エッチング作用)によりせっかく堆積されたCu膜が削りとられてしまうため、バイアスを印加する場合には、通常、そのパワーをCu膜が削りとられない程度の大きさに制限している。また、従来、このようなPVDを用いた場合には、ステップカバレッジが悪いことが知られており、トレンチやホールの間口を塞ぐピンチオフが生じやすいことから、PVDのみでトレンチやホールを埋め込んでCu配線を形成することは行われていない。   In the plasma sputtering apparatus, by applying a bias, Cu ions emitted from the target by the plasma are attracted to the wafer W, and the film formation rate can be increased. Since the deposited Cu film is scraped off by the sputtering action (etching action) on the surface of the wafer W by ions of the gas (for example, Ar ions), the power is usually applied to the Cu film when a bias is applied. Is limited to a size that cannot be removed. Conventionally, when such PVD is used, it is known that the step coverage is poor, and pinch-off that closes the opening of the trench and hole is likely to occur. No wiring is formed.

これに対し、Cu膜の下地にRuライナー膜を設けることにより、RuはCuに対する濡れ性が高いため、ある程度の温度にウエハWを加熱しつつ、バイアスパワーを調整してCuイオンの成膜作用とプラズマ生成ガスのイオン(Arイオン)によるエッチング作用とを制御することにより、Ruライナー膜上でCuを凝集させずにマイグレーション(流動)させることができ、トレンチやホールの間口を塞ぐ(オーバーハング)ことなくその中にCuを埋めることができることが見出された。これにより、微細なトレンチまたはホールにもボイドを発生させずに確実にCuを埋め込むことができる。また、このようにPVDでCuを埋め込んだ場合には、不純物が少なく高純度となり、また、グレインサイズも大きくなるため、めっきでCuを埋め込んだ場合よりも、低抵抗のCu配線を形成することができる。   On the other hand, by providing a Ru liner film on the base of the Cu film, Ru has high wettability to Cu. Therefore, while the wafer W is heated to a certain temperature, the bias power is adjusted to form a film of Cu ions. By controlling the etching action of the plasma generated gas ions (Ar ions), Cu can be migrated (flowed) on the Ru liner film without agglomeration, and the trenches and holes are blocked (overhang). It has been found that Cu can be embedded therein without). Thereby, Cu can be surely embedded without generating voids even in fine trenches or holes. In addition, when Cu is embedded in PVD in this way, impurities are less pure and high purity, and the grain size is also increased. Therefore, Cu wiring having lower resistance than that in the case where Cu is embedded by plating should be formed. Can do.

このようにCu膜を成膜してトレンチまたはホール内にCuを埋め込んだ後のウエハWを、成膜システム1から搬出し、Cuめっき装置に搬送して、そこでウエハWの全面にCuめっき層207を形成する(ステップ5、図5(e))。その後、必要に応じてアニールしてCuのグレンサイズを大きくするとともに、Cuめっき層207を安定させ(ステップ6)、次いでCMP(Chemical Mechanical Polishing)によりウエハW表面を研磨して、Ruライナー膜205、バリア膜204を完全に除去する(ステップ7、図5(f))。これによりトレンチまたはホール内に残存したCu膜206がCu配線として機能する。   The wafer W after the Cu film is formed and Cu is buried in the trench or hole is unloaded from the film forming system 1 and transferred to the Cu plating apparatus, where the Cu plating layer is formed on the entire surface of the wafer W. 207 is formed (step 5, FIG. 5 (e)). Thereafter, annealing is performed as necessary to increase the grain size of Cu, the Cu plating layer 207 is stabilized (step 6), and then the surface of the wafer W is polished by CMP (Chemical Mechanical Polishing), and the Ru liner film 205 is polished. The barrier film 204 is completely removed (step 7, FIG. 5 (f)). As a result, the Cu film 206 remaining in the trench or hole functions as a Cu wiring.

<第1の実施形態に係るCu配線の形成方法におけるステップ4のCu膜成膜の説明>
次に、上記ステップ4のCu膜の成膜について具体的に説明する。
上述したように、ステップ4のCu膜の成膜においては、図2に示すようなバイアスによりウエハW表面にイオンを引きこみながらプラズマスパッタを行う装置を用いるが、その際のバイアスパワーとCu成膜量との関係は図6の模式図に示すようになる。すなわち、バイアスパワーが上昇することによりCuイオンの引き込み量が大きくなるため、バイアスパワーがある値までは成膜量が増加していくが、その後はプラズマ生成用ガスイオン(例えばArイオン)によるウエハW表面のスパッタ作用(エッチング作用)により成膜量が減少していく。本実施形態では、温度とプラズマ生成用ガスイオンによる作用を利用してCu膜をトレンチまたはビア(ホール)に埋めるため、バイアスパワーは、プラズマ生成用ガスイオンによる作用が存在し、かつ成膜が進行する範囲である図6のAより大きくBより小さい範囲が好ましい。すなわち、Cu成膜量(成膜レート)をT、プラズマ生成用のガスのイオンによるエッチング量(エッチングレート)をTとすると、AはT/T=0であり、BはT/T=1であるが、Ruライナー膜上をCuが移動(マイグレーション)するためには、プラズマ生成用ガスイオン(Arガスイオン)のエネルギーが存在することが好ましいからT/T>0であることが好ましい。ただし、温度が高い場合には、T/T=0でもCuを流動させることができる。一方、成膜が進行しフィールドのエッチングが起こらないためにはT/T<1であることが必要である。
<Description of Step 4 Cu Film Formation in the Cu Wiring Forming Method According to the First Embodiment>
Next, the formation of the Cu film in step 4 will be specifically described.
As described above, in forming the Cu film in step 4, an apparatus that performs plasma sputtering while attracting ions to the surface of the wafer W with a bias as shown in FIG. 2 is used. The relationship with the film amount is as shown in the schematic diagram of FIG. That is, since the amount of Cu ions drawn increases as the bias power increases, the amount of film formation increases until the bias power reaches a certain value, but thereafter, the wafer is generated by plasma generating gas ions (eg, Ar ions). The amount of film formation decreases due to the sputtering action (etching action) on the W surface. In this embodiment, since the Cu film is buried in the trench or via (hole) using the action of the temperature and the plasma generating gas ions, the bias power has the action of the plasma generating gas ions and the film is formed. A range that is larger than A and smaller than B in FIG. 6 is preferable. That, Cu deposition amount (film formation rate) and T D, the etching amount of ions of the gas for plasma generation (etching rate) and T E, A is a T E / T D = 0, B is T Although E 1 / T D = 1, in order for Cu to move (migrate) on the Ru liner film, it is preferable that the energy of plasma generating gas ions (Ar gas ions) is present, so that T E / T D It is preferred that> 0. However, when the temperature is high, Cu can be flowed even if T E / T D = 0. On the other hand, it is necessary that T E / T D <1 so that the film formation proceeds and no field etching occurs.

このような範囲における成膜のモデルを図7に模式的に説明する。図7の(a)に示すように、Cuイオンとプラズマ生成ガスであるArイオンの存在下でバイアスを印加しつつCu膜の成膜を開始すると、(b)に示すように、トレンチの間口に堆積したCuがArイオンによりエッチングされるとともに、温度とArイオンの作用によりCuがトレンチ内に移動されるため、(c)に示すように、トレンチの間口を塞ぐことなく、Cuがトレンチ内を埋めることができる。   A film formation model in such a range is schematically described in FIG. As shown in FIG. 7A, when the formation of the Cu film is started while applying a bias in the presence of Cu ions and Ar ions as the plasma generation gas, as shown in FIG. Cu is etched by Ar ions, and Cu is moved into the trench by the action of temperature and Ar ions. Therefore, as shown in FIG. Can be filled.

次に、ステップ4のCu膜成膜工程の好ましいプロセス条件について説明する。
本実施形態においては、Arイオンのようなプラズマ生成ガスイオンによりCuをマイグレーションさせる必要があることから、通常のプラズマスパッタの場合よりもウエハ温度を高くする必要があり、ウエハ温度は65〜350℃の範囲が好ましい。また、Cu膜成膜時における処理容器内の圧力(プロセス圧力)は、1〜100mTorr(0.133〜13.3Pa)が好ましく、35〜90mTorr(4.66〜12.0Pa)がより好ましい。また、Cuターゲットへの直流電力は4〜12kWであることが好ましく、6〜10kWがより好ましい。
Next, preferable process conditions for the Cu film forming step in Step 4 will be described.
In this embodiment, since it is necessary to migrate Cu by plasma generated gas ions such as Ar ions, it is necessary to make the wafer temperature higher than in the case of normal plasma sputtering, and the wafer temperature is 65 to 350 ° C. The range of is preferable. Moreover, the pressure (process pressure) in the processing container at the time of forming the Cu film is preferably 1 to 100 mTorr (0.133 to 13.3 Pa), and more preferably 35 to 90 mTorr (4.66 to 12.0 Pa). Moreover, the DC power to the Cu target is preferably 4 to 12 kW, and more preferably 6 to 10 kW.

また、バイアスパワーは上述したように0≦T/T<1、好ましくは0<T/T<1となるような範囲に設定されるが、プラズマ生成用ガスイオン(Arガスイオン)によるCuの移動性は温度に依存し、成膜温度Tが低いとCuの移動性が低くなる。このため、65≦T(℃)≦350の好ましい範囲において、高温側の200<T(℃)≦350では、0≦T/T<1の範囲でよいが、低温側の65≦T(℃)≦200では、0.02≦T/T<1とすることが好ましい。このときのバイアスパワー密度は200<T(℃)≦350では1.74W/cm(バイアスパワー:1200W)以下、65≦T(℃)≦200では0.15〜1.74W/cm(100〜1200W)が好ましい。より好ましい範囲は、65≦T(℃)≦350において、0.05≦T/T≦0.24であり、より好ましいバイアスパワー密度の範囲は0.38〜0.76W/cm(バイアスパワー:260〜520W)である。 Further, as described above, the bias power is set in the range of 0 ≦ T E / T D <1, preferably 0 <T E / T D <1, but the plasma generating gas ions (Ar gas ions) ) Depends on temperature, and if the film formation temperature T is low, the mobility of Cu becomes low. For this reason, in the preferable range of 65 ≦ T (° C.) ≦ 350, in the case of 200 <T (° C.) ≦ 350 on the high temperature side, the range of 0 ≦ T E / T D <1 may be satisfied, but 65 ≦ T on the low temperature side. When (° C.) ≦ 200, it is preferable to satisfy 0.02 ≦ T E / T D <1. The bias power density is 200 <T (℃) ≦ 350 In 1.74W / cm 2 at this time (bias power: 1200 W) or less, 65 ≦ T (℃) ≦ 200 In 0.15~1.74W / cm 2 ( 100 to 1200 W) is preferable. A more preferable range is 0.05 ≦ T E / T D ≦ 0.24 at 65 ≦ T (° C.) ≦ 350, and a more preferable range of bias power density is 0.38 to 0.76 W / cm 2 ( Bias power: 260 to 520 W).

また、ステップ4のCu膜成膜の際の成膜速度は、20〜150nm/minが好ましい。具体例としては30nm/minを挙げることができる。   Further, the deposition rate during the Cu film deposition in step 4 is preferably 20 to 150 nm / min. A specific example is 30 nm / min.

図8は、実際に図2の装置でCu膜を形成した際において、横軸に直流電源84からCuターゲット83に供給する直流電力をとり、縦軸に高周波電源74からのバイアスパワーをとって、上述のT/Tの値を等高線で示すものであり、(a)がプロセス圧力90mTの場合、(b)がプロセス圧力35mTの場合である。なお、誘導コイル80に高周波電源81から供給する高周波電力は4kW、CuターゲットとウエハWとの距離は240mmとした。 In FIG. 8, when the Cu film is actually formed by the apparatus of FIG. 2, the horizontal axis represents the DC power supplied from the DC power source 84 to the Cu target 83, and the vertical axis represents the bias power from the high frequency power source 74. The above-mentioned values of T E / T D are indicated by contour lines, where (a) is a process pressure of 90 mT and (b) is a process pressure of 35 mT. The high frequency power supplied from the high frequency power supply 81 to the induction coil 80 was 4 kW, and the distance between the Cu target and the wafer W was 240 mm.

また、図9は、実際に図2の装置でCu膜を形成した際において、横軸にバイアスパワーをとり、縦軸にT/Tをとって、Cuターゲット83への直流電力ごとにこれらの関係を示すグラフであり、(a)がプロセス圧力90mTの場合、(b)がプロセス圧力35mTの場合である。いずれの圧力およびCuターゲット83への直流電力においても、バイアスパワーが130W(バイアスパワー密度:0.19W/cm)ではT/Tが0であり、バイアスパワーを上昇させていくとT/Tが上昇している。 Further, FIG. 9 shows that when the Cu film is actually formed by the apparatus of FIG. 2, the horizontal axis represents the bias power and the vertical axis represents T E / T D for each DC power to the Cu target 83. It is a graph which shows these relations, (a) is a case where process pressure is 90 mT, (b) is a case where process pressure is 35 mT. At any pressure and direct current power to the Cu target 83, T E / T D is 0 when the bias power is 130 W (bias power density: 0.19 W / cm 2 ), and as the bias power is increased, T E / T D is rising.

次に、幅30nm、深さ200nmのトレンチが形成された層間絶縁膜にTiバリア膜およびRuライナー膜を形成した後、プロセス圧力:90mT、Cuターゲットに供給する直流電力:8kW、誘導コイルに供給する高周波電力:4kW、ターゲットとウエハWとの距離:240mmとし、バイアスパワーをT/T=0となる130W(バイアスパワー密度:0.19W/cm)として、成膜温度を200℃、225℃、250℃、300℃としてCu膜を成膜時間55secで成膜した。その際の走査型電子顕微鏡(SEM)写真を図10に示す。図10に示すように、成膜温度が225℃以上でT/T=0においてCuの埋め込みが可能であることが確認された。 Next, after forming a Ti barrier film and a Ru liner film on an interlayer insulating film in which a trench having a width of 30 nm and a depth of 200 nm is formed, process pressure: 90 mT, DC power supplied to a Cu target: 8 kW, supplied to an induction coil High-frequency power to be applied: 4 kW, distance between target and wafer W: 240 mm, bias power is 130 W (bias power density: 0.19 W / cm 2 ) at which T E / T D = 0, and film formation temperature is 200 ° C. Cu films were formed at 225 ° C., 250 ° C., and 300 ° C. with a film formation time of 55 sec. A scanning electron microscope (SEM) photograph at that time is shown in FIG. As shown in FIG. 10, it was confirmed that Cu can be embedded at a film formation temperature of 225 ° C. or higher and T E / T D = 0.

次に、幅50nm、深さ200nmのトレンチが形成された層間絶縁膜にTiバリア膜およびRuライナー膜を形成した後、プロセス圧力:90mT、Cuターゲットに供給する直流電力:8kW、誘導コイルに供給する高周波電力:4kW、ターゲットとウエハWとの距離:240mmとし、バイアスパワーをT/T=0となる130W(バイアスパワー密度:0.19W/cm)、およびT/T=0.02となる195W(0.28W/cm)として、成膜温度65℃でCu膜を成膜時間55secで成膜した。その際の走査型電子顕微鏡(SEM)写真を図11に示す。図11に示すように、成膜温度65℃のときはCuマイグレーションに対する温度の効果が少ないため、T/T=0となる130W(0.19W/cm)ではトレンチにCuが埋め込まれないのに対し、T/T=0.02となる195W(0.28W/cm)では埋め込みされることが確認された。 Next, after forming a Ti barrier film and a Ru liner film on an interlayer insulating film in which a trench having a width of 50 nm and a depth of 200 nm is formed, process pressure: 90 mT, DC power supplied to a Cu target: 8 kW, supplied to an induction coil RF power to be applied: 4 kW, distance between target and wafer W: 240 mm, bias power is 130 W (bias power density: 0.19 W / cm 2 ), where T E / T D = 0, and T E / T D = A Cu film was formed at a film formation temperature of 65 ° C. and a film formation time of 55 seconds at 195 W (0.28 W / cm 2 ), which is 0.02. A scanning electron microscope (SEM) photograph at that time is shown in FIG. As shown in FIG. 11, when the film formation temperature is 65 ° C., the effect of temperature on Cu migration is small. Therefore, at 130 W (0.19 W / cm 2 ) where T E / T D = 0, Cu is embedded in the trench. On the other hand, it was confirmed that it was embedded at 195 W (0.28 W / cm 2 ) where T E / T D = 0.02.

次に、幅20〜30nm、深さ200nmのトレンチが形成された層間絶縁膜にTiバリア膜およびRuライナー膜を形成した後、プロセス圧力:90mT、Cuターゲットに供給する直流電力:6kW、誘導コイルに供給する高周波電力:4kW、ターゲットとウエハWとの距離:240mmとし、バイアスパワーを130W(バイアスパワー密度:0.19W/cm)(T/T=0)、260W(0.38W/cm)(T/T=0.05)、390W(0.57W/cm)(T/T=0.14)、520W(0.76W/cm)(T/T=0.24)と変化させて、成膜温度250℃でCu膜を成膜時間55secで成膜した。その際の走査型電子顕微鏡(SEM)写真を図12に示す。図12に示すように0≦T/T≦0.24の範囲でCuの埋め込みが確認されたが、0.05≦T/T≦0.24でより好ましい埋め込み性が得られることが確認された。 Next, after forming a Ti barrier film and a Ru liner film on an interlayer insulating film in which a trench having a width of 20 to 30 nm and a depth of 200 nm is formed, process pressure: 90 mT, DC power supplied to a Cu target: 6 kW, induction coil The high frequency power supplied to the substrate is 4 kW, the distance between the target and the wafer W is 240 mm, the bias power is 130 W (bias power density: 0.19 W / cm 2 ) (T E / T D = 0), 260 W (0.38 W). / Cm 2 ) (T E / T D = 0.05), 390 W (0.57 W / cm 2 ) (T E / T D = 0.14), 520 W (0.76 W / cm 2 ) (T E / T D = 0.24), and a Cu film was formed at a film formation temperature of 250 ° C. and a film formation time of 55 seconds. A scanning electron microscope (SEM) photograph at that time is shown in FIG. As shown in FIG. 12, Cu embedding was confirmed in the range of 0 ≦ T E / T D ≦ 0.24, but more preferable embedding property is obtained when 0.05 ≦ T E / T D ≦ 0.24. It was confirmed.

次に、幅18nm、深さ200nmのトレンチが形成された層間絶縁膜にTiバリア膜を形成し、次いでRuライナー膜を形成し、その後、プロセス圧力:90mT、Cuターゲットに供給する直流電力:6kW、誘導コイルに供給する高周波電力:4kW、ターゲットとウエハWとの距離:240mmという本実施形態の条件でCuを埋め込んだ。その際の、Tiバリア膜のみを形成した状態、Ruライナー膜を形成した状態、Cu膜を5nm、10nm、20nm、30nm成膜した状態の走査型電子顕微鏡(SEM)写真を図13に示す。図13に示すように、本実施形態において、ボイドを発生させずに微細なトレンチ内にCuを埋め込めることが確認された。   Next, a Ti barrier film is formed on an interlayer insulating film in which a trench having a width of 18 nm and a depth of 200 nm is formed, and then a Ru liner film is formed. Thereafter, process pressure: 90 mT, DC power supplied to a Cu target: 6 kW Cu was embedded under the conditions of the present embodiment that the high frequency power supplied to the induction coil was 4 kW, and the distance between the target and the wafer W was 240 mm. FIG. 13 shows a scanning electron microscope (SEM) photograph of the state in which only the Ti barrier film is formed, the state in which the Ru liner film is formed, and the state in which the Cu film is formed to 5 nm, 10 nm, 20 nm, and 30 nm. As shown in FIG. 13, in this embodiment, it was confirmed that Cu could be embedded in a fine trench without generating a void.

次に、本発明によって形成したCu配線と、バリア膜上にPVDによりCuシード層を形成した後、CuめっきでCuを埋め込んで形成した従来のCu配線の電気特性を比較した。図14はこれらについて60nm配線における電気特性を評価した結果を示す図である。この図に示すように、本発明により形成したCu配線は、従来よりも配線抵抗が低くなることが確認された。   Next, the electrical characteristics of the Cu wiring formed according to the present invention and the conventional Cu wiring formed by embedding Cu by Cu plating after forming a Cu seed layer on the barrier film by PVD were compared. FIG. 14 is a diagram showing the results of evaluating the electrical characteristics of these at 60 nm wiring. As shown in this figure, it was confirmed that the Cu wiring formed according to the present invention has lower wiring resistance than the conventional one.

<第2の実施形態に係るCu配線の形成方法>
次に、本発明の第2の実施形態に係るCu配線の形成方法について図15のフローチャートおよび図16の工程断面図を参照して説明する。本実施形態では、トレンチとトレンチの底に形成されたビア(ホール)とを有する凹部が形成されたデュアルダマシン構造を含むウエハに対するCuの埋め込みについて説明する。
<Method for Forming Cu Wiring According to Second Embodiment>
Next, a Cu wiring forming method according to the second embodiment of the present invention will be described with reference to the flowchart of FIG. 15 and the process cross-sectional view of FIG. In this embodiment, a description will be given of Cu filling into a wafer including a dual damascene structure in which a recess having a trench and a via (hole) formed at the bottom of the trench is formed.

本実施形態では、まず、下部配線301の上の層間絶縁膜302にトレンチ303とトレンチ303の底に形成された下部配線301への接続配線が形成されるビア304とを有する凹部が形成されたデュアルダマシン構造を含むウエハを準備する(ステップ11、図16(a),(b))。なお、図16(a)はトレンチ303およびビア304の平面図であり、図16(b)は、図16(a)のX−X′線およびY−Y′線による断面図である。以降の工程は、図16(b)と同様のX−X′線およびY−Y′線による断面図16(c)〜(f)に基づいて説明する。   In the present embodiment, first, a recess having a trench 303 and a via 304 in which a connection wiring to the lower wiring 301 formed at the bottom of the trench 303 is formed in the interlayer insulating film 302 on the lower wiring 301 is formed. A wafer including a dual damascene structure is prepared (step 11, FIGS. 16A and 16B). 16A is a plan view of the trench 303 and the via 304, and FIG. 16B is a cross-sectional view taken along the line XX ′ and the line YY ′ of FIG. The subsequent steps will be described with reference to cross-sectional views 16 (c) to 16 (f) taken along the line XX 'and the line YY' similar to FIG. 16 (b).

第1の実施形態と同様、ウエハWをデガス室5aまたは5bでデガス処理を行った後、第1の実施形態と同様にCuを遮蔽(バリア)するバリア膜305を成膜し(ステップ12、図16(c))、さらにバリア膜305の上にRuライナー膜306を成膜する(ステップ13、図165(d))。   As in the first embodiment, after the wafer W is degassed in the degas chamber 5a or 5b, a barrier film 305 that shields (barriers) Cu is formed as in the first embodiment (Step 12, Further, a Ru liner film 306 is formed on the barrier film 305 (step 13, FIG. 165 (d)).

次いで、図2に示すiPVDであるプラズマスパッタ装置からなるCu膜成膜装置22aまたは22bを用いて、ウエハWの載置台にバイアスを印加してCuがマイグレーションするようにCu配線となるCu膜を成膜し、ビア304およびトレンチ303を埋め込むが、本実施形態では、ビア304の埋め込みが完了するまでの第1段階では、相対的に低速でCu膜307を成膜し(ステップ14、図16(e))、ビア304の埋め込みが完了後、トレンチの埋め込みが完了するまでの第2段階では、相対的に高速でCu膜を成膜する(ステップ15、図16(f))。すなわち、ビアが埋め込まれた後の第2段階よりもビアの埋め込みが行われている第1段階の成膜速度(堆積速度)のほうが小さくなるようにする。   Next, using a Cu film deposition apparatus 22a or 22b made of a plasma sputtering apparatus that is an iPVD shown in FIG. 2, a bias is applied to the mounting table of the wafer W to form a Cu film that becomes a Cu wiring so that Cu migrates. In the present embodiment, the Cu film 307 is formed at a relatively low speed in the first stage until the filling of the via 304 is completed (step 14 and FIG. 16). (E)) In the second stage from the completion of the filling of the via 304 to the completion of the filling of the trench, a Cu film is formed at a relatively high speed (step 15, FIG. 16 (f)). That is, the film formation rate (deposition rate) in the first stage in which the vias are embedded is smaller than the second stage after the vias are embedded.

このように2段階に成膜する理由は以下の通りである。
トレンチの底にビアが存在しない場合には、i−PVDによりCuが上記第1の実施形態の条件でCuがマイグレーションするようにCuを埋め込めばよく、フィールド部からトレンチ303の底部にCuが常に流れ込んで、トレンチ303の底部へのCuの堆積速度が大きい条件に設定することができる。しかし、本実施形態のようにトレンチ303の底にビア304が形成されたデュアルダマシン構造の場合には堆積速度が大きいと、図17(a)に示すように成膜初期には問題がないものの、成膜が進行すると図17(b)に示すように、トレンチ303の底部に堆積されたCu膜307の流動性が悪くなって、トレンチ303の底部でオーバーハング308が形成されるおそれがある。このようにオーバーハング308が形成されると、やがて図17(c)のようにピンチオフを起こしてボイド309が形成されてしまう。
The reason for forming the film in two stages as described above is as follows.
When there is no via at the bottom of the trench, it is sufficient to bury Cu so that Cu migrates under the conditions of the first embodiment by i-PVD, and Cu is always from the field portion to the bottom of the trench 303. It is possible to set a condition where the deposition rate of Cu on the bottom of the trench 303 is high. However, in the case of the dual damascene structure in which the via 304 is formed at the bottom of the trench 303 as in the present embodiment, there is no problem at the initial stage of film formation as shown in FIG. As the film formation proceeds, as shown in FIG. 17B, the fluidity of the Cu film 307 deposited on the bottom of the trench 303 deteriorates, and an overhang 308 may be formed at the bottom of the trench 303. . When the overhang 308 is formed in this way, a pinch-off is caused and a void 309 is formed as shown in FIG.

そこで、本実施形態では、Cu成膜の第1段階として、ビア304の埋め込みが完了するまでは、トレンチ303の底部でのCuの流動性が良好になるように相対的に低速でCu膜307を成膜する。これにより、図18(a),(b)に示すように、トレンチ303の底部でオーバーハングが生じ難くなり、ボイドの形成が防止される。つまり、第1段階ではトレンチ303の底部でオーバーハングが生じない程度のCuの流動性が確保されるような成膜速度でCuを成膜する。一方、ビア304の埋め込みが完了した後は、第2段階として、相対的に高速な成膜速度でトレンチ303の残りの部分の埋め込みを行う。すなわち、ビア304の埋め込みが完了した後は、トレンチ303の底部でのオーバーハングは生じないから第1の実施形態のトレンチ埋め込みの際と同様、堆積速度が大きい条件に設定しても、図18(c)に示すように、ボイドが形成されることはない。   Therefore, in the present embodiment, as the first stage of Cu film formation, the Cu film 307 is relatively slow until the filling of the via 304 is completed so that the fluidity of Cu at the bottom of the trench 303 becomes good. Is deposited. As a result, as shown in FIGS. 18A and 18B, overhang hardly occurs at the bottom of the trench 303, and formation of voids is prevented. That is, in the first stage, Cu is deposited at a deposition rate that ensures Cu fluidity that does not cause an overhang at the bottom of the trench 303. On the other hand, after the via 304 is completely buried, as a second stage, the remaining portion of the trench 303 is buried at a relatively high deposition rate. That is, after the via 304 is completely buried, no overhang is generated at the bottom of the trench 303. Therefore, even when the deposition rate is set to be high as in the trench embedding according to the first embodiment, FIG. As shown in (c), no void is formed.

Cu膜の成膜速度は、直流電源84によりCuターゲット83に印加するパワーを変化させることにより制御することができる。つまり、Cuターゲット83に印加するパワーが大きいほどCuのスパッタ量が増加し、Cuの堆積速度(つまり成膜速度)が大きくなる。図19は、図2の成膜装置において、処理容器内の圧力:90mTorr(12.0Pa)、ターゲットとウエハWとの距離:240mm、バイアスパワー:0Wとしたときの、直流電源84のパワーとCu堆積速度との関係を示すものであるが、直流電源84のパワーにほぼ比例してCu堆積速度が上昇していることがわかる。   The deposition rate of the Cu film can be controlled by changing the power applied to the Cu target 83 by the DC power source 84. That is, as the power applied to the Cu target 83 increases, the amount of Cu sputtering increases and the Cu deposition rate (that is, the film formation rate) increases. FIG. 19 shows the power of the DC power supply 84 when the pressure in the processing container is 90 mTorr (12.0 Pa), the distance between the target and the wafer W is 240 mm, and the bias power is 0 W in the film forming apparatus of FIG. Although the relationship with the Cu deposition rate is shown, it can be seen that the Cu deposition rate increases in proportion to the power of the DC power supply 84.

上記Cu膜成膜の際に、第1段階のビア埋め込み完了までのCu堆積速度(Cu膜成膜速度)は5〜20nm/min、例えば12nm/minが好ましい。また、第2段階のビア埋め込み完了してからトレンチを埋め込むまでの速度(Cu膜成膜速度)は20〜150nm/min、例えば30nm/minが好ましい。   When forming the Cu film, the Cu deposition rate (Cu film formation rate) until the first stage via filling is completed is preferably 5 to 20 nm / min, for example, 12 nm / min. The speed (Cu film formation speed) from the completion of the second stage via filling to the trench filling (Cu film forming speed) is preferably 20 to 150 nm / min, for example, 30 nm / min.

このように、ステップ15の第2段階のCu成膜を行った後、第1の実施形態と同様にしてCuメッキ層の形成(ステップ16)、アニール(ステップ17)、CMPによる全面研磨(ステップ18)を行い、Cu配線を形成する。   In this way, after the second stage Cu film formation in Step 15, the Cu plating layer is formed (Step 16), annealed (Step 17), and the entire surface is polished by CMP (Step 17) in the same manner as in the first embodiment. 18) to form a Cu wiring.

なお、このような第2の実施形態に係る方法は、トレンチ303の幅が10〜100nm、トレンチのアスペクト比が2〜6、ビアのアスペクト比が1.5〜4の範囲の凹部を有するデュアルダマシン構造に対して有効である。具体例としては、トレンチ幅が19nmでトレンチのアスペクト比が3、ビアのアスペクト比が2の凹部、およびトレンチ幅が30nmでトレンチのアスペクト比が3、ビアのアスペクト比が2の凹部を挙げることができる。   Note that the method according to the second embodiment has a dual recess having a trench 303 having a width of 10 to 100 nm, a trench aspect ratio of 2 to 6, and a via aspect ratio of 1.5 to 4. Effective for damascene structure. Specific examples include a recess having a trench width of 19 nm and a trench aspect ratio of 3 and a via aspect ratio of 2, and a recess having a trench width of 30 nm and a trench aspect ratio of 3 and a via aspect ratio of 2. Can do.

次に、幅30nmでトレンチのアスペクト比が3、ビアのアスペクト比が2の凹部を有するデュアルダマシン構造を含むウエハにTiバリア膜およびRuライナー膜を形成した後、プロセス圧力:90mT、Cuターゲットに供給する直流電力:4kW、誘導コイルに供給する高周波電力:4kW、バイアスパワー:200W、ターゲットとウエハWとの距離:240mm、温度:300℃の条件で、第1段階のCu膜の成膜を行い、ビアの埋め込みが完了した後、プロセス圧力:90mT、Cuターゲットに供給する直流電力:6kW、誘導コイルに供給する高周波電力:4kW、バイアスパワー:390W、ターゲットとウエハWとの距離:240mm、温度:300℃の条件で、第2段階のCu膜の成膜をトレンチの埋め込みが完了するまで行った。第1段階から第2段階への条件の切り替えは、予め把握したビア埋め込み完了までの時間経過後に行った。なお、このときの第1段階の成膜速度は12nm/min、第2段階の成膜速度は30nm/minであった。   Next, after forming a Ti barrier film and a Ru liner film on a wafer including a dual damascene structure having a recess having a width of 30 nm, a trench aspect ratio of 3 and a via aspect ratio of 2, a process pressure of 90 mT, Cu target The first stage Cu film is formed under the conditions of DC power to be supplied: 4 kW, high frequency power to be supplied to the induction coil: 4 kW, bias power: 200 W, distance between target and wafer W: 240 mm, temperature: 300 ° C. After the via filling is completed, the process pressure is 90 mT, the DC power supplied to the Cu target is 6 kW, the high frequency power supplied to the induction coil is 4 kW, the bias power is 390 W, the distance between the target and the wafer W is 240 mm, At the temperature of 300 ° C., the second stage Cu film formation completes the trench filling. I went to. The switching of the condition from the first stage to the second stage was performed after the elapse of time until the via embedding was completed. At this time, the film formation rate in the first stage was 12 nm / min, and the film formation speed in the second stage was 30 nm / min.

このようにした2段階の成膜を行った後、断面観察を行った結果、ビアの埋め込み部分にボイドが形成されることなくデュアルダマシン構造の埋め込みが達成されていた。   As a result of performing cross-sectional observation after performing the two-stage film formation as described above, the dual damascene structure was embedded without forming a void in the via embedded portion.

<他の適用>
以上、本発明の実施形態について説明したが、本発明は上記実施形態に限定されることなく種々変形可能である。例えば、上記実施形態では、Cuの埋め込みにICP型プラズマスパッタ装置を用いた例について説明したが、これに限らず他のタイプのプラズマスパッタ装置でもよく、また、Cuイオンとプラズマガス生成イオンの引き込みを調整することができれば他のタイプのPVD装置でもよい。
<Other applications>
As mentioned above, although embodiment of this invention was described, this invention can be variously deformed, without being limited to the said embodiment. For example, in the above embodiment, an example in which an ICP type plasma sputtering apparatus is used for embedding Cu has been described. However, the present invention is not limited to this, and other types of plasma sputtering apparatuses may be used. Other types of PVD devices may be used as long as they can be adjusted.

また、成膜システムとしては、図1のようなタイプに限らず、一つの搬送装置に全ての成膜装置が接続されているタイプであってもよい。また、図1のようなマルチチャンバタイプのシステムではなく、別個に設けられた装置によりバリア膜、Ruライナー膜、Cu膜を成膜するようにしてもよい。   Further, the film forming system is not limited to the type as shown in FIG. 1, but may be a type in which all film forming apparatuses are connected to one transfer apparatus. Further, instead of the multi-chamber type system as shown in FIG. 1, the barrier film, the Ru liner film, and the Cu film may be formed by an apparatus provided separately.

さらに、上記実施形態では、トレンチを有するウエハおよびトレンチおよびその底部に形成されたビアを有するデュアルダマシン構造を含むウエハに本発明の方法を適用した例を示したが、これらに限らずホールのみを有する場合等、他の構造の凹部でも本発明を適用できることはいうまでもない。また、上記実施形態では、被処理基板として半導体ウエハを例にとって説明したが、半導体ウエハにはシリコンのみならず、GaAs、SiC、GaNなどの化合物半導体も含まれ、さらに、半導体ウエハに限定されず、液晶表示装置等のFPD(フラットパネルディスプレイ)に用いるガラス基板や、セラミック基板等にも本発明を適用することができることはもちろんである。 Furthermore, in the above embodiment, an example in which the method of the present invention is applied to a wafer having a trench and a wafer including a dual damascene structure having a trench and a via formed at the bottom of the wafer has been shown. Needless to say, the present invention can be applied to concave portions having other structures, such as the case of having the concave portions. In the above embodiment, the semiconductor wafer is described as an example of the substrate to be processed. However, the semiconductor wafer includes not only silicon but also compound semiconductors such as GaAs, SiC, and GaN, and is not limited to the semiconductor wafer. Of course, the present invention can also be applied to glass substrates, ceramic substrates, and the like used in FPDs (flat panel displays) such as liquid crystal display devices.

1;成膜システム
12a,12b;バリア膜成膜装置
14a,14b;Ruライナー膜成膜装置
22a,22b;Cu膜成膜装置
51;処理容器
56;真空ポンプ
59;ガス供給源
63;載置台
65;冷却ジャケット
74;バイアス用高周波電源
78;プラズマ発生源
80;コイル
83;Cuターゲット
84;直流電源
85;磁石
87;抵抗ヒーター
201;下部構造
202;層間絶縁膜
203;トレンチ
204;バリア膜
205;Ruライナー膜
206;Cu膜
301;下部配線
302;層間絶縁膜
303;トレンチ
304;ビア
305;バリア膜
306;Ruライナー膜
307;Cu膜
W;半導体ウエハ(被処理基板)
DESCRIPTION OF SYMBOLS 1; Film-forming system 12a, 12b; Barrier film-forming apparatus 14a, 14b; Ru liner film-forming apparatus 22a, 22b; Cu film-forming apparatus 51; Processing container 56; Vacuum pump 59; Gas supply source 63; 65; Cooling jacket 74; Bias high frequency power supply 78; Plasma generation source 80; Coil 83; Cu target 84; DC power supply 85; Magnet 87; Resistive heater 201; Substructure 202; Interlayer insulating film 203; Trench 204; Ru liner film 206; Cu film 301; lower wiring 302; interlayer insulating film 303; trench 304; via 305; barrier film 306; Ru liner film 307; Cu film W;

Claims (28)

基板に形成された凹部内にCuを埋め込んでCu配線を形成するCu配線の形成方法であって、
少なくとも前記凹部の表面にバリア膜を形成する工程と、
前記バリア膜の上にRu膜を形成する工程と、
前記Ru膜の上に、加熱しつつ、PVDによりCuがマイグレーションするようにCu膜を形成して前記凹部内にCuを埋め込む工程と
を有することを特徴とするCu配線の形成方法。
A Cu wiring forming method of forming Cu wiring by embedding Cu in a recess formed in a substrate,
Forming a barrier film on at least the surface of the recess;
Forming a Ru film on the barrier film;
Forming a Cu film so that Cu migrates by PVD while heating on the Ru film, and embedding Cu in the recess.
前記凹部はトレンチまたはホールであることを特徴とする請求項1に記載のCu配線の形成方法。   The method for forming a Cu wiring according to claim 1, wherein the recess is a trench or a hole. 基板に形成された凹部内にCuを埋め込んでCu配線を形成するCu配線の形成方法であって、
少なくとも前記凹部の表面にバリア膜を形成する工程と、
前記バリア膜の上にRu膜を形成する工程と、
前記Ru膜の上に、加熱しつつ、PVDによりCuがマイグレーションするようにCu膜を形成して前記凹部内にCuを埋め込む工程と
を有し、
前記凹部は、トレンチと該トレンチの底部に形成されたホールとを有し、
前記Cu膜を形成して前記凹部内にCuを埋め込む工程は、前記ホールへのCuの埋め込みが完了するまでの第1段階と、前記ホールの埋め込みが完了後、前記トレンチの埋め込みが完了するまでの第2段階とを有し、
前記第1段階の成膜速度は前記第2段階の成膜速度よりも小さいことを特徴とするCu配線の形成方法。
A Cu wiring forming method of forming Cu wiring by embedding Cu in a recess formed in a substrate,
Forming a barrier film on at least the surface of the recess;
Forming a Ru film on the barrier film;
A process of forming a Cu film on the Ru film so that Cu migrates by PVD while heating, and embedding Cu in the recess;
The recess has a trench and a hole formed in the bottom of the trench,
The step of forming the Cu film and embedding Cu in the recess includes a first stage until Cu is completely embedded in the hole, and after the hole is completely embedded, until the trench is completely embedded. And a second stage of
A method for forming a Cu wiring, wherein the film formation rate in the first stage is lower than the film formation speed in the second stage.
前記第1段階の成膜速度は、トレンチの底部でオーバーハングが生じない程度のCuの流動性が確保される成膜速度であることを特徴とする請求項3に記載のCu配線の形成方法。   4. The method of forming a Cu wiring according to claim 3, wherein the film forming speed in the first stage is a film forming speed that ensures Cu fluidity to such an extent that no overhang occurs at the bottom of the trench. . 前記第1段階の成膜速度は5〜20nm/minであり、前記第2段階の成膜速度は20〜150nm/minであることを特徴とする請求項3または請求項4に記載のCu配線の形成方法。   5. The Cu wiring according to claim 3, wherein the film formation rate in the first stage is 5 to 20 nm / min, and the film formation speed in the second stage is 20 to 150 nm / min. Forming method. 前記Cuを埋め込むためのCu膜は、基板が収容された処理容器内にプラズマ生成ガスによりプラズマを生成し、CuターゲットからCuを放出させて、Cuを前記プラズマ中でイオン化させ、前記基板にバイアス電力を印加してCuイオンを基板上に引きこむことにより形成されることを特徴とする請求項1から請求項5のいずれか1項に記載のCu配線の形成方法。   The Cu film for embedding Cu generates a plasma by a plasma generation gas in a processing container in which a substrate is accommodated, releases Cu from a Cu target, ionizes Cu in the plasma, and biases the substrate. 6. The method for forming a Cu wiring according to claim 1, wherein the Cu wiring is formed by applying electric power and drawing Cu ions onto the substrate. 前記Cu膜を形成して前記凹部内にCuを埋め込む工程は、基板温度を65℃以上350℃以下にして行われることを特徴とする請求項6に記載のCu配線の形成方法。   The method for forming a Cu wiring according to claim 6, wherein the step of forming the Cu film and embedding Cu in the recess is performed at a substrate temperature of 65 ° C. or higher and 350 ° C. or lower. 前記Cu膜を形成して前記凹部内にCuを埋め込む工程は、基板温度を200℃超350℃以下にし、かつ前記Cuイオンによる基板へのCu成膜量Tと前記プラズマ生成ガスのイオンによるCu膜のエッチング量Tが0≦T/T<1の関係を満たすように前記バイアス電力の大きさを調整して行われることを特徴とする請求項6に記載のCu配線の形成方法。 The step of forming the Cu film and embedding Cu in the concave portion is performed by setting the substrate temperature to be higher than 200 ° C. and not higher than 350 ° C., and depending on the Cu film formation amount T D on the substrate by the Cu ions and the ions of the plasma generation gas. formation of Cu interconnect of claim 6, wherein the etching amount T E of the Cu film is performed by adjusting the 0 ≦ T E / T D <magnitude of the bias power so as to satisfy one of the relations Method. 前記Cu膜を形成して前記凹部内にCuを埋め込む工程は、基板温度を65℃以上200℃以下にし、かつ前記Cuイオンによる基板へのCu成膜量Tと前記プラズマ生成ガスのイオンによるCu膜のエッチング量Tが0.02≦T/T<1の関係を満たすように前記バイアス電力の大きさを調整して行われることを特徴とする請求項6に記載のCu配線の形成方法。 Burying the Cu in the Cu film formed by the recess, the substrate temperature was below 200 ° C. 65 ° C. or higher, and the Cu deposition amount T D and the plasma generation gas to the substrate by the Cu ions by ion The Cu wiring according to claim 6, wherein the bias power is adjusted so that the etching amount T E of the Cu film satisfies a relationship of 0.02 ≦ T E / T D <1. Forming method. 前記バイアス電力の大きさは、0.05≦T/T≦0.24を満たすように調整されることを特徴とする請求項8または請求項9に記載のCu配線の形成方法。 10. The method of forming a Cu wiring according to claim 8, wherein the magnitude of the bias power is adjusted to satisfy 0.05 ≦ T E / T D ≦ 0.24. 前記バリア膜は、Ti膜、TiN膜、Ta膜、TaN膜、Ta/TaNの2層膜、TaCN膜、W膜、WN膜、WCN膜、Zr膜、ZrN膜、V膜、VN膜、Nb膜、NbN膜からなる群から選択されるものであることを特徴とする請求項1から請求項10のいずれか1項に記載のCu配線の形成方法。   The barrier film is a Ti film, TiN film, Ta film, TaN film, Ta / TaN two-layer film, TaCN film, W film, WN film, WCN film, Zr film, ZrN film, V film, VN film, Nb The method for forming a Cu wiring according to any one of claims 1 to 10, wherein the Cu wiring is selected from the group consisting of a film and an NbN film. 前記バリア膜は、PVDにより形成されることを特徴とする請求項1から請求項11のいずれか1項に記載のCu配線の形成方法。   The method for forming a Cu wiring according to any one of claims 1 to 11, wherein the barrier film is formed by PVD. 前記Ru膜は、CVDにより形成されることを特徴とする請求項1から請求項12のいずれか1項に記載のCu配線の形成方法。   The method for forming a Cu wiring according to claim 1, wherein the Ru film is formed by CVD. 前記Ru膜は、成膜原料としてルテニウムカルボニルを用いたCVDにより形成されることを特徴とする請求項13に記載のCu配線の形成方法。   14. The method for forming a Cu wiring according to claim 13, wherein the Ru film is formed by CVD using ruthenium carbonyl as a film forming material. 基板に形成された凹部を有する所定の層にバリア膜およびRu膜を介して前記凹部内にCuを埋め込むためのCu膜を成膜するCu膜の成膜方法であって、
前記Ru膜の上に加熱しつつ、PVDによりCuがマイグレーションするようにCu膜を成膜して、前記凹部内にCuを埋め込むことを特徴とするCu膜の成膜方法。
A Cu film forming method for forming a Cu film for embedding Cu in a recess through a barrier film and a Ru film in a predetermined layer having a recess formed in a substrate,
A Cu film forming method, wherein a Cu film is formed so that Cu migrates by PVD while being heated on the Ru film, and Cu is embedded in the recess.
前記凹部はトレンチまたはホールであることを特徴とする請求項15に記載のCu膜の成膜方法。   The Cu film forming method according to claim 15, wherein the recess is a trench or a hole. 基板に形成された凹部を有する所定の層にバリア膜およびRu膜を介して前記凹部内にCuを埋め込むためのCu膜を成膜するCu膜の成膜方法であって、
前記凹部は、トレンチと該トレンチの底部に形成されたホールとを有し、前記Ru膜の上に加熱しつつ、PVDによりCuがマイグレーションするようにCu膜を成膜して、前記凹部内にCuを埋め込み、
前記Cu膜の成膜は、前記ホールへのCuの埋め込みが完了するまでの第1段階と、前記ホールの埋め込みが完了後、前記トレンチの埋め込みが完了するまでの第2段階とを有し、
前記第1段階の成膜速度は前記第2段階の成膜速度よりも小さいことを特徴とするCu膜の成膜方法。
A Cu film forming method for forming a Cu film for embedding Cu in a recess through a barrier film and a Ru film in a predetermined layer having a recess formed in a substrate,
The recess has a trench and a hole formed at the bottom of the trench, and while heating on the Ru film, a Cu film is formed so that Cu migrates by PVD, and the recess is formed in the recess. Cu is embedded,
The formation of the Cu film has a first stage until the filling of the Cu into the hole is completed, and a second stage after the filling of the hole is completed until the filling of the trench is completed,
The Cu film forming method, wherein the film forming speed in the first stage is lower than the film forming speed in the second stage.
前記第1段階の成膜速度は、トレンチの底部でオーバーハングが生じない程度のCuの流動性が確保される成膜速度であることを特徴とする請求項17に記載のCu膜の成膜方法。   18. The Cu film formation speed according to claim 17, wherein the first stage film formation speed is a film formation speed that ensures Cu fluidity to such an extent that no overhang occurs at the bottom of the trench. Method. 前記第1段階の成膜速度は5〜20nm/minであり、前記第2段階の成膜速度は20〜150nm/minであることを特徴とする請求項17または請求項18に記載のCu膜の成膜方法。   19. The Cu film according to claim 17, wherein the film formation rate in the first stage is 5 to 20 nm / min, and the film formation speed in the second stage is 20 to 150 nm / min. The film forming method. 基板が収容された処理容器内にプラズマ生成ガスによりプラズマを生成し、CuターゲットからCuを放出させて、Cuを前記プラズマ中でイオン化させ、前記基板にバイアス電力を印加してCuイオンを基板上に引きこむことによりCu膜を成膜して前記凹部内にCuを埋め込むことを特徴とする請求項15から請求項19のいずれか1項に記載のCu膜の成膜方法。   Plasma is generated by a plasma generation gas in a processing container in which the substrate is accommodated, Cu is released from a Cu target, Cu is ionized in the plasma, and bias power is applied to the substrate to cause Cu ions to be deposited on the substrate. 20. The method of forming a Cu film according to claim 15, wherein the Cu film is formed by being pulled into the recess and Cu is embedded in the recess. 基板温度を65℃以上350℃以下にすることを特徴とする請求項20に記載のCu膜の成膜方法。   21. The Cu film forming method according to claim 20, wherein the substrate temperature is set to 65 ° C. or higher and 350 ° C. or lower. 基板温度を200℃超350℃以下にし、かつ前記Cuイオンによる基板へのCu成膜量Tと前記プラズマ生成ガスのイオンによるCu膜のエッチング量Tが0≦T/T<1の関係を満たすように前記バイアス電力の大きさを調整することを特徴とする請求項20に記載のCu膜の成膜方法。 The substrate temperature is set to more than 200 ° C. and not more than 350 ° C., and the Cu film formation amount T D on the substrate by the Cu ions and the etching amount T E of the Cu film by the ions of the plasma generation gas are 0 ≦ T E / T D <1 21. The method of forming a Cu film according to claim 20, wherein the magnitude of the bias power is adjusted so as to satisfy the relationship. 基板温度を65℃以上200℃以下にし、かつ前記Cuイオンによる基板へのCu成膜量Tと前記プラズマ生成ガスのイオンによるCu膜のエッチング量Tが0.02≦T/T<1の関係を満たすように前記バイアス電力の大きさを調整することを特徴とする請求項20に記載のCu膜の成膜方法。 The substrate temperature was below 200 ° C. 65 ° C. or higher, and the Cu ion etching amount T E of the Cu film by ions of Cu deposition amount T D and the plasma generation gas to the substrate by the 0.02 ≦ T E / T D 21. The method of forming a Cu film according to claim 20, wherein the magnitude of the bias power is adjusted so as to satisfy the relationship <1. 前記バイアス電力の大きさは、0.05≦T/T≦0.24を満たすように調整されることを特徴とする請求項22または請求項23に記載のCu膜の成膜方法。 The method for forming a Cu film according to claim 22 or 23, wherein the magnitude of the bias power is adjusted so as to satisfy 0.05 ≦ T E / T D ≦ 0.24. 基板に形成された凹部内にCuを埋め込んでCu配線を形成するための成膜システムであって、
前記凹部の表面にバリア膜を形成するバリア膜成膜装置と、
前記バリア膜の上にRu膜を形成するRu膜成膜装置と、
前記Ru膜の上に、PVDによりCu膜を形成して前記凹部にCuを埋め込むCu膜成膜装置と、
前記Cu膜成膜装置を、前記基板を加熱しつつ、CuがマイグレーションするようにCu膜を形成して前記凹部にCuを埋め込むように制御する制御部と
を有することを特徴とする成膜システム。
A film forming system for forming Cu wiring by embedding Cu in a recess formed in a substrate,
A barrier film forming apparatus for forming a barrier film on the surface of the recess;
A Ru film forming apparatus for forming a Ru film on the barrier film;
A Cu film forming apparatus that forms a Cu film by PVD on the Ru film and embeds Cu in the recess;
A film forming system comprising: a control unit configured to control the Cu film forming apparatus so that Cu is migrated and Cu is embedded in the recess while heating the substrate. .
前記バリア膜成膜装置と、前記Ru膜成膜装置と、前記Cu膜成膜装置との間を真空を破らずに搬送する搬送手段をさらに有することを特徴とする請求項25に記載の成膜システム。   26. The composition according to claim 25, further comprising transport means for transporting the barrier film forming apparatus, the Ru film forming apparatus, and the Cu film forming apparatus without breaking a vacuum. Membrane system. コンピュータ上で動作し、成膜システムを制御するためのプログラムが記憶された記憶媒体であって、前記プログラムは、実行時に、請求項1から請求項14のいずれかのCu配線の形成方法が行われるように、コンピュータに前記成膜システムを制御させることを特徴とする記憶媒体。   15. A storage medium that operates on a computer and stores a program for controlling a film forming system. The program is executed by the Cu wiring forming method according to claim 1 at the time of execution. A storage medium that causes a computer to control the film forming system. コンピュータ上で動作し、成膜装置を制御するためのプログラムが記憶された記憶媒体であって、前記プログラムは、実行時に、請求項15から請求項24のいずれかのCu膜の成膜方法が行われるように、コンピュータに前記成膜装置を制御させることを特徴とする記憶媒体。   A storage medium that operates on a computer and stores a program for controlling a film forming apparatus, wherein the program is executed when the Cu film forming method according to claim 15 is executed. A storage medium characterized by causing a computer to control the film forming apparatus.
JP2011251520A 2011-01-27 2011-11-17 Cu wiring forming method, Cu film forming method, and film forming system Expired - Fee Related JP5767570B2 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2011251520A JP5767570B2 (en) 2011-01-27 2011-11-17 Cu wiring forming method, Cu film forming method, and film forming system
US13/359,138 US8859422B2 (en) 2011-01-27 2012-01-26 Method of forming copper wiring and method and system for forming copper film
KR1020120008241A KR101357531B1 (en) 2011-01-27 2012-01-27 METHOD FOR FORMING Cu WIRING, METHOD AND SYSTEM FOR FORMING Cu FILM, AND STORAGE MEDIUM
US14/297,726 US20140287163A1 (en) 2011-01-27 2014-06-06 Method of forming copper wiring and method and system for forming copper film

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2011015663 2011-01-27
JP2011015663 2011-01-27
JP2011251520A JP5767570B2 (en) 2011-01-27 2011-11-17 Cu wiring forming method, Cu film forming method, and film forming system

Publications (2)

Publication Number Publication Date
JP2012169590A true JP2012169590A (en) 2012-09-06
JP5767570B2 JP5767570B2 (en) 2015-08-19

Family

ID=46973431

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011251520A Expired - Fee Related JP5767570B2 (en) 2011-01-27 2011-11-17 Cu wiring forming method, Cu film forming method, and film forming system

Country Status (1)

Country Link
JP (1) JP5767570B2 (en)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2014010333A1 (en) * 2012-07-09 2014-01-16 東京エレクトロン株式会社 METHOD FOR FORMING Cu WIRING, AND COMPUTER-READABLE MEMORY MEDIUM
JP2014086537A (en) * 2012-10-23 2014-05-12 Ulvac Japan Ltd METHOD OF FORMING Cu LAYER AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE
JP2015012132A (en) * 2013-06-28 2015-01-19 東京エレクトロン株式会社 Cu WIRING FORMING METHOD
JP2015160963A (en) * 2014-02-26 2015-09-07 東京エレクトロン株式会社 Method and apparatus for depositing ruthenium film, and method for manufacturing semiconductor device
JP2015220315A (en) * 2014-05-16 2015-12-07 東京エレクトロン株式会社 METHOD FOR MANUFACTURING Cu WIRING
KR20160068668A (en) 2014-12-05 2016-06-15 도쿄엘렉트론가부시키가이샤 Copper wiring forming method, film forming system, and storage medium
JP2016151025A (en) * 2015-02-16 2016-08-22 東京エレクトロン株式会社 Method and apparatus for depositing ruthenium film and method for manufacturing semiconductor device
KR20170106461A (en) 2015-02-26 2017-09-20 도쿄엘렉트론가부시키가이샤 Method of forming Cu wiring and method of manufacturing semiconductor device
KR20190027733A (en) 2017-09-07 2019-03-15 도쿄엘렉트론가부시키가이샤 Semiconductor device

Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08316233A (en) * 1994-06-21 1996-11-29 Toshiba Corp Manufacture of semiconductor device
JPH1168048A (en) * 1997-08-19 1999-03-09 Nec Corp Semiconductor device and forming method thereof
JPH11135504A (en) * 1997-10-30 1999-05-21 Fujitsu Ltd Manufacture of semiconductor device
JPH11186273A (en) * 1997-12-19 1999-07-09 Ricoh Co Ltd Semiconductor device and manufacture thereof
US6140228A (en) * 1997-11-13 2000-10-31 Cypress Semiconductor Corporation Low temperature metallization process
JP2001007049A (en) * 1999-06-25 2001-01-12 Hitachi Ltd Apparatus and method for manufacturing semiconductor integrated circuit device
JP2002075994A (en) * 2000-08-24 2002-03-15 Matsushita Electric Ind Co Ltd Semiconductor device and its manufacturing method
US20040134768A1 (en) * 2003-01-10 2004-07-15 Wang Wei D. Diffusion enhanced ion plating for copper fill
JP2004311865A (en) * 2003-04-10 2004-11-04 Renesas Technology Corp Wiring structure
JP2007123923A (en) * 1999-12-15 2007-05-17 Asm Genitech Korea Ltd Method of forming copper interconnection and thin film using catalyst and chemical vapor deposition method
JP2007214387A (en) * 2006-02-09 2007-08-23 Tokyo Electron Ltd Film depositing method, plasma film forming device, and storage medium
JP2009105289A (en) * 2007-10-24 2009-05-14 Tokyo Electron Ltd METHOD OF FORMING Cu WIRING
JP2010021447A (en) * 2008-07-11 2010-01-28 Tokyo Electron Ltd Film forming method, and processing system
WO2012024056A2 (en) * 2010-08-20 2012-02-23 Micron Technology, Inc. Semiconductor constructions; and methods for providing electrically conductive material within openings

Patent Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08316233A (en) * 1994-06-21 1996-11-29 Toshiba Corp Manufacture of semiconductor device
JPH1168048A (en) * 1997-08-19 1999-03-09 Nec Corp Semiconductor device and forming method thereof
JPH11135504A (en) * 1997-10-30 1999-05-21 Fujitsu Ltd Manufacture of semiconductor device
US6140228A (en) * 1997-11-13 2000-10-31 Cypress Semiconductor Corporation Low temperature metallization process
JPH11186273A (en) * 1997-12-19 1999-07-09 Ricoh Co Ltd Semiconductor device and manufacture thereof
JP2001007049A (en) * 1999-06-25 2001-01-12 Hitachi Ltd Apparatus and method for manufacturing semiconductor integrated circuit device
JP2007123923A (en) * 1999-12-15 2007-05-17 Asm Genitech Korea Ltd Method of forming copper interconnection and thin film using catalyst and chemical vapor deposition method
JP2002075994A (en) * 2000-08-24 2002-03-15 Matsushita Electric Ind Co Ltd Semiconductor device and its manufacturing method
US20040134768A1 (en) * 2003-01-10 2004-07-15 Wang Wei D. Diffusion enhanced ion plating for copper fill
JP2004311865A (en) * 2003-04-10 2004-11-04 Renesas Technology Corp Wiring structure
JP2007214387A (en) * 2006-02-09 2007-08-23 Tokyo Electron Ltd Film depositing method, plasma film forming device, and storage medium
JP2009105289A (en) * 2007-10-24 2009-05-14 Tokyo Electron Ltd METHOD OF FORMING Cu WIRING
JP2010021447A (en) * 2008-07-11 2010-01-28 Tokyo Electron Ltd Film forming method, and processing system
WO2012024056A2 (en) * 2010-08-20 2012-02-23 Micron Technology, Inc. Semiconductor constructions; and methods for providing electrically conductive material within openings

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2014010333A1 (en) * 2012-07-09 2014-01-16 東京エレクトロン株式会社 METHOD FOR FORMING Cu WIRING, AND COMPUTER-READABLE MEMORY MEDIUM
JP2014086537A (en) * 2012-10-23 2014-05-12 Ulvac Japan Ltd METHOD OF FORMING Cu LAYER AND METHOD OF MANUFACTURING SEMICONDUCTOR DEVICE
JP2015012132A (en) * 2013-06-28 2015-01-19 東京エレクトロン株式会社 Cu WIRING FORMING METHOD
JP2015160963A (en) * 2014-02-26 2015-09-07 東京エレクトロン株式会社 Method and apparatus for depositing ruthenium film, and method for manufacturing semiconductor device
JP2015220315A (en) * 2014-05-16 2015-12-07 東京エレクトロン株式会社 METHOD FOR MANUFACTURING Cu WIRING
TWI651807B (en) * 2014-05-16 2019-02-21 日商東京威力科創股份有限公司 Cu wiring manufacturing method
US9406558B2 (en) 2014-05-16 2016-08-02 Tokyo Electron Limited Cu wiring fabrication method and storage medium
KR101741896B1 (en) * 2014-05-16 2017-05-30 도쿄엘렉트론가부시키가이샤 Cu WIRING FABRICATION METHOD AND STORAGE MEDIUM
US9425093B2 (en) 2014-12-05 2016-08-23 Tokyo Electron Limited Copper wiring forming method, film forming system, and storage medium
KR20160068668A (en) 2014-12-05 2016-06-15 도쿄엘렉트론가부시키가이샤 Copper wiring forming method, film forming system, and storage medium
KR20160100850A (en) 2015-02-16 2016-08-24 도쿄엘렉트론가부시키가이샤 Ruthenium film forming method, film forming apparatus, and semiconductor device manufacturing method
JP2016151025A (en) * 2015-02-16 2016-08-22 東京エレクトロン株式会社 Method and apparatus for depositing ruthenium film and method for manufacturing semiconductor device
US9779950B2 (en) 2015-02-16 2017-10-03 Tokyo Electron Limited Ruthenium film forming method, film forming apparatus, and semiconductor device manufacturing method
KR20170106461A (en) 2015-02-26 2017-09-20 도쿄엘렉트론가부시키가이샤 Method of forming Cu wiring and method of manufacturing semiconductor device
US10163699B2 (en) 2015-02-26 2018-12-25 Tokyo Electron Limited Cu wiring forming method and semiconductor device manufacturing method
KR20190027733A (en) 2017-09-07 2019-03-15 도쿄엘렉트론가부시키가이샤 Semiconductor device
US11211288B2 (en) 2017-09-07 2021-12-28 Tokyo Electron Limited Semiconductor device

Also Published As

Publication number Publication date
JP5767570B2 (en) 2015-08-19

Similar Documents

Publication Publication Date Title
JP5767570B2 (en) Cu wiring forming method, Cu film forming method, and film forming system
WO2012133400A1 (en) Method for forming copper wire
JP6257217B2 (en) Method for forming Cu wiring structure
US9425093B2 (en) Copper wiring forming method, film forming system, and storage medium
JP6139298B2 (en) Method for forming Cu wiring
US9362166B2 (en) Method of forming copper wiring
JP5969306B2 (en) Method for forming Cu wiring
US8399353B2 (en) Methods of forming copper wiring and copper film, and film forming system
JP5788785B2 (en) Cu wiring forming method and film forming system
KR102008475B1 (en) Formation method of Cu wiring and manufacturing method of semiconductor device
KR101741896B1 (en) Cu WIRING FABRICATION METHOD AND STORAGE MEDIUM
US20140287163A1 (en) Method of forming copper wiring and method and system for forming copper film
JP2017050304A (en) Semiconductor device manufacturing method
WO2014010333A1 (en) METHOD FOR FORMING Cu WIRING, AND COMPUTER-READABLE MEMORY MEDIUM
JP2014123605A (en) FORMATION METHOD OF Cu WIRING
JP2015115531A (en) Semiconductor device manufacturing method
JP2012204522A (en) DEPOSITION METHOD AND FORMATION METHOD OF Cu WIRE
KR101357531B1 (en) METHOD FOR FORMING Cu WIRING, METHOD AND SYSTEM FOR FORMING Cu FILM, AND STORAGE MEDIUM

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20140704

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20150227

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150310

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150430

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20150609

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20150619

R150 Certificate of patent or registration of utility model

Ref document number: 5767570

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees