JP2011222710A - Method of attaching and detaching reaction vessel - Google Patents

Method of attaching and detaching reaction vessel Download PDF

Info

Publication number
JP2011222710A
JP2011222710A JP2010089663A JP2010089663A JP2011222710A JP 2011222710 A JP2011222710 A JP 2011222710A JP 2010089663 A JP2010089663 A JP 2010089663A JP 2010089663 A JP2010089663 A JP 2010089663A JP 2011222710 A JP2011222710 A JP 2011222710A
Authority
JP
Japan
Prior art keywords
jig
pedestal
attachment
attaching
outer tube
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2010089663A
Other languages
Japanese (ja)
Inventor
Takayuki Nakada
高行 中田
Shinya Morita
慎也 森田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Kokusai Electric Inc
Original Assignee
Hitachi Kokusai Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Kokusai Electric Inc filed Critical Hitachi Kokusai Electric Inc
Priority to JP2010089663A priority Critical patent/JP2011222710A/en
Publication of JP2011222710A publication Critical patent/JP2011222710A/en
Pending legal-status Critical Current

Links

Images

Abstract

PROBLEM TO BE SOLVED: To provide an efficient method of attaching and detaching an internal pipe and an external pipe to reduce the cost of an attachment and detachment tool used.SOLUTION: An attachment and detachment process includes: a process of attaching and detaching an external pipe by placing a first pedestal provided so as to cover external bottom edges of the external pipe onto a cover body and by lifting and lowering the cover body; and a process of attaching and detaching an internal pipe and a support section by making the support section support the internal pipe and by placing a second pedestal provided so as to cover external bottom edges of the support section onto the cover body and by lifting and lowering the cover body. In the process of attaching and detaching the external pipe and the process of attaching and detaching the internal pipe and the support section, as to the first pedestal or the second pedestal arranged in a concentric manner with respect to the axis of the cover body, at least a part of the undersurface of the first pedestal is placed on at least a part of an upper surface of the common attachment and detachment tool, and at least a part of the undersurface of the second pedestal is placed on a part of the common attachment and detachment tool, thereby placing the first pedestal or the second pedestal on the cover body via the common attachment tool.

Description

本発明は、反応容器の着脱方法に係り、特に半導体製造装置に用いられる反応容器の着脱方法に関する。   The present invention relates to a method for attaching / detaching a reaction vessel, and more particularly, to a method for attaching / detaching a reaction vessel used in a semiconductor manufacturing apparatus.

DRAM等の半導体装置の製造工程の一工程として、基板上に成膜等の基板処理を行う基板処理工程が実施される。係る工程は、例えば基板を処理する処理室を内側に有する内管、前記内管を囲む外管、前記内管及び前記外管をそれぞれ下方から支持する支持部を備える反応容器と、反応容器の外周側から基板を加熱する加熱装置と、を備える基板処理装置により実施されていた。   As one process of manufacturing a semiconductor device such as a DRAM, a substrate processing process for performing a substrate process such as film formation on a substrate is performed. Such a process includes, for example, an inner tube having a processing chamber for processing a substrate inside, an outer tube surrounding the inner tube, a reaction vessel provided with a support portion for supporting the inner tube and the outer tube from below, and a reaction vessel And a heating apparatus that heats the substrate from the outer peripheral side.

係る基板処理装置をメンテナンスする際、例えば加熱装置に対して反応容器を着脱し、部品交換やクリーニング等を行う場合があった。従来の反応容器の着脱方法は、例えば内管用の着脱治具を用いて内管を着脱し、外管用の着脱治具を用いて外管を着脱するようにしていた(例えば、特許文献1)。   When maintaining such a substrate processing apparatus, for example, a reaction container may be attached to and detached from a heating apparatus, and parts may be replaced or cleaned. In the conventional method for attaching and detaching the reaction vessel, for example, the inner tube is attached / detached using an inner tube attaching / detaching jig, and the outer tube is attached / detached using an outer tube attaching / detaching jig (for example, Patent Document 1). .

特開2008−78546号公報JP 2008-78546 A

しかしながら、上記従来の反応容器の着脱方法では、内管及び外管の着脱にそれぞれ専用の着脱治具を用いていたので、治具交換等が煩雑であり、効率が悪くなってしまう場合があった。また、専用の着脱治具は、部品点数も多くてコストもかかっていた。   However, in the above conventional method for attaching and detaching the reaction vessel, dedicated attachment / detachment jigs are used for attachment / detachment of the inner tube and the outer tube, respectively, so that jig replacement is complicated, and efficiency may deteriorate. It was. In addition, the dedicated attaching / detaching jig has a large number of parts and costs.

本発明は、内管及び外管の着脱を効率良く行うことができ、使用する着脱治具のコストを低減できる反応容器の着脱方法を提供することを目的とする。   An object of the present invention is to provide a method for attaching and detaching a reaction vessel that can efficiently attach and detach an inner tube and an outer tube and reduce the cost of an attaching and detaching jig used.

本発明の一態様によれば、処理室を内側に有する内管と、前記内管を囲む外管と、前記内管及び前記外管をそれぞれ下方から支持する支持部と、を備える反応容器の前記処理室内に基板を搬入して前記支持部の下端を蓋体により閉塞し、前記反応容器の外周側から加熱装置により前記基板を加熱して前記基板を処理する処理工程と、前記加熱装置に対して前記反応容器を着脱する着脱工程と、を有し、前記着脱工程は、前記外管の下端外縁を覆うように設けられた第1台座を前記蓋体上に載置し、前記蓋体を昇降させることで前記外管を着脱させる工程と、前記支持部に前記内管を支持させ、前記支持部の下端外縁を覆うように設けられた第2台座を前記蓋体上に載置し、前記蓋体を昇降させることで前記内管及び前記支持部を着脱させる工程と、を有し、前記外管を着脱させる工程と、前記内管及び前記支持部を着脱させる工程と、では、前記蓋体の軸心と同心状に配置するよう構成される前記第1台座又は前記第2台座に対し、前記第1台座の下側面の少なくとも一部を共通着脱治具の上面の少なくとも一部に重ね、前記第2台座の下側面の少なくとも一部を前記共通着脱治具の前記上面の少なくとも一部に重ね、前記共通着脱治具を介して前記第1台座又は前記第2台座を前記蓋体上に載置する反応容器の着脱方法が提供される。   According to one aspect of the present invention, there is provided a reaction vessel comprising: an inner tube having a processing chamber inside; an outer tube surrounding the inner tube; and a support unit that supports the inner tube and the outer tube from below. A processing step of carrying the substrate into the processing chamber, closing the lower end of the support portion with a lid, heating the substrate with a heating device from the outer peripheral side of the reaction vessel, and processing the substrate. An attachment / detachment step for attaching / detaching the reaction vessel, wherein the attachment / detachment step places a first pedestal provided on the lid body so as to cover a lower edge of the outer tube, and the lid body. A step of detaching the outer tube by moving up and down, and a second pedestal provided to cover the outer edge of the lower end of the support unit, and to support the inner tube on the support unit. , A work for attaching and detaching the inner tube and the support by raising and lowering the lid And the step of attaching and detaching the outer tube and the step of attaching and detaching the inner tube and the support portion include the first pedestal configured to be arranged concentrically with the axis of the lid body. Alternatively, with respect to the second pedestal, at least a part of the lower surface of the first pedestal is overlapped with at least a part of the upper surface of the common detachable jig, and at least a part of the lower surface of the second pedestal is overlapped with the common detachable jig. There is provided a method for attaching and detaching the reaction vessel, wherein the reaction vessel is overlaid on at least a part of the upper surface and the first pedestal or the second pedestal is placed on the lid via the common attachment / detachment jig.

本発明に係る反応容器の着脱方法によれば、内管及び外管の着脱を効率良く行うことが
でき、使用する着脱治具のコストを低減できる。
According to the method for attaching and detaching the reaction container according to the present invention, the inner tube and the outer tube can be efficiently attached and detached, and the cost of the attaching and detaching jig to be used can be reduced.

本発明の一実施形態に係る基板処理装置が備える処理炉の主要構造を示す縦断面図である。It is a longitudinal section showing the main structure of the processing furnace with which the substrate processing apparatus concerning one embodiment of the present invention is provided. 本発明の一実施形態に係る基板処理装置が備える処理炉の要部拡大図である。It is a principal part enlarged view of the processing furnace with which the substrate processing apparatus concerning one Embodiment of this invention is provided. 本発明の一実施形態に係る外管の取り付け手順を示す概略説明図である。It is a schematic explanatory drawing which shows the attachment procedure of the outer tube | pipe which concerns on one Embodiment of this invention. 本発明の一実施形態に係る内管及び支持部の取り付け手順を示す概略説明図である。It is a schematic explanatory drawing which shows the attachment procedure of the inner pipe and support part which concern on one Embodiment of this invention. 本発明の一実施形態に係る外管の取り付け時の一状態を示す説明図である。It is explanatory drawing which shows one state at the time of the attachment of the outer tube | pipe which concerns on one Embodiment of this invention. 本発明の一実施形態に係る内管及び支持部の取り付け時の一状態を示す説明図である。It is explanatory drawing which shows one state at the time of attachment of the inner tube and support part which concern on one Embodiment of this invention. 本発明の一実施形態に係るばねピンの構成を示す縦断面図である。It is a longitudinal cross-sectional view which shows the structure of the spring pin which concerns on one Embodiment of this invention.

<本発明の一実施形態>
(1)装置構成
図1は本発明の一実施形態に係る基板処理装置が備える処理炉の縦断面図である。図1に示されているように、基板処理装置は処理炉202を備えている。
<One Embodiment of the Present Invention>
(1) Apparatus Configuration FIG. 1 is a longitudinal sectional view of a processing furnace provided in a substrate processing apparatus according to an embodiment of the present invention. As shown in FIG. 1, the substrate processing apparatus includes a processing furnace 202.

(インナチューブ)
処理炉202の内部には、内管としてのインナチューブ204が配設されている。インナチューブ204は、非金属であって、例えば石英(SiO)又は炭化シリコン(SiC)等の耐熱耐食性材料からなる。インナチューブ204は、上端及び下端が開口した円筒形状に形成されている。処理炉202は例えばシリコン(Si)等の基板としてのウエハ200を処理する処理室201を内部に備えている。処理室201は、後述するボート217によって水平姿勢で垂直方向に多段に整列した状態で基板としてのウエハ200を収容可能に構成されている。
(Inner tube)
An inner tube 204 as an inner tube is disposed inside the processing furnace 202. The inner tube 204 is non-metallic and is made of a heat and corrosion resistant material such as quartz (SiO 2 ) or silicon carbide (SiC). The inner tube 204 is formed in a cylindrical shape with an upper end and a lower end opened. The processing furnace 202 includes a processing chamber 201 for processing a wafer 200 as a substrate such as silicon (Si). The processing chamber 201 is configured to accommodate wafers 200 as substrates in a state where the boat 217, which will be described later, is aligned in multiple stages in the vertical direction in a horizontal posture.

(アウタチューブ)
インナチューブ204の外側には、外管としてのアウタチューブ205が同心状に配設されている。アウタチューブ205は、非金属であって、例えば石英又は炭化シリコン等の耐熱耐食性材料からなる。アウタチューブ205の内径は、インナチューブ204の外径よりも大きく構成されている。アウタチューブ205は、上端が閉塞し下端が開口した円筒形状に形成されている。アウタチューブ205の下端外縁の全周には、下部フランジ205aが形成されている。主にインナチューブ204とアウタチューブ205とで反応管203が構成されている。
(Outer tube)
An outer tube 205 as an outer tube is disposed concentrically outside the inner tube 204. The outer tube 205 is non-metallic, and is made of a heat-resistant and corrosion-resistant material such as quartz or silicon carbide. The inner diameter of the outer tube 205 is configured to be larger than the outer diameter of the inner tube 204. The outer tube 205 is formed in a cylindrical shape with the upper end closed and the lower end opened. A lower flange 205 a is formed on the entire periphery of the outer edge of the lower end of the outer tube 205. The reaction tube 203 is mainly composed of the inner tube 204 and the outer tube 205.

(インレットアダプタ)
インナチューブ204及びアウタチューブ205の下方には、支持部としてのインレットアダプタ209が配設されている。インレットアダプタ209は、非金属であって、例えば石英又は炭化シリコン等の耐熱耐食性材料からなる。インレットアダプタ209とアウタチューブ205との間にはシール部材としてのOリング(図示しない)が設けられている。また、インレットアダプタ209の下端外縁の全周には、下部フランジ209aが形成されている。このインレットアダプタ209の下部フランジ209aは、ヒータベース251に支持されるヒータユニット206にボルトにより固着されて支持される。インレットアダプタ209がヒータユニット206に支持されることで、反応管203は垂直に据え付けられた状態となっている。インレットアダプタ209は、反応管203(インナチューブ204及びアウタチューブ205)と同心状に設置されている。主にインレッ
トアダプタ209と反応管203とにより、反応容器207が構成されている。
(Inlet adapter)
Below the inner tube 204 and the outer tube 205, an inlet adapter 209 as a support portion is disposed. The inlet adapter 209 is non-metallic and is made of a heat and corrosion resistant material such as quartz or silicon carbide. An O-ring (not shown) as a seal member is provided between the inlet adapter 209 and the outer tube 205. Further, a lower flange 209a is formed on the entire periphery of the lower edge of the inlet adapter 209. The lower flange 209a of the inlet adapter 209 is supported by being fixed to the heater unit 206 supported by the heater base 251 with bolts. Since the inlet adapter 209 is supported by the heater unit 206, the reaction tube 203 is vertically installed. The inlet adapter 209 is installed concentrically with the reaction tube 203 (the inner tube 204 and the outer tube 205). A reaction vessel 207 is mainly constituted by the inlet adapter 209 and the reaction tube 203.

(ヒータユニット)
反応容器7の外側には、加熱装置としてのヒータユニット206が配設されている。ヒータユニット206は、上端が閉塞し下端が開口した円筒形状に構成されている。ヒータユニット206は、保持板としてのヒータベース251に支持されることにより垂直に据え付けられている。処理室201内には、温度検出器としての温度センサ(図示しない)が設置されている。ヒータユニット206及び温度センサには、後述の制御部としてのコントローラ240が電気的に接続されており、温度センサにより検出された温度情報に基づきヒータユニット206への通電具合を調整することで、処理室201内の温度が所望の温度分布となるよう所望のタイミングにて制御するように構成されている。
(Heater unit)
A heater unit 206 as a heating device is disposed outside the reaction vessel 7. The heater unit 206 is configured in a cylindrical shape with the upper end closed and the lower end opened. The heater unit 206 is vertically installed by being supported by a heater base 251 as a holding plate. A temperature sensor (not shown) as a temperature detector is installed in the processing chamber 201. A controller 240 as a control unit described later is electrically connected to the heater unit 206 and the temperature sensor, and processing is performed by adjusting the power supply to the heater unit 206 based on temperature information detected by the temperature sensor. Control is performed at a desired timing so that the temperature in the chamber 201 has a desired temperature distribution.

(ガス供給系)
また、インレットアダプタ209には、ガス導入部としての単数又は複数のガス供給管230の下流端が処理室201内に連通するように接続されている。ガス供給管230の上流側には、上流側から順に、処理ガス供給源や不活性ガス供給源等のガス供給源(図示しない)、ガス流量制御器としてのMFC(マスフローコントローラ)241及びバルブ(図示しない)が設けられている。主に、ガス供給管230、ガス供給源、MFC241、バルブによりガス供給系が構成される。なお、MFC241及びバルブには、後述のコントローラ240が電気的に接続されており、供給するガスの流量が所望の量となるよう所望のタイミングにて制御するように構成されている。
(Gas supply system)
In addition, the inlet adapter 209 is connected so that the downstream ends of one or more gas supply pipes 230 serving as gas introduction portions communicate with the inside of the processing chamber 201. A gas supply source (not shown) such as a processing gas supply source or an inert gas supply source, an MFC (mass flow controller) 241 as a gas flow rate controller, and a valve ( (Not shown) is provided. A gas supply system is mainly configured by the gas supply pipe 230, the gas supply source, the MFC 241, and the valve. Note that a controller 240 (to be described later) is electrically connected to the MFC 241 and the valve, and is configured to control at a desired timing so that the flow rate of the supplied gas becomes a desired amount.

(排気系)
また、アウタチューブ205の下側壁には、反応容器207内の雰囲気を排気する排気管231が、一体成形されている。つまり、排気管231は、ヒータユニット206の下端から外部に露出しているアウタチューブ205の下部に一体的に設けられている。排気管231の下流側には圧力検出器としての圧力センサ(図示しない)、圧力調整器であるAPC(Auto Pressure Controller)242、排気装置である真空ポンプ246が設けられている。なお、APC242は、弁を開閉して処理室201内を真空排気・真空排気停止ができ、更に弁開度を調節して圧力調整可能なように構成されている。主に、排気管231、圧力センサ、APC242、真空ポンプ246により排気系が構成される。なお、圧力センサ、APC242、真空ポンプ246には、後述のコントローラ240が電気的に接続されており、真空ポンプ246を作動させつつ、圧力センサにより検出された圧力に基づいてAPC242の弁の開度を調節することにより、処理室201内の雰囲気が所望の圧力となるよう所望のタイミングにて制御するように構成されている。
(Exhaust system)
An exhaust pipe 231 for exhausting the atmosphere in the reaction vessel 207 is integrally formed on the lower wall of the outer tube 205. That is, the exhaust pipe 231 is integrally provided at the lower part of the outer tube 205 exposed to the outside from the lower end of the heater unit 206. A pressure sensor (not shown) serving as a pressure detector, an APC (Auto Pressure Controller) 242 serving as a pressure regulator, and a vacuum pump 246 serving as an exhaust device are provided on the downstream side of the exhaust pipe 231. The APC 242 is configured such that the valve can be opened and closed to evacuate / stop the inside of the processing chamber 201, and the valve opening can be adjusted to adjust the pressure. An exhaust system is mainly configured by the exhaust pipe 231, the pressure sensor, the APC 242, and the vacuum pump 246. Note that a controller 240 (to be described later) is electrically connected to the pressure sensor, the APC 242, and the vacuum pump 246, and the opening degree of the valve of the APC 242 based on the pressure detected by the pressure sensor while operating the vacuum pump 246. By adjusting the pressure, the atmosphere in the processing chamber 201 is controlled at a desired timing so as to have a desired pressure.

(シールキャップ)
インレットアダプタ209の下方には、インレットアダプタ209の下端開口を気密に閉塞可能な蓋体としてのシールキャップ219が設けられている。シールキャップ219はインレットアダプタ209の下端に垂直方向下側から当接されるようになっている。そして、シールキャップ219はインレットアダプタ209の軸心延長上に設けられている。シールキャップ219は、反応管203の外部に垂直に設備された昇降機構としてのボートエレベータ(図示しない)によって垂直方向に昇降されるように構成されている。これにより、後述のボート217を処理室201内外に搬入搬出することが可能となっている。シールキャップ219の上面にはインレットアダプタ209の下端と当接するシール部材としてのOリング(図示しない)が設けられる。
(Seal cap)
Below the inlet adapter 209, a seal cap 219 is provided as a lid capable of airtightly closing the lower end opening of the inlet adapter 209. The seal cap 219 is brought into contact with the lower end of the inlet adapter 209 from the lower side in the vertical direction. The seal cap 219 is provided on the axial extension of the inlet adapter 209. The seal cap 219 is configured to be moved up and down in the vertical direction by a boat elevator (not shown) as a lifting mechanism installed vertically outside the reaction tube 203. Thereby, a boat 217 described later can be carried in and out of the processing chamber 201. An O-ring (not shown) as a seal member that contacts the lower end of the inlet adapter 209 is provided on the upper surface of the seal cap 219.

(回転機構)
シールキャップ219の処理室201と反対側には、ボート217を回転させる回転機
構254が設置されている。回転機構254の回転軸255はシールキャップ219を貫通して、後述するボート217に接続されている。回転機構254は、ボート217を回転させることでウエハ200を回転させるように構成されている。回転機構254及びボートエレベータには、後述のコントローラ240が電気的に接続されており、所望の動作をするよう所望のタイミングにて制御するように構成されている。
(Rotating mechanism)
A rotation mechanism 254 that rotates the boat 217 is installed on the side of the seal cap 219 opposite to the processing chamber 201. A rotation shaft 255 of the rotation mechanism 254 passes through the seal cap 219 and is connected to a boat 217 described later. The rotation mechanism 254 is configured to rotate the wafer 200 by rotating the boat 217. A controller 240 (to be described later) is electrically connected to the rotation mechanism 254 and the boat elevator, and is configured to control at a desired timing so as to perform a desired operation.

(ボート)
基板保持具としてのボート217は、例えば石英や炭化シリコン等の耐熱耐食性材料からなる。ボート217は、複数枚のウエハ200を水平姿勢でかつ互いに中心を揃えた状態で整列させて多段に保持するように構成されている。なお、ボート217の下部には、断熱部材216が配置されている。断熱部材216は、例えば石英や炭化シリコン等の耐熱耐食性材料からなる。断熱部材216は、ヒータユニット206からの熱がインレットアダプタ209側に伝わり難くなるよう構成されている。
(boat)
The boat 217 as a substrate holder is made of a heat and corrosion resistant material such as quartz or silicon carbide. The boat 217 is configured to hold a plurality of wafers 200 in a multi-stage by aligning a plurality of wafers 200 in a horizontal posture and with their centers aligned. Note that a heat insulating member 216 is disposed below the boat 217. The heat insulating member 216 is made of a heat and corrosion resistant material such as quartz or silicon carbide. The heat insulating member 216 is configured such that heat from the heater unit 206 is not easily transmitted to the inlet adapter 209 side.

(コントローラ)
制御部としてのコントローラ240は、MFC241、バルブ(図示しない)、圧力センサ、APC242、真空ポンプ246、回転機構254、ボートエレベータ(図示しない)、ヒータユニット206、温度センサ(図示しない)に接続されている。コントローラ240により、MFC241の流量調整、バルブの開閉動作、APC242の開閉及び圧力センサに基づく圧力調整動作、温度センサに基づくヒータユニット206の温度調整、真空ポンプ246の起動・停止、回転機構254の回転速度調節、ボートエレベータの昇降動作等の制御が行われる。
(controller)
The controller 240 as a control unit is connected to the MFC 241, a valve (not shown), a pressure sensor, an APC 242, a vacuum pump 246, a rotating mechanism 254, a boat elevator (not shown), a heater unit 206, and a temperature sensor (not shown). Yes. The controller 240 adjusts the flow rate of the MFC 241, opens and closes the valve, opens and closes the APC 242 and adjusts the pressure based on the pressure sensor, adjusts the temperature of the heater unit 206 based on the temperature sensor, starts and stops the vacuum pump 246, and rotates the rotation mechanism 254. Controls such as speed adjustment and lifting / lowering operation of the boat elevator are performed.

(2)基板処理工程
次に、上記構成に係る処理炉202を用いて、半導体装置の製造工程の一工程として、例えばCVD法によりウエハ200上に薄膜を形成する方法について説明する。尚、以下の説明において、処理炉202を構成する各部の動作はコントローラ240により制御される。
(2) Substrate Processing Step Next, a method of forming a thin film on the wafer 200 using, for example, the CVD method as a step of the semiconductor device manufacturing process using the processing furnace 202 having the above configuration will be described. In the following description, the operation of each part constituting the processing furnace 202 is controlled by the controller 240.

まず、複数枚のウエハ200がボート217に装填(ウエハチャージ)され、図1に示されているように、複数枚のウエハ200を保持したボート217は、ボートエレベータ(図示しない)によって持ち上げられて処理室201内に搬入(ボートローディング)される。この状態で、シールキャップ219はOリングを介してインレットアダプタ209の下端をシールした状態となる。   First, a plurality of wafers 200 are loaded into the boat 217 (wafer charge), and the boat 217 holding the plurality of wafers 200 is lifted by a boat elevator (not shown) as shown in FIG. It is carried into the processing chamber 201 (boat loading). In this state, the seal cap 219 seals the lower end of the inlet adapter 209 via the O-ring.

処理室201内が所望の圧力(真空度)となるように真空ポンプ246によって真空排気される。この際、処理室201内の圧力は、圧力センサで測定され、この測定された圧力に基づきAPC242が、フィードバック制御される。また、処理室201内が所望の温度となるようにヒータユニット206によって加熱される。この際、処理室201内が所望の温度分布となるように温度センサが検出した温度情報に基づきヒータユニット206への通電具合がフィードバック制御される。続いて、回転機構254により、ボート217が回転されることで、ウエハ200が回転される。ボート217の回転は、基板処理が終了してウエハ200が処理室201内から搬出されるまで継続される。   The processing chamber 201 is evacuated by a vacuum pump 246 so that a desired pressure (degree of vacuum) is obtained. At this time, the pressure in the processing chamber 201 is measured by a pressure sensor, and the APC 242 is feedback-controlled based on the measured pressure. Further, the processing unit 201 is heated by the heater unit 206 so as to have a desired temperature. At this time, the power supply to the heater unit 206 is feedback-controlled based on temperature information detected by the temperature sensor so that the inside of the processing chamber 201 has a desired temperature distribution. Subsequently, the wafer 200 is rotated by rotating the boat 217 by the rotation mechanism 254. The rotation of the boat 217 is continued until the substrate processing is completed and the wafers 200 are unloaded from the processing chamber 201.

次いで、処理ガスが処理ガス供給源から供給され、MFC241にて所望の流量となるように制御され、ガス供給管230から処理室201内に導入される。導入された処理ガスは処理室201内を上昇し、インナチューブ204の上端開口からインナチューブ204とアウタチューブ205との間に形成される筒状空間250に流出して排気管231から排気される。処理ガスは、処理室201内を通過する際に、ウエハ200の表面と接触し、例えば熱CVD反応によってウエハ200の表面上に薄膜を堆積(デポジション)さ
せる。予め設定された処理時間が経過すると、処理ガスの供給が停止され、成膜処理を終了する。
Next, the processing gas is supplied from the processing gas supply source, controlled to have a desired flow rate by the MFC 241, and introduced into the processing chamber 201 from the gas supply pipe 230. The introduced processing gas rises in the processing chamber 201, flows out from the upper end opening of the inner tube 204 into the cylindrical space 250 formed between the inner tube 204 and the outer tube 205, and is exhausted from the exhaust pipe 231. . When the processing gas passes through the processing chamber 201, it contacts the surface of the wafer 200, and deposits a thin film on the surface of the wafer 200 by, for example, a thermal CVD reaction. When the processing time set in advance elapses, the supply of the processing gas is stopped and the film forming process is ended.

そして、不活性ガス供給源からガス供給管230を介してパージガスとして不活性ガスが供給されつつ、処理室201内のガス雰囲気が排気されて未反応ガスや中間生成物等を処理室201内から排出する。所定時間が経過したら、回転機構254を停止してウエハ200の回転を停止する。そして、APCバルブ242の開度が調節されて処理室201内が不活性ガスに置換されるとともに、処理室201内の圧力が常圧に復帰される。   Then, while the inert gas is supplied as the purge gas from the inert gas supply source through the gas supply pipe 230, the gas atmosphere in the processing chamber 201 is exhausted to remove unreacted gas, intermediate products, and the like from the processing chamber 201. Discharge. When the predetermined time has elapsed, the rotation mechanism 254 is stopped and the rotation of the wafer 200 is stopped. Then, the opening degree of the APC valve 242 is adjusted to replace the inside of the processing chamber 201 with an inert gas, and the pressure in the processing chamber 201 is returned to normal pressure.

その後、ボートエレベータによりシールキャップ219が下降されて、インレットアダプタ209の下端が開口されるとともに、処理済のウエハ200がボート217に保持された状態でインレットアダプタ209の下端から反応管203の外部に搬出(ボートアンローディング)される。その後、処理済のウエハ200はボート217より取り出される(ウエハディスチャージ)。   Thereafter, the seal cap 219 is lowered by the boat elevator, the lower end of the inlet adapter 209 is opened, and the processed wafer 200 is held by the boat 217 from the lower end of the inlet adapter 209 to the outside of the reaction tube 203. Unload (boat unloading). Thereafter, the processed wafer 200 is taken out from the boat 217 (wafer discharge).

(3)反応容器の着脱工程の概要
ところで、上述の基板処理工程を繰り返し続けると、例えば反応容器207に反応生成物が付着してしまう。そのため、この付着した反応生成物を除去する等のメンテナンスを所定時間毎に実施する必要がある。このようなメンテナンスを行う際、ヒータユニット206に対して反応容器207の着脱を行う場合がある。また、処理炉202を新たに組み立てる際にも、反応容器207の着脱を行う場合がある。
(3) Outline of Reaction Container Attaching / Removing Step By the way, if the above-described substrate processing step is repeated, a reaction product adheres to the reaction vessel 207, for example. Therefore, it is necessary to perform maintenance such as removal of the attached reaction product every predetermined time. When performing such maintenance, the reaction container 207 may be attached to and detached from the heater unit 206 in some cases. In addition, when the process furnace 202 is newly assembled, the reaction vessel 207 may be attached and detached.

次に、このような反応容器207の着脱工程を説明する。先ず、アウタチューブ205を着脱させる工程の概略的な説明をした後、インナチューブ204及びインレットアダプタ209を着脱させる工程の概略的な説明を行う。その後、両工程におけるシールキャップ周辺の構成な説明を行う。図3はアウタチューブ205の取り付け手順を示す概略説明図であり、図4はインナチューブ204及びインレットアダプタ209の取り付け手順を示す概略説明図である。   Next, a process for attaching / detaching the reaction vessel 207 will be described. First, after roughly explaining the process of attaching / detaching the outer tube 205, the outline of the process of attaching / detaching the inner tube 204 and the inlet adapter 209 will be explained. Thereafter, the configuration around the seal cap in both steps will be described. FIG. 3 is a schematic explanatory view showing a procedure for attaching the outer tube 205, and FIG. 4 is a schematic explanatory view showing a procedure for attaching the inner tube 204 and the inlet adapter 209.

(アウタチューブを着脱させる工程)
先ず、アウタチューブ205の取り付け手順の全体的な流れを説明する。アウタチューブ205の着脱は、例えば処理炉202の下方に設けられた移載室(図示しない)を使用する。先ず、移載室と装置外との間で台車(図示しない)によりアウタチューブ205を運搬する。そして、移載室内において、台車上に設置された例えば二股フォーク形状のスライドアーム505によりシールキャップ219上にアウタチューブ205を受載する。次に、ボートエレベータ(図示しない)によってシールキャップ219を昇降することで、アウタチューブ205を昇降させてアウタチューブ205の着脱を行う。この際、アウタチューブ205の着脱は、台車上のスライドアーム505、シールキャップ219、及びシールキャップ219上に載置される共通着脱治具400を用いて行われる。
(Process of attaching / detaching the outer tube)
First, the overall flow of the outer tube 205 attachment procedure will be described. For the attachment / detachment of the outer tube 205, for example, a transfer chamber (not shown) provided below the processing furnace 202 is used. First, the outer tube 205 is transported by a carriage (not shown) between the transfer chamber and the outside of the apparatus. In the transfer chamber, the outer tube 205 is received on the seal cap 219 by, for example, a bifurcated fork-shaped slide arm 505 installed on the carriage. Next, the outer cap 205 is moved up and down by attaching and detaching the outer tube 205 by moving the seal cap 219 up and down by a boat elevator (not shown). At this time, the outer tube 205 is attached and detached using the slide arm 505 on the carriage, the seal cap 219, and the common attachment / detachment jig 400 placed on the seal cap 219.

このアウタチューブ205の取り付け手順について図3を参照して説明する。   A procedure for attaching the outer tube 205 will be described with reference to FIG.

図3(a)に示すように、シールキャップ219を最下位置まで降下させる。そして、シールキャップ219上にアダプタ治具401を固定し、このアダプタ治具401にコネクション治具402を嵌合する。また、図示しない台車に設けられたスライドアーム505上にアタッチメント治具403を載置する。   As shown in FIG. 3A, the seal cap 219 is lowered to the lowest position. Then, the adapter jig 401 is fixed on the seal cap 219, and the connection jig 402 is fitted to the adapter jig 401. Further, an attachment jig 403 is placed on a slide arm 505 provided on a cart not shown.

主にアダプタ治具401及びコネクション治具402と、アタッチメント治具403とから共通着脱治具400が構成される。   A common attachment / detachment jig 400 is mainly composed of the adapter jig 401, the connection jig 402, and the attachment jig 403.

図3(b)に示すように、更にアタッチメント治具403上にアウタチューブ205を立設する。そして、アウタチューブ205を立設したスライドアーム505をヒータユニット206の下方まで前進させる。そして、アウタチューブ205の中心をヒータユニット206の軸心に合わせる。   As shown in FIG. 3B, the outer tube 205 is further erected on the attachment jig 403. Then, the slide arm 505 on which the outer tube 205 is erected is advanced to below the heater unit 206. Then, the center of the outer tube 205 is aligned with the axis of the heater unit 206.

図3(c)に示すように、シールキャップ219によりアダプタ治具401及びコネクション治具402を上昇させる。そして、スライドアーム505の2本のフォーク状部分の間からコネクション治具402上にアタッチメント治具403を受載する。   As shown in FIG. 3C, the adapter jig 401 and the connection jig 402 are raised by the seal cap 219. Then, the attachment jig 403 is received on the connection jig 402 from between the two fork-shaped portions of the slide arm 505.

図3(d)に示すように、アタッチメント治具403がスライドアーム505から離反するまで上昇した位置で、スライドアーム505を後退させる。   As shown in FIG. 3D, the slide arm 505 is moved backward at a position where the attachment jig 403 is raised until it is separated from the slide arm 505.

図3(e)に示すように、更に、シールキャップ219を上昇させ、アウタチューブ205をヒータユニット206内に挿入する。そして、アウタチューブ205をヒータユニット206に固着し取り付ける。   As shown in FIG. 3 (e), the seal cap 219 is further raised, and the outer tube 205 is inserted into the heater unit 206. Then, the outer tube 205 is fixedly attached to the heater unit 206.

図3(f)に示すように、ヒータユニット206内にアウタチューブ205を残し、シールキャップ219を最下位置まで降下させる。そして、コネクション治具402上に受載したアタッチメント治具403を取り外す。これにより、ヒータユニット206へのアウタチューブ205の取り付けが終了する。   As shown in FIG. 3F, the outer tube 205 remains in the heater unit 206, and the seal cap 219 is lowered to the lowest position. Then, the attachment jig 403 received on the connection jig 402 is removed. Thereby, the attachment of the outer tube 205 to the heater unit 206 is completed.

アウタチューブ205の取り外しは前述した取り付けとは逆の手順で行われる。なお、アウタチューブ205の取り外しは、インナチューブ204及びインレットアダプタ209の取り外しの後に行われる。   Removal of the outer tube 205 is performed in the reverse order of the above-described attachment. The outer tube 205 is removed after the inner tube 204 and the inlet adapter 209 are removed.

(インナチューブ及びインレットアダプタを着脱させる工程)
次に、インナチューブ204及びインレットアダプタ209の取り付け手順の全体的な流れを説明する。インナチューブ204及びインレットアダプタ209の着脱は、上述したアウタチューブ205の着脱と同様に移載室(図示しない)を使用し、台車に設けられたスライドアーム505、シールキャップ219、及びシールキャップ219上に載置される共通着脱治具400を用いて行われる。
(Process to attach and detach inner tube and inlet adapter)
Next, the overall flow of the installation procedure of the inner tube 204 and the inlet adapter 209 will be described. The inner tube 204 and the inlet adapter 209 are attached and detached using a transfer chamber (not shown) similarly to the attachment and detachment of the outer tube 205 described above, and on the slide arm 505, the seal cap 219, and the seal cap 219 provided on the carriage. This is performed using a common attachment / detachment jig 400 placed on the surface.

このインナチューブ204及びインレットアダプタ209の取り付け手順について図4を参照して説明する。   A procedure for attaching the inner tube 204 and the inlet adapter 209 will be described with reference to FIG.

図4(a)に示すように、シールキャップ219上にはアダプタ治具401及びコネクション治具402が載置されており、シールキャップ219が最下位置に降下したままの状態である。そして、スライドアーム505上にアタッチメント治具403を載置する。なお、アウタチューブ205と同様に、主にアダプタ治具401及びコネクション治具402と、アタッチメント治具403とから共通着脱治具400が構成される。   As shown in FIG. 4A, the adapter jig 401 and the connection jig 402 are placed on the seal cap 219, and the seal cap 219 remains lowered to the lowest position. Then, the attachment jig 403 is placed on the slide arm 505. Similar to the outer tube 205, a common attachment / detachment jig 400 is mainly composed of an adapter jig 401, a connection jig 402, and an attachment jig 403.

図4(b)に示すように、更にスライドアーム505上にアタッチメント治具403を介してインナチューブ204を載置したインレットアダプタ209を立設する。そして、インナチューブ204及びインレットアダプタ209を立設したスライドアーム505をヒータユニット206の下方まで前進させる。そして、インレットアダプタ209の中心をヒータユニット206の軸心に合わせる。   As shown in FIG. 4B, an inlet adapter 209 on which the inner tube 204 is placed is further erected on the slide arm 505 via an attachment jig 403. Then, the slide arm 505 provided with the inner tube 204 and the inlet adapter 209 is advanced to below the heater unit 206. Then, the center of the inlet adapter 209 is aligned with the axis of the heater unit 206.

図4(c)に示すように、シールキャップ219によりアダプタ治具401及びコネクション治具402を上昇させる。そして、スライドアーム505の2本のフォーク状部分の間からコネクション治具402上にアタッチメント治具403を受載する。   As shown in FIG. 4C, the adapter jig 401 and the connection jig 402 are raised by the seal cap 219. Then, the attachment jig 403 is received on the connection jig 402 from between the two fork-shaped portions of the slide arm 505.

図4(d)に示すように、アタッチメント治具403がスライドアーム505から離反するまで上昇した位置で、スライドアーム505を後退させる。   As shown in FIG. 4D, the slide arm 505 is retracted at a position where the attachment jig 403 is raised until it is separated from the slide arm 505.

図4(e)に示すように、更に、シールキャップ219を上昇させ、インナチューブ204及びインレットアダプタ209をアウタチューブ205内に挿入する。そして、インレットアダプタ209をヒータユニット206に固着することで、インナチューブ204及びインレットアダプタ209をヒータユニット206に取り付ける。   As shown in FIG. 4E, the seal cap 219 is further raised, and the inner tube 204 and the inlet adapter 209 are inserted into the outer tube 205. Then, the inner tube 204 and the inlet adapter 209 are attached to the heater unit 206 by fixing the inlet adapter 209 to the heater unit 206.

図4(f)に示すように、インナチューブ204及びインレットアダプタ209をヒータユニット206内に残し、シールキャップ219を最下位置まで降下させる。そして、アタッチメント治具403をコネクション治具402から取り外す。そして、コネクション治具402及びアダプタ治具401もシールキャップ219から取り外す。これにより、ヒータユニット206へのインナチューブ204及びインレットアダプタ209の取り付けが終了し、反応容器207の取り付けが完了する。   As shown in FIG. 4F, the inner tube 204 and the inlet adapter 209 are left in the heater unit 206, and the seal cap 219 is lowered to the lowest position. Then, the attachment jig 403 is removed from the connection jig 402. Then, the connection jig 402 and the adapter jig 401 are also removed from the seal cap 219. Thereby, the attachment of the inner tube 204 and the inlet adapter 209 to the heater unit 206 is completed, and the attachment of the reaction vessel 207 is completed.

インナチューブ204及びインレットアダプタ209の取り外しは前述した取り付けとは逆の手順で行われる。なお、インナチューブ204及びインレットアダプタ209の取り外しは、アウタチューブ205の取り外しに先駆けて行われる。   Removal of the inner tube 204 and the inlet adapter 209 is performed in the reverse order to the above-described attachment. It should be noted that the inner tube 204 and the inlet adapter 209 are removed prior to the outer tube 205 being removed.

上記方法によれば、着脱作業時にアウタチューブ205と、インナチューブ204及びインレットアダプタ209と、は、スライドアーム505、シールキャップ219により支持される。これにより、作業者はヒータユニット206へのボルトの取り付け、取り外しのみを行えばよく、その結果、着脱作業手順が簡略化されると共に、重量物の支持を行う必要がなく安全性が向上し、少人数での作業が可能となり省力化が図れる。   According to the above method, the outer tube 205, the inner tube 204, and the inlet adapter 209 are supported by the slide arm 505 and the seal cap 219 during the attaching / detaching operation. As a result, the worker only needs to attach and remove the bolts to and from the heater unit 206. As a result, the attachment / detachment work procedure is simplified, and there is no need to support heavy objects, thereby improving safety. Work with a small number of people is possible, saving labor.

(シールキャップ周辺の構成)
次に、図2を用いてさらに詳細にシールキャップ周辺の構成を説明する。図2は本発明の一実施形態に係る処理炉202の要部拡大図である。なお、支持部であるインレットアダプタ209及び蓋体であるシールキャップ219については既に概略を説明したが、ここでは、反応容器207の着脱の観点からインレットアダプタ209及びシールキャップ219をさらに詳細に説明する。
(Configuration around the seal cap)
Next, the configuration around the seal cap will be described in more detail with reference to FIG. FIG. 2 is an enlarged view of a main part of the processing furnace 202 according to one embodiment of the present invention. Note that the outline of the inlet adapter 209 as a support and the seal cap 219 as a lid have already been described, but here, the inlet adapter 209 and the seal cap 219 will be described in more detail from the viewpoint of attaching and detaching the reaction vessel 207. .

(インレットアダプタ)
本実施形態のインレットアダプタ209は、アウタチューブ205の内側にアウタチューブ205の下端から上方側に延出して処理室201内に設けられている。インレットアダプタ209は、インナチューブ204及びアウタチューブ205をそれぞれ下方から支持している。すなわち、インレットアダプタ209は、インナチューブ204の下端をインレットアダプタ209の上端内周側で支持すると共に、アウタチューブ205の下端をインレットアダプタ209の上端外周側で支持するように形成されている。インレットアダプタ209の内周側は、外周側より一段高く構成されている。そして、インナチューブ204は、インレットアダプタ209に載置され、メンテナンス時にインレットアダプタ209と一体的に着脱されるようになっている。なお、インレットアダプタ209には、筒状部に複数のガス供給管230を取り付けるための取付孔(図示せず)が設けられている。
(Inlet adapter)
The inlet adapter 209 of the present embodiment is provided in the processing chamber 201 so as to extend upward from the lower end of the outer tube 205 inside the outer tube 205. The inlet adapter 209 supports the inner tube 204 and the outer tube 205 from below. That is, the inlet adapter 209 is formed so as to support the lower end of the inner tube 204 on the inner peripheral side of the upper end of the inlet adapter 209 and to support the lower end of the outer tube 205 on the outer peripheral side of the upper end of the inlet adapter 209. The inner peripheral side of the inlet adapter 209 is configured to be one step higher than the outer peripheral side. The inner tube 204 is placed on the inlet adapter 209 and is integrally attached to and detached from the inlet adapter 209 during maintenance. The inlet adapter 209 is provided with attachment holes (not shown) for attaching a plurality of gas supply pipes 230 to the cylindrical portion.

また、インレットアダプタ209には、径方向外方に突出させた固定用の取付片(図示しない)が周方向に等間隔に設けられている。固定用の取付片にはボルト挿通孔(図示しない)が形成され、このボルト挿通孔に挿入したボルト(図示しない)をヒータユニット206に捩込み、インレットアダプタ209をヒータユニット206に固定することが可
能になっている。
In addition, the inlet adapter 209 is provided with fixing pieces (not shown) for fixing protruding outward in the radial direction at equal intervals in the circumferential direction. A bolt insertion hole (not shown) is formed in the fixing attachment piece, and a bolt (not shown) inserted into the bolt insertion hole is screwed into the heater unit 206 to fix the inlet adapter 209 to the heater unit 206. It is possible.

(第1台座)
アウタチューブ205には、第1台座601がアウタチューブ205の下端外縁である下部フランジ205aを覆うように設けられている。第1台座601は、例えばステンレス等の金属からなる。第1台座601はリング状に形成されており、アウタチューブ205の下部フランジ205aの全周囲に設けられている。第1台座601の下側面には、アタッチメント治具403に当接する当接面601aが設けられている。当接面601aには、アタッチメント治具403の後述するばねピンを挿入可能なピン受け穴601bが設けられている。
(First pedestal)
The outer tube 205 is provided with a first pedestal 601 so as to cover a lower flange 205 a that is an outer edge of the lower end of the outer tube 205. The 1st base 601 consists of metals, such as stainless steel, for example. The first pedestal 601 is formed in a ring shape, and is provided around the entire periphery of the lower flange 205 a of the outer tube 205. A contact surface 601 a that contacts the attachment jig 403 is provided on the lower surface of the first base 601. The contact surface 601a is provided with a pin receiving hole 601b into which a spring pin described later of the attachment jig 403 can be inserted.

また、第1台座601には、インレットアダプタ209と同様に、径方向外方に突出させた固定用の取付片(図示しない)が周方向に等間隔に設けられている。固定用の取付片にはボルト挿通孔(図示しない)が形成され、このボルト挿通孔に挿入したボルト(図示しない)をヒータユニット206に捩込み、第1台座601をヒータユニット206に固定することが可能になっている。   Similarly to the inlet adapter 209, the first pedestal 601 is provided with fixing pieces (not shown) for protruding outward in the radial direction at equal intervals in the circumferential direction. A bolt insertion hole (not shown) is formed in the fixing piece for fixing, and a bolt (not shown) inserted into the bolt insertion hole is screwed into the heater unit 206 to fix the first pedestal 601 to the heater unit 206. Is possible.

(第2台座)
また、インレットアダプタ209には、第2台座602がインレットアダプタ209の下端外縁である下部フランジ209aを一部覆うように設けられている。第2台座602は、例えばステンレス等の金属からなる。第2台座602はリング状に形成されており、下部フランジ209aの全周囲に設けられている。第2台座602の下側面には、アタッチメント治具403に当接する当接面602aが設けられている。当接面602aには、アタッチメント治具403の後述するばねピンを挿入可能なピン受け穴602bが設けられている。
(Second base)
Further, the inlet adapter 209 is provided with a second pedestal 602 so as to partially cover the lower flange 209a which is the lower edge of the inlet adapter 209. The 2nd base 602 consists of metals, such as stainless steel, for example. The 2nd base 602 is formed in the ring shape, and is provided in the perimeter of the lower flange 209a. A contact surface 602 a that contacts the attachment jig 403 is provided on the lower surface of the second pedestal 602. The contact surface 602a is provided with a pin receiving hole 602b into which a spring pin described later of the attachment jig 403 can be inserted.

そして、上述の第1台座601の当接面601aがアタッチメント治具403の後述の保持面に重なると共に、第2台座602の当接面602aがアタッチメント治具403の後述の保持面に重なるように、これら第1台座601及び第2台座602は、シールキャップ219の軸心219cと同心状に配置されて構成されている。   The contact surface 601a of the first pedestal 601 is overlapped with a later-described holding surface of the attachment jig 403, and the contact surface 602a of the second pedestal 602 is overlapped with a later-described holding surface of the attachment jig 403. The first pedestal 601 and the second pedestal 602 are configured to be concentric with the shaft center 219c of the seal cap 219.

(シールキャップ)
上述したようにシールキャップ219は、図示しないボートエレベータによって垂直方向に昇降可能に構成されている。シールキャップ219は、2重構造の円盤状に形成されている。すなわち、シールキャップ219の上部219aは処理室201内を構成しており、非金属であって、例えば石英又は炭化シリコン等の耐熱耐食性材料からなる。シールキャップ219の下部219bは例えばステンレス等の金属からなる。図5に示すように、ボートエレベータに設けられる昇降ブロック129には、水平方向に延出している連結具としてのアーム128が固着されている。シールキャップ219はアーム128に水平に据え付けられている。なお、アウタチューブ205の着脱と、インナチューブ204及びインレットアダプタ209の着脱とを行う場合は、ボートエレベータからボート217を取り外した状態で行われる。
(Seal cap)
As described above, the seal cap 219 is configured to be vertically movable by a boat elevator (not shown). The seal cap 219 is formed in a double disk shape. That is, the upper part 219a of the seal cap 219 constitutes the inside of the processing chamber 201, and is made of a non-metal, for example, a heat and corrosion resistant material such as quartz or silicon carbide. The lower part 219b of the seal cap 219 is made of a metal such as stainless steel. As shown in FIG. 5, an arm 128 as a connecting tool extending in the horizontal direction is fixed to an elevating block 129 provided in the boat elevator. The seal cap 219 is horizontally installed on the arm 128. In addition, when attaching / detaching the outer tube 205 and attaching / detaching the inner tube 204 and the inlet adapter 209, the boat 217 is detached from the boat elevator.

(共通着脱治具)
次に、共通着脱治具400の詳細構成について説明する。上述したように共通着脱治具400は、アダプタ治具401、コネクション治具402及びアタッチメント治具403により構成されている。これらアダプタ治具401、コネクション治具402及びアタッチメント治具403をこの順番に説明する。
(Common attachment / detachment jig)
Next, a detailed configuration of the common attachment / detachment jig 400 will be described. As described above, the common attachment / detachment jig 400 includes the adapter jig 401, the connection jig 402, and the attachment jig 403. The adapter jig 401, the connection jig 402, and the attachment jig 403 will be described in this order.

(アダプタ治具)
アダプタ治具401は、シールキャップ219上に載置される着脱治具である。アダプタ治具401は、コネクション治具402と嵌合可能な円筒形状に形成されている。アダプタ治具401は、その上面にコネクション治具402の位置決めピン(図示しない)と嵌合可能な位置決め孔(図示しない)が設けられている。
(Adapter jig)
The adapter jig 401 is an attachment / detachment jig placed on the seal cap 219. The adapter jig 401 is formed in a cylindrical shape that can be fitted to the connection jig 402. The adapter jig 401 is provided with a positioning hole (not shown) that can be fitted with a positioning pin (not shown) of the connection jig 402 on its upper surface.

(コネクション治具)
アダプタ治具401に嵌合されるコネクション治具402は、アタッチメント治具403を載置する。このコネクション治具402はハット形状に構成されており、上端が閉塞し下端が開口した筒部432とフランジ433とを有する。筒部432内には、アダプタ治具401が嵌合される空間434が形成されている。また、筒部432の頂部には、アタッチメント治具403の下側面中央部に設けたテーパ形状の凸部414と係合するテーパ形状の凹部441が形成されている。このテーパ形状の凹部441には位置決めピン(図示しない)が突設され、この位置決めピンにはアダプタ治具401の位置決め孔(図示しない)が嵌合可能となっている。このテーパ状の凹部441の位置決めピンが位置決め孔に嵌合して、シールキャップ219に対してコネクション治具402の位置関係が決定される。
(Connection jig)
The connection jig 402 to be fitted to the adapter jig 401 places the attachment jig 403. The connection jig 402 has a hat shape, and includes a cylindrical portion 432 having a closed upper end and an open lower end, and a flange 433. A space 434 into which the adapter jig 401 is fitted is formed in the cylindrical portion 432. In addition, a tapered concave portion 441 that engages with a tapered convex portion 414 provided at the center of the lower surface of the attachment jig 403 is formed at the top of the cylindrical portion 432. A positioning pin (not shown) protrudes from the tapered recess 441, and a positioning hole (not shown) of the adapter jig 401 can be fitted to the positioning pin. The positioning pin of the tapered recess 441 is fitted into the positioning hole, and the positional relationship of the connection jig 402 with respect to the seal cap 219 is determined.

なお、アダプタ治具401及びコネクション治具402の、少なくともシールキャップ219の上面に載置される下側面は、非金属であって、例えば石英又は炭化シリコン等の耐熱耐食性材料からなる。これにより、共通着脱治具400を介してシールキャップ219上に第1台座601又は第2台座602を載置させることで、シールキャップ219の上面に金属部材と接触することこがなく、その結果シールキャップ219上面が金属により汚染されることを抑制させることができる。   Note that at least the lower surfaces of the adapter jig 401 and the connection jig 402 placed on at least the upper surface of the seal cap 219 are non-metallic, and are made of a heat and corrosion resistant material such as quartz or silicon carbide. Accordingly, by placing the first pedestal 601 or the second pedestal 602 on the seal cap 219 via the common attaching / detaching jig 400, the metal member does not come into contact with the upper surface of the seal cap 219. It is possible to prevent the upper surface of the seal cap 219 from being contaminated with metal.

(アタッチメント治具)
コネクション治具402に載置されるアタッチメント治具403は、アウタチューブ205を、インナチューブ204及びインレットアダプタ209を、立設したままスライドアーム505上からシールキャップ219上に移載する着脱治具である。アタッチメント治具403には、その中央に貫通孔413が設けられている。この貫通孔413の外周には、コネクション治具402の頂部に形成したテーパ形状の凹部441と係合するテーパ形状の凸部414が形成されている。また、アタッチメント治具403には、スライドアーム505の位置決めピン508が嵌合可能な位置合わせ用の位置決め孔417が貫通して設けられている。なお、アタッチメント治具403は金属製であれば良いが、好ましくは軽量で作業性が良いアルミ合金により形成すると良い。
(Attachment jig)
The attachment jig 403 placed on the connection jig 402 is a detachable jig that transfers the outer tube 205 from the slide arm 505 to the seal cap 219 while the inner tube 204 and the inlet adapter 209 are erected. is there. The attachment jig 403 has a through hole 413 at the center thereof. A tapered convex portion 414 that engages with a tapered concave portion 441 formed at the top of the connection jig 402 is formed on the outer periphery of the through hole 413. In addition, the attachment jig 403 is provided with a positioning hole 417 for alignment, into which the positioning pin 508 of the slide arm 505 can be fitted. The attachment jig 403 may be made of metal, but is preferably formed of an aluminum alloy that is lightweight and has good workability.

アタッチメント治具403の上面は、第1台座601の下側面に誘導可能で、垂直方向に係合して水平方向に位置決めされるよう構成されている。具体的に説明すると、アタッチメント治具403の上面には第1台座601の当接面601aを保持する保持面403aが設けられている。そして、保持面403aには、第1台座601のピン受け穴601bに垂直方向に係合して水平方向に位置決めされるばねピン450がばねピン孔部403bに設けられている。例えば図7に示すように、ばね受け部450cに設けられたばね450bがピン450aを付勢して保持面403a上にピン450aが突出するよう構成されている。ピン450aの頭部は、例えば略円錐台形状に形成されている。   The upper surface of the attachment jig 403 can be guided to the lower surface of the first pedestal 601 and is configured to engage in the vertical direction and be positioned in the horizontal direction. More specifically, a holding surface 403 a that holds the contact surface 601 a of the first base 601 is provided on the upper surface of the attachment jig 403. A spring pin 450 that is vertically engaged with the pin receiving hole 601b of the first base 601 and positioned in the horizontal direction is provided in the spring pin hole 403b on the holding surface 403a. For example, as shown in FIG. 7, the spring 450b provided in the spring receiving portion 450c urges the pin 450a so that the pin 450a protrudes on the holding surface 403a. The head of the pin 450a is formed in a substantially truncated cone shape, for example.

このようにアタッチメント治具403が構成されることで、アウタチューブ205にアタッチメント治具403を取り付ける際、第1台座601に対しアタッチメント治具403の大体の位置を合わせた後、第1台座601の下側面にばね450cの付勢力に抗してアタッチメント治具403の上面を当接させる。そして、第1台座601の下側面に対してアタッチメント治具403の上面を摺動させると、ピン450aが第1台座601のピン受け穴601bに位置したところでピン450aがピン受け穴601bに挿入される。
これにより、第1台座601の当接面601aにアタッチメント治具403の保持面403aを誘導させることができ、第1台座601のピン受け穴601bにピン450aが挿入されることで、アタッチメント治具403が第1台座601に垂直方向に係合されてアウタチューブ205を水平方向に位置決めすることができる。また、アタッチメント治具403が第1台座601に対して垂直方向に係合した後は、アウタチューブ205が水平方向に位置ずれしないので、安定してアウタチューブ205を昇降させることができる。また、アウタチューブ205からアタッチメント治具403を取り外す際は、アタッチメント治具403が第1台座601に対して降下することで、ピン450aがピン受け穴601bから容易に抜け、アタッチメント治具403と第1台座601との係合が解除される。これにより、アウタチューブ205からアタッチメント治具403を容易に取り外すことができる。
Since the attachment jig 403 is configured in this manner, when the attachment jig 403 is attached to the outer tube 205, after the approximate position of the attachment jig 403 is aligned with the first base 601, The upper surface of the attachment jig 403 is brought into contact with the lower surface against the biasing force of the spring 450c. When the upper surface of the attachment jig 403 is slid with respect to the lower surface of the first pedestal 601, the pin 450a is inserted into the pin receiving hole 601b when the pin 450a is positioned in the pin receiving hole 601b of the first pedestal 601. The
Accordingly, the holding surface 403a of the attachment jig 403 can be guided to the contact surface 601a of the first pedestal 601, and the pin 450a is inserted into the pin receiving hole 601b of the first pedestal 601, so that the attachment jig The outer tube 205 can be positioned in the horizontal direction by engaging 403 in the vertical direction with the first base 601. In addition, after the attachment jig 403 is engaged with the first base 601 in the vertical direction, the outer tube 205 is not displaced in the horizontal direction, so that the outer tube 205 can be moved up and down stably. Further, when removing the attachment jig 403 from the outer tube 205, the attachment jig 403 descends with respect to the first base 601 so that the pin 450a can be easily removed from the pin receiving hole 601b, and the attachment jig 403 The engagement with the single seat 601 is released. Thereby, the attachment jig 403 can be easily removed from the outer tube 205.

また、アタッチメント治具403は、第1台座601と同様に第2台座602にも共通に取り付け可能である。すなわち、図6に示すように保持面403aのばねピン450が第2台座602のピン受け穴602bに垂直方向に係合して水平方向に位置決めされ、保持面403aが第2台座602の当接面602aを保持するよう構成されている。これにより、インナチューブ204を載置したインレットアダプタ209にアタッチメント治具403を取り付ける際、上述したアウタチューブ205を取り付けたのと同様に、第2台座602のピン受け穴602bにピン450aが挿入されることで、インナチューブ204及びインレットアダプタ209を水平方向に位置決めすることができ、安定してインナチューブ204及びインレットアダプタ209を昇降させることができる。また、インレットアダプタ209からアタッチメント治具403を取り外す際も第1台座601と同様に、アタッチメント治具403が第2台座602に対して降下することで、ピン450aがピン受け穴602bから容易に抜け、アタッチメント治具403と第2台座602との係合が解除される。これにより、インナチューブ204及びインレットアダプタ209からアタッチメント治具403を容易に取り外すことができる。   Further, the attachment jig 403 can be commonly attached to the second pedestal 602 as well as the first pedestal 601. That is, as shown in FIG. 6, the spring pin 450 of the holding surface 403 a is vertically engaged with the pin receiving hole 602 b of the second pedestal 602 and positioned in the horizontal direction, and the holding surface 403 a is in contact with the second pedestal 602. The surface 602a is configured to be held. As a result, when attaching the attachment jig 403 to the inlet adapter 209 on which the inner tube 204 is placed, the pin 450a is inserted into the pin receiving hole 602b of the second base 602 in the same manner as the outer tube 205 is attached. Thus, the inner tube 204 and the inlet adapter 209 can be positioned in the horizontal direction, and the inner tube 204 and the inlet adapter 209 can be moved up and down stably. Also, when the attachment jig 403 is removed from the inlet adapter 209, as with the first base 601, the attachment jig 403 descends with respect to the second base 602 so that the pin 450a can be easily removed from the pin receiving hole 602b. The engagement between the attachment jig 403 and the second pedestal 602 is released. Thereby, the attachment jig | tool 403 can be easily removed from the inner tube 204 and the inlet adapter 209.

上述したように、共通着脱治具400は、アダプタ治具401及びコネクション治具402と、アタッチメント治具403とにより構成されている。これにより、アウタチューブ205を着脱させる工程と、インナチューブ204及びインレットアダプタ209を着脱させる工程と、で、それぞれ専用の着脱治具を用いる必要がないので、治具交換等の手間をかけることなくアウタチューブ205の着脱と、インナチューブ204及びインレットアダプタ209の着脱と、を効率良く行うことができる。また、共通着脱治具400は、部品点数も少なくなるので、使用する着脱治具のコストを低減できる。   As described above, the common attachment / detachment jig 400 includes the adapter jig 401, the connection jig 402, and the attachment jig 403. Accordingly, it is not necessary to use a dedicated attachment jig in the step of attaching / detaching the outer tube 205 and the step of attaching / detaching the inner tube 204 and the inlet adapter 209, so that it is not necessary to replace jigs and the like. The attachment / detachment of the outer tube 205 and the attachment / detachment of the inner tube 204 and the inlet adapter 209 can be performed efficiently. Moreover, since the common attachment / detachment jig 400 also has a reduced number of parts, the cost of the attachment / detachment jig to be used can be reduced.

(4)反応容器の着脱工程の詳細
次に、反応容器の着脱工程の詳細な説明としてアウタチューブ205、インナチューブ204及びインレットアダプタ209の取り付け手順についてそれぞれ説明する。
(4) Details of Reaction Container Attaching / Removing Process Next, a procedure for attaching the outer tube 205, the inner tube 204, and the inlet adapter 209 will be described as a detailed description of the reaction container attaching / detaching process.

(アウタチューブの着脱工程)
まず、外管としてのアウタチューブ205の取り付け手順について図5を用いて説明する。図5は、本発明の一実施形態に係るアウタチューブ205の取り付け時の一状態を示す説明図である。
(Outer tube attachment / detachment process)
First, a procedure for attaching the outer tube 205 as an outer tube will be described with reference to FIG. FIG. 5 is an explanatory view showing a state when the outer tube 205 according to the embodiment of the present invention is attached.

図3(a)で説明したように、シールキャップ219を最下位置まで降下した状態とする。また、図示しない台車上にスライドアーム505を設置した状態とする。シールキャップ219上にアダプタ治具401を取り付け、そのアダプタ治具401にコネクション治具402を嵌合させる。アダプタ治具401とコネクション治具402との嵌合は、コネクション治具402のテーパ形状の凹部441に突設された位置決めピン(図示しない)が、アダプタ治具401の位置決め孔(図示しない)に挿入される形で行われる。また
、台車のスライドアーム505上にアタッチメント治具403を載置する。
As described in FIG. 3A, the seal cap 219 is lowered to the lowest position. Moreover, it is set as the state which installed the slide arm 505 on the trolley | bogie which is not shown in figure. The adapter jig 401 is attached on the seal cap 219, and the connection jig 402 is fitted to the adapter jig 401. The adapter jig 401 and the connection jig 402 are fitted with a positioning pin (not shown) protruding from the tapered recess 441 of the connection jig 402 into a positioning hole (not shown) of the adapter jig 401. It is done in the form of being inserted. Also, the attachment jig 403 is placed on the slide arm 505 of the carriage.

図3(b)で説明したように、アタッチメント治具403にアウタチューブ205を取り付けて立設する。ここで、アタッチメント治具403へのアウタチューブ205の取り付けは、第1台座601に対しアタッチメント治具403の大体の位置を合わせた後、アタッチメント治具403の上面を当接させて第1台座601の下側面に対してアタッチメント治具403の上面を摺動させる。すると、第1台座601のピン受け穴601bに位置したところでピン450aがピン受け穴601bに挿入される。これにより、第1台座601の当接面601aにアタッチメント治具403の保持面403aを誘導させることができ、アタッチメント治具403が第1台座601に垂直方向に係合されてアウタチューブ205を水平方向に位置決めすることができる。また、アタッチメント治具403が第1台座601に対して垂直方向に係合した後は、アウタチューブ205が水平方向に位置ずれしないので、安定してアウタチューブ205を昇降させることができる。そして、スライドアーム505を前進させ移載室内の処理炉202の下部にアウタチューブ205を進入させる。アウタチューブ205の中心をヒータユニット206の軸心に合わせる。このとき、シールキャップ219上に載置されているコネクション治具402は、スライドアーム505で支持されているアタッチメント治具403の真下に位置する。   As described with reference to FIG. 3B, the outer tube 205 is attached to the attachment jig 403 and is erected. Here, the outer tube 205 is attached to the attachment jig 403 by aligning the approximate position of the attachment jig 403 with the first pedestal 601 and then bringing the upper surface of the attachment jig 403 into contact with the first pedestal 601. The upper surface of the attachment jig 403 is slid with respect to the lower side surface. Then, the pin 450a is inserted into the pin receiving hole 601b when it is located in the pin receiving hole 601b of the first base 601. As a result, the holding surface 403a of the attachment jig 403 can be guided to the contact surface 601a of the first pedestal 601, and the attachment jig 403 is engaged with the first pedestal 601 in the vertical direction so that the outer tube 205 is horizontally aligned. Can be positioned in the direction. In addition, after the attachment jig 403 is engaged with the first base 601 in the vertical direction, the outer tube 205 is not displaced in the horizontal direction, so that the outer tube 205 can be moved up and down stably. Then, the slide arm 505 is advanced to allow the outer tube 205 to enter the lower portion of the processing furnace 202 in the transfer chamber. The center of the outer tube 205 is aligned with the axis of the heater unit 206. At this time, the connection jig 402 placed on the seal cap 219 is positioned directly below the attachment jig 403 supported by the slide arm 505.

図3(c)で説明したように、シールキャップ219によりアダプタ治具401及びコネクション治具402を上昇させる。そして、スライドアーム505上のアタッチメント治具403にコネクション治具402の上面を当接させる。さらに、シールキャップ219を上昇させることにより、図5に示すように、スライドアーム505の2本のフォーク状部分の間からシールキャップ219によりアウタチューブ205を持ち上げる。これにより、アタッチメント治具403を介してアウタチューブ205をスライドアーム505からシールキャップ219上のコネクション治具402上に移載させることができる。このとき、位置決めピン508が位置決め孔417に嵌合し、シールキャップ219に対して共通着脱治具400(アタッチメント治具403、コネクション治具402及びアダプタ治具401)の位置関係が決定される。   As described in FIG. 3C, the adapter jig 401 and the connection jig 402 are raised by the seal cap 219. Then, the upper surface of the connection jig 402 is brought into contact with the attachment jig 403 on the slide arm 505. Further, by raising the seal cap 219, the outer tube 205 is lifted by the seal cap 219 from between the two fork-shaped portions of the slide arm 505 as shown in FIG. Thus, the outer tube 205 can be transferred from the slide arm 505 onto the connection jig 402 on the seal cap 219 via the attachment jig 403. At this time, the positioning pins 508 are fitted into the positioning holes 417, and the positional relationship of the common attachment / detachment jig 400 (attachment jig 403, connection jig 402, and adapter jig 401) with respect to the seal cap 219 is determined.

図3(d)で説明したように、アタッチメント治具403がスライドアーム505から離反するまで上昇した位置で、スライドアーム505を後退させる。   As described with reference to FIG. 3D, the slide arm 505 is retracted at a position where the attachment jig 403 is raised until it is separated from the slide arm 505.

図3(e)で説明したように、更にシールキャップ219を上昇させ、アウタチューブ205をヒータユニット206内に挿入する。そして、図1に示すアウタチューブ205の設置位置で、第1台座601に設けた固定用の取付片のボルト挿通孔(図示しない)にボルト(図示しない)を捩込み、第1台座601をヒータユニット206に固着することで、アウタチューブ205をヒータユニット206に固着し取り付ける。   As described with reference to FIG. 3E, the seal cap 219 is further raised, and the outer tube 205 is inserted into the heater unit 206. Then, at the installation position of the outer tube 205 shown in FIG. 1, a bolt (not shown) is screwed into a bolt insertion hole (not shown) of the fixing piece provided on the first pedestal 601 so that the first pedestal 601 is heated. The outer tube 205 is fixedly attached to the heater unit 206 by being fixed to the unit 206.

図3(f)で説明したように、ヒータユニット206にアウタチューブ205を残し、コネクション治具402にアタッチメント治具403を載せたシールキャップ219を最下位置まで降下させる。このとき、アタッチメント治具403が第1台座601に対して降下することで、ピン450aがピン受け穴601bから容易に抜け、アタッチメント治具403と第1台座601との係合が解除される。そして、シールキャップ219が最下位置まで降下したら、アタッチメント治具403をコネクション治具402から取り外す。これにより、ヒータユニット206へのアウタチューブ205の取り付けが終了する。   As described in FIG. 3F, the outer tube 205 is left in the heater unit 206, and the seal cap 219 with the attachment jig 403 placed on the connection jig 402 is lowered to the lowest position. At this time, the attachment jig 403 is lowered with respect to the first pedestal 601, whereby the pin 450 a is easily removed from the pin receiving hole 601 b, and the engagement between the attachment jig 403 and the first pedestal 601 is released. When the seal cap 219 is lowered to the lowest position, the attachment jig 403 is removed from the connection jig 402. Thereby, the attachment of the outer tube 205 to the heater unit 206 is completed.

(インナチューブ及びインレットアダプタを着脱させる工程)
次にアウタチューブ205の取り付け手順に引き続いて、内管としてのインナチューブ204及び支持部としてのインレットアダプタ209の取り付け手順について図6を用いて説明する。図6は本発明の一実施形態に係るインナチューブ204及びインレットアダ
プタ209の取り付け時の一状態を示す説明図である。
(Process to attach and detach inner tube and inlet adapter)
Next, following the procedure for attaching the outer tube 205, the procedure for attaching the inner tube 204 as an inner tube and the inlet adapter 209 as a support will be described with reference to FIG. FIG. 6 is an explanatory view showing a state when the inner tube 204 and the inlet adapter 209 according to the embodiment of the present invention are attached.

図4(a)で説明したように、シールキャップ219上にはアダプタ治具401及びコネクション治具402が載置されており、シールキャップ219が最下位置に降下したままの状態である。そして、スライドアーム505上にアタッチメント治具403を載置する。   As described with reference to FIG. 4A, the adapter jig 401 and the connection jig 402 are placed on the seal cap 219, and the seal cap 219 is still lowered to the lowest position. Then, the attachment jig 403 is placed on the slide arm 505.

図4(b)で説明したように、更にスライドアーム505上にアタッチメント治具403を介してインナチューブ204を載置したインレットアダプタ209を立設する。ここで、アタッチメント治具403へのインレットアダプタ209の取り付けは、第2台座602にアタッチメント治具403を取り付けることで行われる。第2台座602に対しインレットアダプタ209の大体の位置を合わせた後、アタッチメント治具403の上面を当接させて第2台座602の下側面に対してアタッチメント治具403の上面を摺動させることで、ピン450aがピン受け穴602bに挿入されてアタッチメント治具403が第2台座602に垂直方向に係合される。これにより、アタッチメント治具403が第2台座602に垂直方向に係合されてインナチューブ204及びインレットアダプタ209を水平方向に位置決めすることができ、また、垂直方向に係合した後は、インナチューブ204及びインレットアダプタ209が水平方向に位置ずれしないので、安定してインナチューブ204及びインレットアダプタ209を昇降させることができる。そして、スライドアーム505を前進させ移載室内の処理炉202の下部にインナチューブ204及びインレットアダプタ209を進入させる。インナチューブ204及びインレットアダプタ209の中心をヒータユニット206の軸心に合わせる。このとき、シールキャップ219上に載置されているコネクション治具402は、スライドアーム505で支持されているアタッチメント治具403の真下に位置する。   As described with reference to FIG. 4B, the inlet adapter 209 on which the inner tube 204 is placed is further installed on the slide arm 505 via the attachment jig 403. Here, the attachment of the inlet adapter 209 to the attachment jig 403 is performed by attaching the attachment jig 403 to the second pedestal 602. After aligning the approximate position of the inlet adapter 209 with respect to the second pedestal 602, the upper surface of the attachment jig 403 is brought into contact with the lower surface of the second pedestal 602 to slide the upper surface of the attachment jig 403. Thus, the pin 450a is inserted into the pin receiving hole 602b, and the attachment jig 403 is engaged with the second base 602 in the vertical direction. As a result, the attachment jig 403 can be engaged with the second pedestal 602 in the vertical direction to position the inner tube 204 and the inlet adapter 209 in the horizontal direction. Since 204 and the inlet adapter 209 are not displaced in the horizontal direction, the inner tube 204 and the inlet adapter 209 can be moved up and down stably. Then, the slide arm 505 is moved forward to cause the inner tube 204 and the inlet adapter 209 to enter the lower part of the processing furnace 202 in the transfer chamber. The center of the inner tube 204 and the inlet adapter 209 is aligned with the axis of the heater unit 206. At this time, the connection jig 402 placed on the seal cap 219 is positioned directly below the attachment jig 403 supported by the slide arm 505.

図4(c)で説明したように、シールキャップ219によりアダプタ治具401及びコネクション治具402を上昇させる。そして、スライドアーム505上のアタッチメント治具403にコネクション治具402の上面を当接させる。さらに、シールキャップ219を上昇させることにより、図6に示すように、スライドアーム505の2本のフォーク状部分の間からシールキャップ219によりインナチューブ204及びインレットアダプタ209を持ち上げる。これにより、アタッチメント治具403を介してインナチューブ204及びインレットアダプタ209をスライドアーム505からシールキャップ219上のコネクション治具402上に移載させることができる。このとき、位置決めピン508が位置決め孔417に嵌合し、シールキャップ219に対して共通着脱治具400(アタッチメント治具403、コネクション治具402及びアダプタ治具401)の位置関係が決定される。   As described in FIG. 4C, the adapter jig 401 and the connection jig 402 are raised by the seal cap 219. Then, the upper surface of the connection jig 402 is brought into contact with the attachment jig 403 on the slide arm 505. Further, by raising the seal cap 219, as shown in FIG. 6, the inner tube 204 and the inlet adapter 209 are lifted by the seal cap 219 from between the two fork-shaped portions of the slide arm 505. Thereby, the inner tube 204 and the inlet adapter 209 can be transferred from the slide arm 505 onto the connection jig 402 on the seal cap 219 via the attachment jig 403. At this time, the positioning pins 508 are fitted into the positioning holes 417, and the positional relationship of the common attachment / detachment jig 400 (attachment jig 403, connection jig 402, and adapter jig 401) with respect to the seal cap 219 is determined.

図4(d)で説明したように、アタッチメント治具403がスライドアーム505から離反するまで上昇した位置で、スライドアーム505を後退させる。   As described with reference to FIG. 4D, the slide arm 505 is retracted at a position where the attachment jig 403 is raised until it is separated from the slide arm 505.

図4(e)で説明したように、更にシールキャップ219を上昇させ、インナチューブ204及びインレットアダプタ209をヒータユニット206内に挿入する。そして、図1に示すインナチューブ204及びインレットアダプタ209の設置位置で、インレットアダプタ209に設けた固定用の取付片のボルト挿通孔(図示しない)にボルト(図示しない)を捩込み、インレットアダプタ209をヒータユニット206に固着し、インナチューブ204及びインレットアダプタ209を取り付ける。これにより、第1台座601及び第2台座602は、シールキャップ219の軸心219cと同心状に配置されヒータユニット206に設置される。第1台座601及び第2台座602がこのように設置されることで、着脱治具400はアウタチューブ205を着脱させる工程で第1台座601の当接面601aに、インナチューブ204及びインレットアダプタ209を着脱させる工
程で第2台座602の当接面602aに、それぞれ保持面403aを重ねることができるよう構成される。
As described with reference to FIG. 4E, the seal cap 219 is further raised, and the inner tube 204 and the inlet adapter 209 are inserted into the heater unit 206. Then, at the installation position of the inner tube 204 and the inlet adapter 209 shown in FIG. 1, a bolt (not shown) is screwed into a bolt insertion hole (not shown) of a fixing piece provided in the inlet adapter 209, and the inlet adapter 209. Is fixed to the heater unit 206, and the inner tube 204 and the inlet adapter 209 are attached. Accordingly, the first pedestal 601 and the second pedestal 602 are disposed concentrically with the shaft center 219 c of the seal cap 219 and are installed in the heater unit 206. By installing the first pedestal 601 and the second pedestal 602 in this manner, the attachment / detachment jig 400 is attached to the contact surface 601a of the first pedestal 601 in the step of attaching / detaching the outer tube 205, and the inner tube 204 and the inlet adapter 209. The holding surface 403a can be overlaid on the contact surface 602a of the second pedestal 602 in the step of attaching and detaching each.

図4(f)で説明したように、ヒータユニット206にインナチューブ204及びインレットアダプタ209を残し、コネクション治具402にアタッチメント治具403を載せたシールキャップ219を最下位置まで降下させる。このとき、アタッチメント治具403が第2台座602に対して降下することで、ピン450aがピン受け穴602bから容易に抜け、アタッチメント治具403と第2台座602との係合が解除される。そして、シールキャップ219が最下位置まで降下したら、アタッチメント治具403をコネクション治具402から取り外す。そして、コネクション治具402及びアダプタ治具401もシールキャップ219から取り外す。そして、インナチューブ204の取り付けを完了する。これにより、アウタチューブ205、インナチューブ204及びインレットアダプタ209の取り付けが終了し、反応容器207の取り付けが完了する。   As described with reference to FIG. 4F, the inner tube 204 and the inlet adapter 209 are left in the heater unit 206, and the seal cap 219 with the attachment jig 403 placed on the connection jig 402 is lowered to the lowest position. At this time, when the attachment jig 403 is lowered with respect to the second pedestal 602, the pin 450a is easily removed from the pin receiving hole 602b, and the engagement between the attachment jig 403 and the second pedestal 602 is released. When the seal cap 219 is lowered to the lowest position, the attachment jig 403 is removed from the connection jig 402. Then, the connection jig 402 and the adapter jig 401 are also removed from the seal cap 219. Then, the attachment of the inner tube 204 is completed. Thereby, the attachment of the outer tube 205, the inner tube 204, and the inlet adapter 209 is completed, and the attachment of the reaction vessel 207 is completed.

なお、アウタチューブ205、インナチューブ204及びインレットアダプタ209の取り外しについては、上述した取り付け作業の逆の手順を実施することで行うことが可能である。   It should be noted that the outer tube 205, the inner tube 204, and the inlet adapter 209 can be removed by carrying out the reverse procedure of the mounting operation described above.

(5)本実施形態に係る効果
本実施形態によれば以下に示す1つ又は複数の効果を奏する。
(5) Effects according to the present embodiment According to the present embodiment, the following one or more effects are achieved.

(a)本実施形態によれば、アウタチューブ205を着脱させる工程と、インナチューブ204及びインレットアダプタ209を着脱させる工程と、では、シールキャップ219の軸心219cと同心状に配置するよう構成される第1台座601又は第2台座602に対し、第1台座601の下側面の少なくとも一部を共通着脱治具400の上面の少なくとも一部に重ね、第2台座602の下側面の少なくとも一部を共通着脱治具400の上面の少なくとも一部に重ね、共通着脱治具400を介して第1台座601又は第2台座602をシールキャップ219上に載置するようにしている。これにより、アウタチューブ205を着脱させる工程と、インナチューブ204及びインレットアダプタ209を着脱させる工程と、で、それぞれ専用の着脱治具を用いる必要がないので、治具交換等の手間をかけることなくアウタチューブ205の着脱と、インナチューブ204及びインレットアダプタ209の着脱と、を効率良く行うことができる。また、共通着脱治具400は、使用する着脱治具のコストを低減できる。   (A) According to this embodiment, the step of attaching / detaching the outer tube 205 and the step of attaching / detaching the inner tube 204 and the inlet adapter 209 are configured to be arranged concentrically with the shaft center 219c of the seal cap 219. With respect to the first pedestal 601 or the second pedestal 602, at least a part of the lower surface of the first pedestal 601 is overlapped with at least a part of the upper surface of the common attachment / detachment jig 400, and at least a part of the lower surface of the second pedestal 602 Is superimposed on at least a part of the upper surface of the common attachment / detachment jig 400, and the first pedestal 601 or the second pedestal 602 is placed on the seal cap 219 via the common attachment / detachment jig 400. Accordingly, it is not necessary to use a dedicated attachment jig in the step of attaching / detaching the outer tube 205 and the step of attaching / detaching the inner tube 204 and the inlet adapter 209, so that it is not necessary to replace jigs and the like. The attachment / detachment of the outer tube 205 and the attachment / detachment of the inner tube 204 and the inlet adapter 209 can be performed efficiently. Moreover, the common attachment / detachment jig 400 can reduce the cost of the attachment / detachment jig to be used.

(b)本実施形態によれば、共通着脱治具400の上面は、第1台座601の当接面601a及び第2台座602の当接面602aに誘導可能で、第1台座601の当接面601a及び第2台座602の当接面602aのそれぞれに保持面403aを垂直方向に係合して水平方向に位置決めするようにしている。これにより、共通着脱治具400は第1台座601に位置合わせ可能であり、第2台座602に位置合わせ可能である。また、アウタチューブ205と、インナチューブ204及びインレットアダプタ209と、の水平方向への位置ずれを防止することが可能である。さらに、共通着脱治具400はヒータユニット206に対する第1台座601の着脱が容易となり、ヒータユニット206に対する第2台座602の着脱が容易となる。   (B) According to the present embodiment, the upper surface of the common attachment / detachment jig 400 can be guided to the contact surface 601 a of the first pedestal 601 and the contact surface 602 a of the second pedestal 602, and the contact of the first pedestal 601. The holding surface 403a is engaged with each of the surface 601a and the contact surface 602a of the second pedestal 602 in the vertical direction so as to be positioned in the horizontal direction. Thereby, the common attachment / detachment jig 400 can be aligned with the first pedestal 601 and can be aligned with the second pedestal 602. Moreover, it is possible to prevent the horizontal displacement of the outer tube 205, the inner tube 204, and the inlet adapter 209. Furthermore, the common attachment / detachment jig 400 can easily attach / detach the first base 601 to / from the heater unit 206, and can easily attach / detach the second base 602 to / from the heater unit 206.

(c)本実施形態によれば、第1台座601及び第2台座602がそれぞれ金属材料からなり、シールキャップ219の上部219aと、共通着脱治具400の少なくとも下側面と、が非金属材料からなる。これにより、アウタチューブ205を着脱させる工程と、インナチューブ204及びインレットアダプタ209を着脱させる工程と、では、金属製の第1台座601又は第2台座602を共通着脱治具400を介してシールキャップ219に載置させることで、シールキャップ219の上面が金属により汚染されることを抑制
させることができる。
(C) According to the present embodiment, the first pedestal 601 and the second pedestal 602 are each made of a metal material, and the upper part 219a of the seal cap 219 and at least the lower surface of the common attachment / detachment jig 400 are made of a non-metallic material. Become. Thus, in the step of attaching / detaching the outer tube 205 and the step of attaching / detaching the inner tube 204 and the inlet adapter 209, the metal first pedestal 601 or the second pedestal 602 is connected to the seal cap via the common attaching / detaching jig 400. By mounting on 219, the upper surface of the seal cap 219 can be prevented from being contaminated with metal.

(d)本実施形態によれば、アウタチューブ205を着脱させる工程と、インナチューブ204及びインレットアダプタ209を着脱させる工程とを、有する。これにより、アウタチューブ205、インナチューブ204、インレットアダプタ209をそれぞれ単体で個別に着脱する場合に比べ、工程数を低減することができる。また、装置内での狭いスペース内で、反応管203からのインレットアダプタ209の取り付け、取り外し作業を要さず、作業が容易となる。したがって、作業効率を向上させ、メンテナンス時間を短縮させることができ、半導体製造の生産性を向上させることができる。   (D) According to this embodiment, it has the process of attaching / detaching the outer tube 205, and the process of attaching / detaching the inner tube 204 and the inlet adapter 209. Thereby, the number of processes can be reduced compared with the case where the outer tube 205, the inner tube 204, and the inlet adapter 209 are individually attached and detached individually. In addition, it is not necessary to attach or remove the inlet adapter 209 from the reaction tube 203 in a narrow space in the apparatus, and the work becomes easy. Therefore, the working efficiency can be improved, the maintenance time can be shortened, and the productivity of semiconductor manufacturing can be improved.

また、ヒータユニット206に対してアウタチューブ205を単体で着脱することができる。これにより、排気管231を備える長尺なアウタチューブ205を、アウタチューブ205の上端部がつかえることなく、また処理炉202の装置高さを変えることなくヒータユニット206に対して着脱でき、メンテナンスを実施することができる。また、インレットアダプタ209と共にインナチューブ204をヒータユニット206に対して着脱することができる。   Further, the outer tube 205 can be attached to and detached from the heater unit 206 as a single unit. Accordingly, the long outer tube 205 having the exhaust pipe 231 can be attached to and detached from the heater unit 206 without the upper end portion of the outer tube 205 being gripped and the apparatus height of the processing furnace 202 is not changed. Can be implemented. Further, the inner tube 204 can be attached to and detached from the heater unit 206 together with the inlet adapter 209.

<本発明の他の実施形態>
なお、薄膜が成膜される基板としては、Siウエハの他に石英ガラス基板、結晶化ガラス基板、MgO基板等であってもよい。また、本発明は、本実施形態にかかる半導体製造装置等のウエハ基板を処理する基板処理装置に限らず、プリント配線基板、液晶パネル、磁気ディスクやコンパクトディスク等の基板を処理する基板処理装置にも好適に適用できる。
<Other Embodiments of the Present Invention>
In addition to the Si wafer, the substrate on which the thin film is formed may be a quartz glass substrate, a crystallized glass substrate, an MgO substrate, or the like. Further, the present invention is not limited to a substrate processing apparatus that processes a wafer substrate such as a semiconductor manufacturing apparatus according to the present embodiment, but is also a substrate processing apparatus that processes a substrate such as a printed wiring board, a liquid crystal panel, a magnetic disk, or a compact disk. Can also be suitably applied.

以上、本発明の実施形態を具体的に説明したが、本発明は上述の実施形態に限定されるものではなく、その要旨を逸脱しない範囲で種々変更可能である。   As mentioned above, although embodiment of this invention was described concretely, this invention is not limited to the above-mentioned embodiment, It can change variously in the range which does not deviate from the summary.

<本発明の好ましい態様>
以下に、本発明の好ましい態様について付記する。
<Preferred embodiment of the present invention>
Hereinafter, preferred embodiments of the present invention will be additionally described.

本発明の一態様によれば、
処理室を内側に有する内管と、前記内管を囲む外管と、前記内管及び前記外管をそれぞれ下方から支持する支持部と、を備える反応容器の前記処理室内に基板を搬入して前記支持部の下端を蓋体により閉塞し、前記反応容器の外周側から加熱装置により前記基板を加熱して前記基板を処理する処理工程と、
前記加熱装置に対して前記反応容器を着脱する着脱工程と、
を有し、
前記着脱工程は、
前記外管の下端外縁を覆うように設けられた第1台座を前記蓋体上に載置し、前記蓋体を昇降させることで前記外管を着脱させる工程と、
前記支持部に前記内管を支持させ、前記支持部の下端外縁を覆うように設けられた第2台座を前記蓋体上に載置し、前記蓋体を昇降させることで前記内管及び前記支持部を着脱させる工程と、
を有し、
前記外管を着脱させる工程と、前記内管及び前記支持部を着脱させる工程と、では、
前記蓋体の軸心と同心状に配置するよう構成される前記第1台座又は前記第2台座に対し、
前記第1台座の下側面の少なくとも一部を共通着脱治具の上面の少なくとも一部に重ね、
前記第2台座の下側面の少なくとも一部を前記共通着脱治具の前記上面の少なくとも一
部に重ね、
前記共通着脱治具を介して前記第1台座又は前記第2台座を前記蓋体上に載置する
反応容器の着脱方法が提供される。
According to one aspect of the invention,
A substrate is carried into the processing chamber of a reaction vessel comprising an inner tube having a processing chamber inside, an outer tube surrounding the inner tube, and a support part that supports the inner tube and the outer tube from below. A processing step of closing the lower end of the support portion with a lid, and processing the substrate by heating the substrate with a heating device from the outer peripheral side of the reaction vessel;
An attachment / detachment step of attaching / detaching the reaction vessel to / from the heating device;
Have
The attaching / detaching step includes
Placing the first pedestal provided to cover the outer edge of the lower end of the outer tube on the lid, and detaching the outer tube by raising and lowering the lid;
The inner tube is supported by the support unit, a second pedestal provided to cover an outer edge of the lower end of the support unit is placed on the lid, and the inner tube and the Removing and attaching the support part;
Have
In the step of attaching / detaching the outer tube and the step of attaching / detaching the inner tube and the support part,
For the first pedestal or the second pedestal configured to be arranged concentrically with the axis of the lid,
At least a part of the lower surface of the first pedestal is overlaid on at least a part of the upper surface of the common attachment / detachment jig,
At least a part of the lower surface of the second pedestal is overlaid on at least a part of the upper surface of the common attachment / detachment jig,
A method for attaching and detaching the reaction vessel is provided in which the first pedestal or the second pedestal is placed on the lid through the common attachment / detachment jig.

より好ましくは、
前記共通着脱治具の上面は、前記第1台座の当接面及び前記第2台座の当接面に前記着脱治具の保持面を誘導可能で、前記第1台座の前記当接面及び前記第2台座の前記当接面のそれぞれに前記保持面を垂直方向に係合して水平方向に位置決めする。
More preferably,
The upper surface of the common detachable jig can guide the holding surface of the detachable jig to the contact surface of the first pedestal and the contact surface of the second pedestal, and the contact surface of the first pedestal and the contact surface of the first pedestal The holding surface is engaged with each of the contact surfaces of the second pedestal in the vertical direction and positioned in the horizontal direction.

より好ましくは、
前記第1台座の前記当接面及び前記第2台座の前記当接面にはそれぞればねピン受け穴が設けられ、前記共通着脱治具の前記保持面には、前記ばねピン受け穴に対応する位置にばねピンが設けられている。
More preferably,
Each of the contact surface of the first pedestal and the contact surface of the second pedestal is provided with a spring pin receiving hole, and the holding surface of the common attachment / detachment jig corresponds to the spring pin receiving hole. A spring pin is provided at the position.

より好ましくは、
前記第1台座及び前記第2台座がそれぞれ金属材料からなり、
前記蓋体の少なくとも上面及び前記共通着脱治具の少なくとも下側面が非金属材料からなる。
More preferably,
The first pedestal and the second pedestal are each made of a metal material,
At least the upper surface of the lid and at least the lower surface of the common attachment / detachment jig are made of a non-metallic material.

本発明の他の態様によれば、
基板を処理する処理室を内側に有する内管、前記内管を囲む外管、前記内管及び前記外管をそれぞれ下方から支持する支持部を備える反応容器と、
前記反応容器の外周側から前記基板を加熱する加熱装置と、
前記支持部の下端を閉塞する昇降可能な蓋体と、
前記外管の下端外縁を覆うように設けられた第1台座と、
前記支持部の下端外縁を覆うように設けられた第2台座と、
前記反応容器を前記加熱装置から着脱する際に前記蓋体に載置される共通着脱治具と、を備え
前記第1台座の下側面の少なくとも一部を共通着脱治具の上面の少なくとも一部に重なると共に、前記第2台座の下側面の少なくとも一部を前記共通着脱治具の前記上面の少なくとも一部に重なるように、前記第1台座及び前記第2台座が同心状に配置されるよう構成され、
前記共通着脱治具は、該共通着脱治具を介して前記外管を保持する前記第1台座を前記蓋体上に載置させ、前記内管及び前記支持部を保持する前記第2台座を前記蓋体上に載置させる
基板処理装置が提供される。
According to another aspect of the invention,
An inner tube having a processing chamber for processing a substrate inside, an outer tube surrounding the inner tube, a reaction vessel provided with a support part for supporting the inner tube and the outer tube from below, and
A heating device for heating the substrate from the outer peripheral side of the reaction vessel;
A liftable lid that closes the lower end of the support;
A first pedestal provided so as to cover the lower edge of the outer tube;
A second pedestal provided to cover an outer edge of the lower end of the support part;
A common attachment / detachment jig placed on the lid when attaching / detaching the reaction vessel from / from the heating device, wherein at least part of the lower surface of the first pedestal is at least part of the upper surface of the common attachment / detachment jig And the first pedestal and the second pedestal are arranged concentrically so that at least part of the lower surface of the second pedestal overlaps at least part of the upper surface of the common attachment / detachment jig. Configured,
The common attachment / detachment jig is configured to place the first pedestal holding the outer tube on the lid body via the common attachment / detachment jig, and to dispose the second pedestal holding the inner tube and the support portion. There is provided a substrate processing apparatus to be placed on the lid.

本発明のさらに他の態様によれば、
基板を処理する処理室を内側に有する内管、前記内管を囲む外管、前記内管及び前記外管をそれぞれ下方から支持する支持部を備える反応容器と、前記反応容器の外周側から前記基板を加熱する加熱装置と、前記支持部の下端を閉塞する昇降可能な蓋体と、を備えた基板処理装置に用いられる反応容器の着脱治具であって、
前記外管の下端外縁を覆うように設けられた前記第1台座を保持した状態で、又は前記内管を支持した前記支持部の下端外縁を覆うように設けられた第2台座を保持した状態で、前記蓋板上に載置される
反応容器の着脱治具が提供される。
According to yet another aspect of the invention,
An inner tube having a processing chamber for processing a substrate inside, an outer tube surrounding the inner tube, a reaction vessel provided with a support part for supporting the inner tube and the outer tube from below, and the outer periphery of the reaction vessel A reaction vessel attaching / detaching jig used in a substrate processing apparatus, comprising: a heating device that heats a substrate; and a liftable lid that closes a lower end of the support,
A state of holding the first pedestal provided to cover the outer edge of the lower end of the outer tube, or a state of holding the second pedestal provided to cover the outer edge of the lower end of the support portion supporting the inner tube. Thus, a jig for attaching and detaching the reaction vessel placed on the lid plate is provided.

200 ウエハ(基板)
201 処理室
204 インナチューブ(内管)
205 アウタチューブ(外管)
206 ヒータユニット(加熱装置)
207 反応容器
209 インレットアダプタ(支持部)
219 シールキャップ(蓋体)
400 共通着脱治具
601 第1台座
602 第2台座
200 wafer (substrate)
201 processing chamber 204 inner tube (inner tube)
205 Outer tube (outer tube)
206 Heater unit (heating device)
207 Reaction vessel 209 Inlet adapter (support)
219 Seal cap (lid)
400 Common attachment / detachment jig 601 First base 602 Second base

Claims (1)

処理室を内側に有する内管と、前記内管を囲む外管と、前記内管及び前記外管をそれぞれ下方から支持する支持部と、を備える反応容器の前記処理室内に基板を搬入して前記支持部の下端を蓋体により閉塞し、前記反応容器の外周側から加熱装置により前記基板を加熱して前記基板を処理する処理工程と、
前記加熱装置に対して前記反応容器を着脱する着脱工程と、
を有し、
前記着脱工程は、
前記外管の下端外縁を覆うように設けられた第1台座を前記蓋体上に載置し、前記蓋体を昇降させることで前記外管を着脱させる工程と、
前記支持部に前記内管を支持させ、前記支持部の下端外縁を覆うように設けられた第2台座を前記蓋体上に載置し、前記蓋体を昇降させることで前記内管及び前記支持部を着脱させる工程と、
を有し、
前記外管を着脱させる工程と、前記内管及び前記支持部を着脱させる工程と、では、
前記蓋体の軸心と同心状に配置するよう構成される前記第1台座又は前記第2台座に対し、
前記第1台座の下側面の少なくとも一部を共通着脱治具の上面の少なくとも一部に重ね、
前記第2台座の下側面の少なくとも一部を前記共通着脱治具の前記上面の少なくとも一部に重ね、
前記共通着脱治具を介して前記第1台座又は前記第2台座を前記蓋体上に載置する
ことを特徴とする反応容器の着脱方法。
A substrate is carried into the processing chamber of a reaction vessel comprising an inner tube having a processing chamber inside, an outer tube surrounding the inner tube, and a support part that supports the inner tube and the outer tube from below. A processing step of closing the lower end of the support portion with a lid, and processing the substrate by heating the substrate with a heating device from the outer peripheral side of the reaction vessel;
An attachment / detachment step of attaching / detaching the reaction vessel to / from the heating device;
Have
The attaching / detaching step includes
Placing the first pedestal provided to cover the outer edge of the lower end of the outer tube on the lid, and detaching the outer tube by raising and lowering the lid;
The inner tube is supported by the support unit, a second pedestal provided to cover an outer edge of the lower end of the support unit is placed on the lid, and the inner tube and the Removing and attaching the support part;
Have
In the step of attaching / detaching the outer tube and the step of attaching / detaching the inner tube and the support part,
For the first pedestal or the second pedestal configured to be arranged concentrically with the axis of the lid,
At least a part of the lower surface of the first pedestal is overlaid on at least a part of the upper surface of the common attachment / detachment jig,
At least a part of the lower surface of the second pedestal is overlaid on at least a part of the upper surface of the common attachment / detachment jig,
A method for attaching and detaching a reaction vessel, wherein the first pedestal or the second pedestal is placed on the lid through the common attachment / detachment jig.
JP2010089663A 2010-04-08 2010-04-08 Method of attaching and detaching reaction vessel Pending JP2011222710A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2010089663A JP2011222710A (en) 2010-04-08 2010-04-08 Method of attaching and detaching reaction vessel

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2010089663A JP2011222710A (en) 2010-04-08 2010-04-08 Method of attaching and detaching reaction vessel

Publications (1)

Publication Number Publication Date
JP2011222710A true JP2011222710A (en) 2011-11-04

Family

ID=45039314

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010089663A Pending JP2011222710A (en) 2010-04-08 2010-04-08 Method of attaching and detaching reaction vessel

Country Status (1)

Country Link
JP (1) JP2011222710A (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014056959A (en) * 2012-09-13 2014-03-27 Tokyo Electron Ltd Thermal treatment equipment
JP2020064949A (en) * 2018-10-16 2020-04-23 東京エレクトロン株式会社 Substrate processing apparatus, substrate loading method, and substrate processing method

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014056959A (en) * 2012-09-13 2014-03-27 Tokyo Electron Ltd Thermal treatment equipment
JP2020064949A (en) * 2018-10-16 2020-04-23 東京エレクトロン株式会社 Substrate processing apparatus, substrate loading method, and substrate processing method
JP7126425B2 (en) 2018-10-16 2022-08-26 東京エレクトロン株式会社 SUBSTRATE PROCESSING APPARATUS, SUBSTRATE LOADING METHOD, AND SUBSTRATE PROCESSING METHOD

Similar Documents

Publication Publication Date Title
JP5188326B2 (en) Semiconductor device manufacturing method, substrate processing method, and substrate processing apparatus
KR101042390B1 (en) Substrate processing apparatus and method of manufacturing semiconductor device
JP4814038B2 (en) Substrate processing apparatus and method for attaching / detaching reaction vessel
JP3398936B2 (en) Semiconductor processing equipment
US20090116936A1 (en) Substrate processing apparatus
JP2009224765A (en) Substrate processing apparatus
JP2008235830A (en) Vapor-phase growing apparatus
JP2010171388A (en) Substrate processing apparatus, method of manufacturing semiconductor device, and reaction tube for processing substrate
US20110318489A1 (en) Substrate processing apparatus, processing tube, substrate holder, fixing part of the substrate holder, substrate processing method, and substrate manufacturing method
JPWO2007018139A1 (en) Semiconductor device manufacturing method and substrate processing apparatus
CN112992769B (en) Substrate processing apparatus and mounting table
WO2005076343A1 (en) Substrate holding tool and substrate treating device for treating semiconductor
JP4652408B2 (en) Substrate processing apparatus, reaction tube, substrate processing method, and semiconductor device manufacturing method
JP2011222710A (en) Method of attaching and detaching reaction vessel
JP5753450B2 (en) Deposition equipment
JP4404666B2 (en) Substrate support, substrate processing apparatus, and semiconductor device manufacturing method
JP2010016080A (en) Substrate holding fixture attaching jig and semiconductor manufacturing equipment
JP2010093131A (en) Substrate processing apparatus
JP2012019081A (en) Substrate treatment apparatus, semiconductor device manufacturing method and substrate manufacturing method
JP7194805B2 (en) Gas supply unit, substrate processing apparatus, and semiconductor device manufacturing method
JP2008084902A (en) Substrate processing equipment
JP2018082041A (en) Metal organic chemical vapor deposition device
JP2010147174A (en) Substrate processing apparatus
JP2011199134A (en) Substrate processing device
JP2011129567A (en) Heat treatment apparatus