JP2011173868A - Cyclic compound and negative resist composition using the same - Google Patents

Cyclic compound and negative resist composition using the same Download PDF

Info

Publication number
JP2011173868A
JP2011173868A JP2011011832A JP2011011832A JP2011173868A JP 2011173868 A JP2011173868 A JP 2011173868A JP 2011011832 A JP2011011832 A JP 2011011832A JP 2011011832 A JP2011011832 A JP 2011011832A JP 2011173868 A JP2011173868 A JP 2011173868A
Authority
JP
Japan
Prior art keywords
group
carbon atoms
substituted
unsubstituted
formula
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2011011832A
Other languages
Japanese (ja)
Inventor
Takashi Kashiwamura
孝 柏村
Hideaki Shioya
英昭 塩谷
Takanori Owada
貴紀 大和田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Idemitsu Kosan Co Ltd
Original Assignee
Idemitsu Kosan Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Idemitsu Kosan Co Ltd filed Critical Idemitsu Kosan Co Ltd
Priority to JP2011011832A priority Critical patent/JP2011173868A/en
Publication of JP2011173868A publication Critical patent/JP2011173868A/en
Pending legal-status Critical Current

Links

Abstract

<P>PROBLEM TO BE SOLVED: To provide a cyclic compound having high sensitivity, and usable for a negative photoresist effective in reducing line edge roughness. <P>SOLUTION: The compound is represented by formula (1) (wherein, R represents epoxy-containing phenyl residue or the like; R<SP>1</SP>represents hydroxy or the like; and R<SP>2</SP>represents hydrogen atom or the like). <P>COPYRIGHT: (C)2011,JPO&INPIT

Description

本発明は、環状化合物及びそれを用いたネガ型レジスト組成物に関する。さらに詳しくは、半導体等の電気・電子分野や光学分野等で用いられる上記環状化合物からなるフォトレジスト基材、特に超微細加工用フォトレジスト基材に関する。   The present invention relates to a cyclic compound and a negative resist composition using the same. More specifically, the present invention relates to a photoresist base material composed of the above cyclic compound used in the electrical / electronic field and optical field such as semiconductor, and more particularly to a photoresist base material for ultrafine processing.

極端紫外光(Extream Ultra Violet、EUV)又は電子線によるリソグラフィーは、半導体等の製造において、高生産性、高解像度の微細加工方法として有用であり、それに用いる高感度、高解像度のフォトレジストを開発することが求められている。これらリソグラフィーにおいて使用するフォトレジストは、所望する微細パターンの生産性、解像度等の観点から、その感度を向上させることが欠かせない。   Lithography using extreme ultraviolet (EUV) or electron beam is useful as a high-productivity, high-resolution microfabrication method in the production of semiconductors, etc., and develops high-sensitivity, high-resolution photoresists for use in it. It is requested to do. It is indispensable to improve the sensitivity of the photoresist used in these lithography from the viewpoint of the productivity and resolution of the desired fine pattern.

極端紫外光による超微細加工の際に用いられるフォトレジストとしては、例えば、公知のKrFレーザーによる超微細加工の際に用いられていた化学増幅型ポリヒドロキシスチレン系フォトレジストが挙げられる。このレジストでは、50nm程度までの微細加工が可能であることが知られている。しかし、このレジストでは、極端紫外光による超微細加工の最大のメリットである50nm以細のパターンを作成すると、高感度、低レジストアウトガスをある程度まで実現できたとしても、最も重要なラインエッジラフネスを低減させることが不可能であるため、極端紫外光本来の性能を十分に引き出しているとは言えなかった。このような背景から、より高性能のフォトレジストを開発することが求められていた。   Examples of the photoresist used at the time of ultrafine processing using extreme ultraviolet light include chemically amplified polyhydroxystyrene-based photoresists used at the time of ultrafine processing using a known KrF laser. It is known that this resist can be finely processed up to about 50 nm. However, with this resist, the most important line edge roughness can be achieved even if high sensitivity and low resist outgas can be achieved to a certain extent by creating a pattern of 50 nm or less, which is the greatest merit of ultra-fine processing using extreme ultraviolet light. Since it cannot be reduced, it cannot be said that the original performance of extreme ultraviolet light has been sufficiently brought out. Against this background, it has been demanded to develop a higher performance photoresist.

この求めに応じ、例えば特許文献1,2に、様々なカリックスレゾルシナレン化合物が提案されている。また、特許文献3に示すように、ネガ型フォトレジスト用途としてカリックスレゾルシナレン化合物が提案されている。しかし、特許文献1に記載のカリックスレゾルシナレン化合物をネガ型フォトレジストに使用すると感度、ラインエッジラフネスの低減が十分ではないという問題がある。   In response to this demand, for example, Patent Documents 1 and 2 propose various calix resorcinarene compounds. Further, as shown in Patent Document 3, a calix resorcinarene compound has been proposed as a negative photoresist application. However, when the calix resorcinarene compound described in Patent Document 1 is used for a negative photoresist, there is a problem that sensitivity and line edge roughness are not sufficiently reduced.

特開2004−191913号公報JP 2004-191913 A 米国特許第6093517号明細書US Pat. No. 6,093,517 特開2009−173623号公報JP 2009-173623 A

本発明は、高感度、ラインエッジラフネスの低減に効果的なネガ型フォトレジストに用いることのできる環状化合物を提供することを目的とする。   An object of the present invention is to provide a cyclic compound that can be used in a negative photoresist that is highly sensitive and effective in reducing line edge roughness.

本発明によれば、以下の化合物等が提供される。
1.下記式(1)で表される化合物。

Figure 2011173868
(式中、Rは、下記式(2)〜(4)のいずれかで表される基である。
は、水酸基、置換もしくは無置換の炭素数1〜20の直鎖状アルコキシ基、置換もしくは無置換の炭素数3〜12の分岐アルコキシ基、置換もしくは無置換の炭素数3〜20の環状アルコキシ基、置換もしくは無置換の炭素数6〜10のアリーロキシ基、アルコキシアルコキシ基、シロキシ基、又はこれらの基と2価の基とが結合した基であり、
前記2価の基は、置換もしくは無置換のアルキレンオキシ基、置換もしくは無置換のアリーレンオキシ基、置換もしくは無置換のシリレンオキシ基、これらの基が2以上結合した基、又はこれらの基と、エステル結合、炭酸エステル結合又はエーテル結合が結合した基である。
は、水素原子、Rで表される基、炭素数1〜20の直鎖状脂肪族炭化水素基、炭素数3〜12の分岐脂肪族炭化水素基、炭素数3〜20の環状脂肪族炭化水素基、炭素数6〜10の芳香族基又は酸素原子を含む基である。
式(1)に複数あるR、R及びRは、それぞれ同じであっても異なっていてもよい。
Figure 2011173868
(式中、Arは、置換もしくは無置換の炭素数6〜10のアリーレン基、置換もしくは無置換の炭素数6〜10のアリーレン基を2つ以上組み合わせた基、又はアルキレン基及びエーテル結合から選択される1つ以上と置換もしくは無置換の炭素数6〜10のアリーレン基を組み合わせた基であり、
置換基を有する場合の置換基は、臭素、フッ素、ニトリル基、又は炭素数1〜10のアルキル基である。
は、式(5)で表される基である。
3’−L−O− (5)
Lは、下記式(6)〜(8)で表される基から選択される1つ又は2つ以上が連結されているものであり、任意の連結順を取る。式(6)〜(8)で表される基がそれぞれ複数含まれる場合、同一でも異なっていてもよい。
Figure 2011173868
(式中、R4’〜R7’はそれぞれ、水素原子、アルキル基又はヘテロ原子含有アルキル基であり、下記式(i)で表される脂環構造含有基と結合して環状構造を形成していてもよく、複数のR4’〜R7’が互いに結合して環状構造を形成していてもよい。)
3’は下記式(i)で表される基である。
Figure 2011173868
(式中、Nは、エポキシ基、オキセタニル基、ヒドロキシ基、アルコキシ基、アセトキシ基、窒素含有官能基、硫黄含有官能基、カルボニル基、カルボキシ基、アリールオキシ基、炭素−炭素2重結合から選択される1以上の基を有する炭素数1〜20の単官能性基又は多官能性基である。
は、ヘテロ原子、環状構造を有してもよい炭素数1〜10の2価の置換もしくは無置換の炭化水素基である。p及びqは、それぞれ独立に、0以上の整数であり、p及びqのうち少なくとも1つは1以上である。複数のRは同一であっても、異なっていてもよく、複数のNは同一であっても、異なっていてもよい。)
、Rは、それぞれ水素原子、置換もしくは無置換の炭素数1〜20の直鎖状脂肪族炭化水素基、置換もしくは無置換の炭素数3〜12の分岐脂肪族炭化水素基、置換もしくは無置換の炭素数3〜20の環状脂肪族炭化水素基、置換もしくは無置換の炭素数6〜12の芳香族基、又はこれら基のうち2以上を組み合わせた基である。
は、アルキレン基、エーテル結合、アルキレン基を2以上組み合わせた基、又はアルキレン基1以上とエーテル結合1以上を組み合わせた基である。
xは1〜5、yは0〜3、zは0〜4の整数である。
複数のR、R、R、Ar、A、L、x、y及びzは、それぞれ同じであっても異なっていてもよい。))
2.前記式(1)の同一芳香族環上のRのうち、少なくとも1つが水酸基である1に記載の化合物。
3.前記Nが酸素含有基又は窒素含有基である1又は2に記載の化合物。
4.1〜3のいずれか1項に記載の化合物及び溶剤を含有するフォトレジスト組成物。
5.光酸発生剤を含有する4に記載のフォトレジスト組成物。
6.塩基性有機化合物をクエンチャーとして含有する4又は5に記載のフォトレジスト組成物。
7.架橋剤を含有する4〜6のいずれか1項に記載のフォトレジスト組成物。
8.4〜7のいずれか1項に記載のフォトレジスト組成物を用いた微細加工方法。
9.8に記載の微細加工方法により作製した半導体装置。
10.9に記載の半導体装置を備えた装置。 According to the present invention, the following compounds and the like are provided.
1. A compound represented by the following formula (1).
Figure 2011173868
(In formula, R is group represented by either of following formula (2)-(4).
R 1 is a hydroxyl group, a substituted or unsubstituted linear alkoxy group having 1 to 20 carbon atoms, a substituted or unsubstituted branched alkoxy group having 3 to 12 carbon atoms, or a substituted or unsubstituted cyclic group having 3 to 20 carbon atoms. An alkoxy group, a substituted or unsubstituted aryloxy group having 6 to 10 carbon atoms, an alkoxyalkoxy group, a siloxy group, or a group in which these groups and a divalent group are bonded;
The divalent group includes a substituted or unsubstituted alkyleneoxy group, a substituted or unsubstituted aryleneoxy group, a substituted or unsubstituted silyleneoxy group, a group in which two or more of these groups are bonded, or a group thereof. A group having an ester bond, a carbonate ester bond or an ether bond.
R 2 is a hydrogen atom, a group represented by R 1 , a linear aliphatic hydrocarbon group having 1 to 20 carbon atoms, a branched aliphatic hydrocarbon group having 3 to 12 carbon atoms, or a cyclic group having 3 to 20 carbon atoms. An aliphatic hydrocarbon group, an aromatic group having 6 to 10 carbon atoms, or a group containing an oxygen atom.
A plurality of R, R 1 and R 2 in the formula (1) may be the same or different.
Figure 2011173868
(In the formula, Ar is selected from a substituted or unsubstituted arylene group having 6 to 10 carbon atoms, a group in which two or more substituted or unsubstituted arylene groups having 6 to 10 carbon atoms are combined, or an alkylene group and an ether bond. A combination of one or more of the above and a substituted or unsubstituted arylene group having 6 to 10 carbon atoms,
In the case of having a substituent, the substituent is bromine, fluorine, a nitrile group, or an alkyl group having 1 to 10 carbon atoms.
R 3 is a group represented by the formula (5).
R 3 ′ -L—O— (5)
L is one to which one or two or more selected from groups represented by the following formulas (6) to (8) are connected, and takes an arbitrary connection order. When a plurality of groups represented by formulas (6) to (8) are included, they may be the same or different.
Figure 2011173868
(In the formula, each of R 4 ′ to R 7 ′ is a hydrogen atom, an alkyl group or a heteroatom-containing alkyl group, and is bonded to an alicyclic structure-containing group represented by the following formula (i) to form a cyclic structure. And a plurality of R 4 ′ to R 7 ′ may be bonded to each other to form a cyclic structure.)
R 3 ′ is a group represented by the following formula (i).
Figure 2011173868
(In the formula, N is selected from an epoxy group, an oxetanyl group, a hydroxy group, an alkoxy group, an acetoxy group, a nitrogen-containing functional group, a sulfur-containing functional group, a carbonyl group, a carboxy group, an aryloxy group, and a carbon-carbon double bond. It is a C1-C20 monofunctional group or polyfunctional group which has 1 or more group formed.
R 8 is a heteroatom, a substituted or unsubstituted divalent hydrocarbon group having 1 to 10 carbon atoms which may have a cyclic structure. p and q are each independently an integer of 0 or more, and at least one of p and q is 1 or more. A plurality of R 8 may be the same or different, and a plurality of N may be the same or different. )
R 4 and R 5 are each a hydrogen atom, a substituted or unsubstituted linear aliphatic hydrocarbon group having 1 to 20 carbon atoms, a substituted or unsubstituted branched aliphatic hydrocarbon group having 3 to 12 carbon atoms, and a substituted group. Alternatively, it is an unsubstituted cyclic aliphatic hydrocarbon group having 3 to 20 carbon atoms, a substituted or unsubstituted aromatic group having 6 to 12 carbon atoms, or a group obtained by combining two or more of these groups.
A 1 is an alkylene group, an ether bond, a group in which two or more alkylene groups are combined, or a group in which one or more alkylene groups and one or more ether bonds are combined.
x is an integer of 1 to 5, y is 0 to 3, and z is an integer of 0 to 4.
A plurality of R 3 , R 4 , R 5 , Ar, A 1 , L, x, y, and z may be the same or different. ))
2. 2. The compound according to 1, wherein at least one of R 1 on the same aromatic ring of the formula (1) is a hydroxyl group.
3. 3. The compound according to 1 or 2, wherein N is an oxygen-containing group or a nitrogen-containing group.
The photoresist composition containing the compound and solvent of any one of 4.1-3.
5. 5. The photoresist composition according to 4, containing a photoacid generator.
6). 6. The photoresist composition according to 4 or 5, which contains a basic organic compound as a quencher.
7). The photoresist composition of any one of 4-6 containing a crosslinking agent.
A fine processing method using the photoresist composition according to any one of 8.4 to 7.
A semiconductor device manufactured by the microfabrication method described in 9.8.
A device comprising the semiconductor device according to 10.9.

本発明によれば、高感度、ラインエッジラフネスの低減に効果的なネガ型フォトレジストに用いることのできる環状化合物を提供できる。   ADVANTAGE OF THE INVENTION According to this invention, the cyclic compound which can be used for the negative photoresist effective in reduction of high sensitivity and line edge roughness can be provided.

本発明の化合物は下記式(1)で表される。

Figure 2011173868
式中、Rは、下記式(2)〜(4)のいずれかで表される基である。Rは、水酸基、置換もしくは無置換の炭素数1〜20(好ましくは1〜6)の直鎖状アルコキシ基、置換もしくは無置換の炭素数3〜12の分岐アルコキシ基、置換もしくは無置換の炭素数3〜20の環状アルコキシ基、置換もしくは無置換の炭素数6〜10のアリーロキシ基、アルコキシアルコキシ基、シロキシ基、又はこれらの基と2価の基とが結合した基である。好ましくは、同一芳香族環上のRのうち、少なくとも1つが水酸基である。さらに好ましくは、同一芳香族環上のRのうち、1つのみが水酸基である。より好ましくは、同一芳香族環上のRのうち、1つが水酸基であり、他の1つが置換もしくは無置換の炭素数1〜20の直鎖状アルコキシ基又は置換もしくは無置換の炭素数3〜12の分岐アルコキシ基である。よりさらに好ましくは、同一芳香族環上のRのうち、1つが水酸基であり、他の1つが置換もしくは無置換の炭素数1又は2の直鎖状アルコキシ基である。 The compound of the present invention is represented by the following formula (1).
Figure 2011173868
In the formula, R is a group represented by any of the following formulas (2) to (4). R 1 represents a hydroxyl group, a substituted or unsubstituted linear alkoxy group having 1 to 20 carbon atoms (preferably 1 to 6), a substituted or unsubstituted branched alkoxy group having 3 to 12 carbon atoms, a substituted or unsubstituted group. A cyclic alkoxy group having 3 to 20 carbon atoms, a substituted or unsubstituted aryloxy group having 6 to 10 carbon atoms, an alkoxyalkoxy group, a siloxy group, or a group in which these groups are bonded to a divalent group. Preferably, at least one of R 1 on the same aromatic ring is a hydroxyl group. More preferably, only one of R 1 on the same aromatic ring is a hydroxyl group. More preferably, one of R 1 on the same aromatic ring is a hydroxyl group and the other is a substituted or unsubstituted linear alkoxy group having 1 to 20 carbon atoms or a substituted or unsubstituted carbon number of 3 -12 branched alkoxy groups. More preferably, one of R 1 on the same aromatic ring is a hydroxyl group, and the other is a substituted or unsubstituted linear alkoxy group having 1 or 2 carbon atoms.

上記2価の基は、置換もしくは無置換のアルキレンオキシ基、置換もしくは無置換のアリーレンオキシ基、置換もしくは無置換のシリレンオキシ基、これらの基が2以上結合した基、又はこれらの基と、エステル結合(−CO−)、炭酸エステル結合(−CO−)又はエーテル結合(−O−)が結合した基である。
尚、上記の各基が置換しているときの置換基は、メチル基、エチル基等のアルキル基、ケトン基、エステル結合、アルコキシ基、ニトリル基、ニトロ基又は水酸基である。
は、水素原子、Rで表される基、炭素数1〜20の直鎖状脂肪族炭化水素基、炭素数3〜12の分岐脂肪族炭化水素基、炭素数3〜20の環状脂肪族炭化水素基、炭素数6〜10の芳香族基又は酸素原子を含む基である。
式(1)に複数あるR、R及びRは、それぞれ同じであっても異なっていてもよい。
The divalent group includes a substituted or unsubstituted alkyleneoxy group, a substituted or unsubstituted aryleneoxy group, a substituted or unsubstituted silyleneoxy group, a group in which two or more of these groups are bonded, or a group thereof. It is a group in which an ester bond (—CO 2 —), a carbonate ester bond (—CO 3 —) or an ether bond (—O—) is bonded.
The substituent when each of the above groups is substituted is an alkyl group such as a methyl group or an ethyl group, a ketone group, an ester bond, an alkoxy group, a nitrile group, a nitro group, or a hydroxyl group.
R 2 is a hydrogen atom, a group represented by R 1 , a linear aliphatic hydrocarbon group having 1 to 20 carbon atoms, a branched aliphatic hydrocarbon group having 3 to 12 carbon atoms, or a cyclic group having 3 to 20 carbon atoms. An aliphatic hydrocarbon group, an aromatic group having 6 to 10 carbon atoms, or a group containing an oxygen atom.
A plurality of R, R 1 and R 2 in the formula (1) may be the same or different.

Figure 2011173868
式中、Arは、置換もしくは無置換の炭素数6〜10のアリーレン基(例えばフェニレン基等)、置換もしくは無置換の炭素数6〜10のアリーレン基を2つ以上組み合わせた基、又はアルキレン基及びエーテル結合から選択される1つ以上と置換もしくは無置換の炭素数6〜10のアリーレン基を組み合わせた基であり、置換基を有する場合の置換基は、臭素、フッ素、ニトリル基、又は炭素数1〜10のアルキル基である。
Figure 2011173868
In the formula, Ar is a substituted or unsubstituted arylene group having 6 to 10 carbon atoms (for example, a phenylene group), a group obtained by combining two or more substituted or unsubstituted arylene groups having 6 to 10 carbon atoms, or an alkylene group. And a group in which one or more selected from ether bonds and a substituted or unsubstituted arylene group having 6 to 10 carbon atoms is combined, and in the case of having a substituent, the substituent is bromine, fluorine, nitrile group, or carbon It is a number 1-10 alkyl group.

は、式(5)で表される基である。
3’−L−O− (5)
Lは、下記式(6)〜(8)で表される基から選択される1つ又は2つ以上が連結されているものであり、任意の連結順を取る。式(6)〜(8)で表される基がそれぞれ複数含まれる場合、同一でも異なっていてもよい。

Figure 2011173868
式中、R4’〜R7’はそれぞれ、水素原子、アルキル基又はヘテロ原子含有アルキル基であり、下記式(i)で表される脂環構造含有基と結合して環状構造を形成していてもよく、複数のR4’〜R7’が互いに結合して環状構造を形成していてもよい。好ましくはR4’〜R7’はそれぞれ、水素原子又は炭素数1〜4のアルキル基である。 R 3 is a group represented by the formula (5).
R 3 ′ -L—O— (5)
L is one to which one or two or more selected from groups represented by the following formulas (6) to (8) are connected, and takes an arbitrary connection order. When a plurality of groups represented by formulas (6) to (8) are included, they may be the same or different.
Figure 2011173868
In the formula, each of R 4 ′ to R 7 ′ is a hydrogen atom, an alkyl group, or a heteroatom-containing alkyl group, and forms a cyclic structure by binding to an alicyclic structure-containing group represented by the following formula (i) A plurality of R 4 ′ to R 7 ′ may be bonded to each other to form a cyclic structure. Preferably, R 4 ′ to R 7 ′ are each a hydrogen atom or an alkyl group having 1 to 4 carbon atoms.

3’は下記式(i)で表される基である。

Figure 2011173868
式中、Nは、エポキシ基、オキセタニル基、ヒドロキシ基、アルコキシ基、アセトキシ基、窒素含有官能基、硫黄含有官能基、カルボニル基、アリールオキシ基、アルキルオキシ基、炭素−炭素2重結合から選択される1以上の基を有する炭素数1〜20の単官能性基又は多官能性基である。
は、ヘテロ原子、環状構造を有してもよい炭素数1〜10の2価の置換もしくは無置換の炭化水素基であり、好ましくは炭素数1〜6のアルキレン基である。p及びqは、それぞれ独立に、0以上の整数であり、p及びqのうち少なくとも1つは1以上である。pは好ましくは0〜1の整数である。qは好ましくは1〜2の整数であり、好ましくは1である。複数のRは同一であっても、異なっていてもよく、複数のNは同一であっても、異なっていてもよい。
の置換基は、メチル基、エチル基等のアルキル基、フェニル基、ナフチル基等のアリール基、ケトン基、エステル結合、アルコキシ基、ニトリル基、ニトロ基又は水酸基である。 R 3 ′ is a group represented by the following formula (i).
Figure 2011173868
In the formula, N is selected from an epoxy group, an oxetanyl group, a hydroxy group, an alkoxy group, an acetoxy group, a nitrogen-containing functional group, a sulfur-containing functional group, a carbonyl group, an aryloxy group, an alkyloxy group, and a carbon-carbon double bond. It is a C1-C20 monofunctional group or polyfunctional group which has 1 or more group formed.
R 8 is a heteroatom, a substituted or unsubstituted divalent hydrocarbon group having 1 to 10 carbon atoms which may have a cyclic structure, preferably an alkylene group having 1 to 6 carbon atoms. p and q are each independently an integer of 0 or more, and at least one of p and q is 1 or more. p is preferably an integer of 0 to 1. q is preferably an integer of 1 to 2, and preferably 1. A plurality of R 8 may be the same or different, and a plurality of N may be the same or different.
The substituent of R 8 is an alkyl group such as a methyl group or an ethyl group, an aryl group such as a phenyl group or a naphthyl group, a ketone group, an ester bond, an alkoxy group, a nitrile group, a nitro group, or a hydroxyl group.

、Rは、それぞれ水素原子、置換もしくは無置換の炭素数1〜20の直鎖状脂肪族炭化水素基、置換もしくは無置換の炭素数3〜12の分岐脂肪族炭化水素基、置換もしくは無置換の炭素数3〜20の環状脂肪族炭化水素基、置換もしくは無置換の炭素数6〜12の芳香族基、又はこれら基のうち2以上を組み合わせた基である。
は、アルキレン基、エーテル結合、アルキレン基を2以上組み合わせた基、又はアルキレン基1以上とエーテル結合1以上を組み合わせた基である。xは1〜5、好ましくは1である。yは0〜3、好ましくは1である。zは0〜4、好ましくは0である。複数のR、R、R、Ar、A、L、x、y及びzは、それぞれ同じであっても異なっていてもよい。
R 4 and R 5 are each a hydrogen atom, a substituted or unsubstituted linear aliphatic hydrocarbon group having 1 to 20 carbon atoms, a substituted or unsubstituted branched aliphatic hydrocarbon group having 3 to 12 carbon atoms, and a substituted group. Alternatively, it is an unsubstituted cyclic aliphatic hydrocarbon group having 3 to 20 carbon atoms, a substituted or unsubstituted aromatic group having 6 to 12 carbon atoms, or a group obtained by combining two or more of these groups.
A 1 is an alkylene group, an ether bond, a group in which two or more alkylene groups are combined, or a group in which one or more alkylene groups and one or more ether bonds are combined. x is 1 to 5, preferably 1. y is 0 to 3, preferably 1. z is 0 to 4, preferably 0. A plurality of R 3 , R 4 , R 5 , Ar, A 1 , L, x, y, and z may be the same or different.

炭素数1〜20の直鎖状脂肪族炭化水素(又はアルキル)基としては、メチル基、エチル基、プロピル基、ブチル基、ペンチル基、ヘキシル基、ヘプチル基、オクチル基等が好ましい。
炭素数3〜12の分岐脂肪族炭化水素(又はアルキル)基としては、t−ブチル基、iso−プロピル基、iso−ブチル基、2−エチルヘキシル基等が好ましい。
炭素数3〜20の環状脂肪族炭化水素(又はアルキル)基としては、シクロヘキシル基、ノルボルニル基、アダマンチル基、ビアダマンチル基、ジアダマンチル基等が好ましい。
炭素数6〜10の芳香族基としては、フェニル基、ナフチル基等が好ましい。
アルコキシアルキル基としては、メトキシメチル基、エトキシメチル基、アダマンチルオキシメチル基等が好ましい。
シリル基としては、トリメチルシリル基、t−ブチルジメチルシリル基等が好ましい。
As the linear aliphatic hydrocarbon (or alkyl) group having 1 to 20 carbon atoms, a methyl group, an ethyl group, a propyl group, a butyl group, a pentyl group, a hexyl group, a heptyl group, an octyl group, and the like are preferable.
As the branched aliphatic hydrocarbon (or alkyl) group having 3 to 12 carbon atoms, a t-butyl group, an iso-propyl group, an iso-butyl group, a 2-ethylhexyl group, and the like are preferable.
As the cyclic aliphatic hydrocarbon (or alkyl) group having 3 to 20 carbon atoms, a cyclohexyl group, norbornyl group, adamantyl group, biadamantyl group, diadamantyl group and the like are preferable.
As the aromatic group having 6 to 10 carbon atoms, a phenyl group, a naphthyl group, and the like are preferable.
As the alkoxyalkyl group, a methoxymethyl group, an ethoxymethyl group, an adamantyloxymethyl group and the like are preferable.
As the silyl group, a trimethylsilyl group, a t-butyldimethylsilyl group and the like are preferable.

尚、上記の各基は置換基を有していてもよく、具体的には、メチル基、エチル基等のアルキル基、ケトン基、エステル結合、アルコキシ基、ニトリル基、ニトロ基又は水酸基である。
直鎖状アルコキシ基、分岐アルコキシ基、環状アルコキシ基、アリーロキシ基、アルコキシアルコキシ基、シロキシ基、アルキレンオキシ基、アリーレンオキシ基、シリレンオキシ基としては、上記の対応する基が酸素原子と結合した1価又は2価の基が挙げられる。
アリーレン基、アルキレン基としては、上記の対応する2価の基が挙げられる。
Each of the above groups may have a substituent, specifically, an alkyl group such as a methyl group or an ethyl group, a ketone group, an ester bond, an alkoxy group, a nitrile group, a nitro group, or a hydroxyl group. .
As a linear alkoxy group, a branched alkoxy group, a cyclic alkoxy group, an aryloxy group, an alkoxyalkoxy group, a siloxy group, an alkyleneoxy group, an aryleneoxy group, and a silyleneoxy group, the above corresponding group is bonded to an oxygen atom. And a divalent group.
Examples of the arylene group and the alkylene group include the corresponding divalent groups.

式(i)のNは、炭素数1〜20の単官能性基又は多官能性基である。Nは、エポキシ基、オキセタニル基、ヒドロキシ基、アルコキシ基、アセトキシ基、窒素含有官能基(例えばアミノ基、アミド基)、硫黄含有官能基(例えばメルカプト基)、カルボニル基、カルボキシ基、アリールオキシ基、炭素−炭素2重結合から選択される1以上の基を有する。好ましくはエポキシ基、オキセタニル基、ヒドロキシ基、カルボキシ基を有する。これらは架橋を形成しうる基である。単官能性基又は多官能性基は脂肪族基(例えばアルキル基)及び/又は芳香族基を含んでもよい。   N in the formula (i) is a monofunctional group or polyfunctional group having 1 to 20 carbon atoms. N represents an epoxy group, an oxetanyl group, a hydroxy group, an alkoxy group, an acetoxy group, a nitrogen-containing functional group (for example, an amino group or an amide group), a sulfur-containing functional group (for example, a mercapto group), a carbonyl group, a carboxy group, or an aryloxy group. , Having one or more groups selected from carbon-carbon double bonds. Preferably it has an epoxy group, an oxetanyl group, a hydroxy group, or a carboxy group. These are groups capable of forming a crosslink. Monofunctional or polyfunctional groups may include aliphatic groups (eg, alkyl groups) and / or aromatic groups.

エポキシ基含有基として、グリシジル基、及びグリシジルオキシアルキル基、グリシジルオキシカルボニルアルキル基、グリシジルアミノ基等のグリシジル基含有基等が挙げられる。
ヒドロキシ基含有基として、ヒドロキシアルキル基等が挙げられる。
アミノ基含有基として、アミノ基、メチルアミノ基、ジメチルアミノ基、ジエチルアミノ基、ジメチロールアミノメチル基、ジエチロールアミノメチル基、ジエチルアミノメチル基、モルホリノメチル基等が挙げられる。
カルボニル基含有基として、ホルミル基、カルボキシ基、酸無水物基等が挙げられる。
炭素−炭素2重結含有基として、ビニル基、イソプロペニル基等が挙げられる。
Examples of the epoxy group-containing group include glycidyl groups and glycidyl group-containing groups such as glycidyloxyalkyl groups, glycidyloxycarbonylalkyl groups, and glycidylamino groups.
Examples of the hydroxy group-containing group include a hydroxyalkyl group.
Examples of the amino group-containing group include an amino group, a methylamino group, a dimethylamino group, a diethylamino group, a dimethylolaminomethyl group, a diethylolaminomethyl group, a diethylaminomethyl group, and a morpholinomethyl group.
Examples of the carbonyl group-containing group include a formyl group, a carboxy group, and an acid anhydride group.
Examples of the carbon-carbon double bond-containing group include a vinyl group and an isopropenyl group.

Nとして具体的には以下の基が挙げられるが、これらに限定されるものではない。

Figure 2011173868
式中、点線は結合位置を示す。 Specific examples of N include the following groups, but are not limited thereto.
Figure 2011173868
In the formula, the dotted line indicates the coupling position.

Nは、より好ましくはエポキシ基、カルボニル基等の酸素含有基、アミノ基、アミド基等の窒素含有基である。   N is more preferably an oxygen-containing group such as an epoxy group or a carbonyl group, or a nitrogen-containing group such as an amino group or an amide group.

上記各基の置換基として、Arの置換基を例示できる。   Examples of the substituent for each group include Ar substituents.

式(1)においてRが反応性基(第1の反応性基)を含む基であるカリックスレゾルシナレン(第1の前駆体)と、第2の反応性基を含む化合物(第2の前駆体)とを反応させると、第1の反応性基と第2の反応性基が反応、結合し、第1の反応性基を含む基と第2の前駆体が所望のRとなる。第2の前駆体は同時に1〜5種反応させることができる。
以下に具体的に製法を例示する。
In formula (1), R is a group containing a reactive group (first reactive group), calix resorcinarene (first precursor), and a compound containing a second reactive group (second precursor) The first reactive group and the second reactive group react and bond to each other, and the group containing the first reactive group and the second precursor become the desired R. The 2nd precursor can be made to react 1-5 types simultaneously.
The production method will be specifically exemplified below.

製法1
対応するカリックスレソルシナレン化合物、第2の前駆体、炭酸水素ナトリウム、溶媒としてジメチルホルムアミドを加え、窒素気流下80℃で加熱反応させた後、反応溶液を水に投入することにより生ずる沈殿をろ別、精製することによって得ることができる。
Manufacturing method 1
Corresponding calixresorcinalene compound, second precursor, sodium hydrogen carbonate, dimethylformamide as a solvent are added, and after heating and reacting at 80 ° C. under a nitrogen stream, precipitation caused by adding the reaction solution to water is generated. It can be obtained by filtration and purification.

製法2
対応するカリックスレソルシナレン化合物、第2の前駆体、水素化ナトリウム、溶媒としてテトラヒドロフランを加え、窒素気流下、0℃で反応させた後、反応溶液を水に投入し生ずる沈殿をろ別、精製することによって得ることができる。
Manufacturing method 2
Corresponding calixresorcinarene compound, second precursor, sodium hydride, tetrahydrofuran as a solvent was added and reacted at 0 ° C. under a nitrogen stream, then the reaction solution was poured into water and the resulting precipitate was filtered off. It can be obtained by purification.

製法3
対応するカリックスレソルシナレン化合物、第2の前駆体、溶媒としてN−メチルピロリドンを加え、窒素気流下、室温でトリエチルアミン、DBUを添加、反応させた後、反応溶液を水に投入することにより生ずる沈殿をろ別精製することによって得ることができる。
Manufacturing method 3
By adding N-methylpyrrolidone as the corresponding calixresorcinarene compound, second precursor, and solvent, adding triethylamine and DBU at room temperature under nitrogen flow, reacting them, and then pouring the reaction solution into water The resulting precipitate can be obtained by filtration and purification.

上記製法1,2,3において、溶媒としてジメチルホルムアミド、テトラヒドロフラン及びN−メチルピロリドンをそれぞれ用いているがこれらに限定されず、反応剤、原料が溶解すれば他の溶媒を用いてもよい。
他の溶媒としては、例えば含ハロゲン溶媒、含酸素溶媒、芳香族溶媒(トルエン)が挙げられる。
In the above production methods 1, 2, and 3, dimethylformamide, tetrahydrofuran, and N-methylpyrrolidone are used as the solvent, respectively. However, the present invention is not limited thereto, and other solvents may be used as long as the reactants and the raw materials are dissolved.
Examples of other solvents include halogen-containing solvents, oxygen-containing solvents, and aromatic solvents (toluene).

上記製法1,2,3において、反応剤の塩基として炭酸ナトリウム、炭酸水素ナトリウム、水素化ナトリウム、トリエチルアミン、DBUをそれぞれ用いているがこれらに限定されず、他の有機塩基、無機塩基を用いてもよい。
反応温度は、好ましくは−100℃〜100℃であり、特に好ましくは−50℃〜80℃である。
In the above production methods 1, 2, and 3, sodium carbonate, sodium hydrogen carbonate, sodium hydride, triethylamine, and DBU are used as the reactant base, but the invention is not limited thereto, and other organic bases and inorganic bases are used. Also good.
The reaction temperature is preferably −100 ° C. to 100 ° C., particularly preferably −50 ° C. to 80 ° C.

本発明のフォトレジスト組成物(ネガ型フォトレジスト組成物)は本発明の化合物(フォトレジスト基材)及び溶剤を含む。フォトレジスト基材の含有量は、溶剤を除く全組成物中で好ましくは50〜99.9重量%であり、より好ましくは75〜95重量%である。
フォトレジスト基材として用いる場合において、本発明のフォトレジスト基材は、1種類の化合物でもよく、2種以上の混合物でもよい。
The photoresist composition (negative photoresist composition) of the present invention contains the compound of the present invention (photoresist substrate) and a solvent. The content of the photoresist base material is preferably 50 to 99.9% by weight, more preferably 75 to 95% by weight in the entire composition excluding the solvent.
When used as a photoresist substrate, the photoresist substrate of the present invention may be a single compound or a mixture of two or more.

本発明のフォトレジスト組成物に使用される溶剤としては、例えば、エチレングリコールモノメチルエーテルアセテート、エチレングリコールモノエチルエーテルアセテート等のエチレングリコールモノアルキルエーテルアセテート類;エチレングリコールモノメチルエーテル、エチレングリコールモノエチルエーテル等のエチレングリコールモノアルキルエーテル類;プロピレングリコールモノメチルエーテルアセテート(PGMEA)、プロピレングリコールモノエチルエーテルアセテート等のプロピレングリコールモノアルキルエーテルアセテート類;プロピレングリコールモノメチルエーテル(PGME)、プロピレングリコールモノエチルエーテル等のプロピレングリコールモノアルキルエーテル類;乳酸メチル、乳酸エチル(EL)等の乳酸エステル類;酢酸メチル、酢酸エチル、酢酸プロピル、酢酸ブチル、プロピオン酸エチル(PE)等の脂肪族カルボン酸エステル類;3−メトキシプロピオン酸メチル、3−メトキシプロピオン酸エチル、3−エトキシプロピオン酸メチル、3−エトキシプロピオン酸エチル等の他のエステル類;トルエン、キシレン等の芳香族炭化水素類;2−ヘプタノン、3−ヘプタノン、4−ヘプタノン、シクロヘキサノン等のケトン類;テトラヒドロフラン、ジオキサン等の環状エーテル類、γ−ブチロラクトン等のラクトン類等を挙げることができるが、特に限定はされない。これらの溶剤は、単独で又は2種以上を使用することができる。   Examples of the solvent used in the photoresist composition of the present invention include ethylene glycol monoalkyl ether acetates such as ethylene glycol monomethyl ether acetate and ethylene glycol monoethyl ether acetate; ethylene glycol monomethyl ether, ethylene glycol monoethyl ether and the like. Ethylene glycol monoalkyl ethers; propylene glycol monoalkyl ether acetates such as propylene glycol monomethyl ether acetate (PGMEA) and propylene glycol monoethyl ether acetate; propylene glycols such as propylene glycol monomethyl ether (PGME) and propylene glycol monoethyl ether Monoalkyl ethers; methyl lactate, ethyl lactate (E Lactic acid esters such as methyl acetate, ethyl acetate, propyl acetate, butyl acetate and ethyl propionate (PE); methyl 3-methoxypropionate, ethyl 3-methoxypropionate, 3- Other esters such as methyl ethoxypropionate and ethyl 3-ethoxypropionate; aromatic hydrocarbons such as toluene and xylene; ketones such as 2-heptanone, 3-heptanone, 4-heptanone and cyclohexanone; tetrahydrofuran, dioxane Examples thereof include cyclic ethers such as lactones and lactones such as γ-butyrolactone, but are not particularly limited. These solvents can be used alone or in combination of two or more.

組成物中の溶剤以外の成分、即ちフォトレジスト固形分の量は所望のフォトレジスト層の膜厚を形成するために適する量とするのが好ましい。具体的にはフォトレジスト組成物の全重量の0.1〜50重量%が一般的であるが、用いる基材や溶剤の種類、あるいは、所望のフォトレジスト層の膜厚等に合わせて規定できる。溶剤は全組成物中好ましくは50〜99.9重量%配合する。   The components other than the solvent in the composition, that is, the amount of the photoresist solid content, is preferably set to an amount suitable for forming a desired film thickness of the photoresist layer. Specifically, it is generally 0.1 to 50% by weight of the total weight of the photoresist composition, but it can be defined according to the type of base material and solvent used, or the desired film thickness of the photoresist layer. . The solvent is preferably blended in an amount of 50 to 99.9% by weight in the entire composition.

本発明のフォトレジスト組成物は、基材の分子が、EUV及び/又は電子線に対して活性なクロモフォアを含み単独でフォトレジストとしての能力を示す場合には特に添加剤は必要としないが、フォトレジストとしての性能(感度)を増強する必要がある場合は、必要に応じて、クロモフォアとして光酸発生剤(PAG)等を含むことが一般的である。   The photoresist composition of the present invention does not require an additive particularly when the substrate molecule contains a chromophore active against EUV and / or electron beam and exhibits the ability as a photoresist alone. When it is necessary to enhance the performance (sensitivity) as a photoresist, a photoacid generator (PAG) or the like is generally included as a chromophore as necessary.

光酸発生剤としては、特に限定されず、化学増幅型レジスト用の酸発生剤として提案されているものを使用することができる。
このような酸発生剤としては、ヨードニウム塩やスルホニウム塩等のオニウム塩系酸発生剤、オキシムスルホネート系酸発生剤、ビスアルキル又はビスアリールスルホニルジアゾメタン類、ポリ(ビススルホニル)ジアゾメタン類等のジアゾメタン系酸発生剤、ニトロベンジルスルホネート系酸発生剤、イミノスルホネート系酸発生剤、ジスルホン系酸発生剤等多種のものが知られている。
The photoacid generator is not particularly limited, and those proposed as acid generators for chemically amplified resists can be used.
Examples of such acid generators include onium salt acid generators such as iodonium salts and sulfonium salts, oxime sulfonate acid generators, bisalkyl or bisarylsulfonyldiazomethanes, and diazomethanes such as poly (bissulfonyl) diazomethanes. There are various known acid generators, nitrobenzyl sulfonate acid generators, imino sulfonate acid generators, disulfone acid generators, and the like.

オニウム塩系酸発生剤としては、下記式(a−0)で表される酸発生剤が例示できる。

Figure 2011173868
[式中、R51は、直鎖、分岐鎖又は環状のアルキル基、又は直鎖、分岐鎖又は環状のフッ素化アルキル基を表し;R52は、水素原子、水酸基、ハロゲン原子、直鎖又は分岐鎖状のアルキル基、直鎖又は分岐鎖状のハロゲン化アルキル基、又は直鎖又は分岐鎖状のアルコキシ基であり;R53は置換基を有していてもよいアリール基であり;u’’は1〜3の整数である。] Examples of the onium salt acid generator include acid generators represented by the following formula (a-0).
Figure 2011173868
[Wherein, R 51 represents a linear, branched or cyclic alkyl group, or a linear, branched or cyclic fluorinated alkyl group; R 52 represents a hydrogen atom, a hydroxyl group, a halogen atom, linear or A branched alkyl group, a linear or branched halogenated alkyl group, or a linear or branched alkoxy group; R 53 is an optionally substituted aryl group; u '' Is an integer of 1 to 3. ]

式(a−0)において、R51は、直鎖、分岐鎖又は環状のアルキル基、又は直鎖、分岐鎖又は環状のフッ素化アルキル基を表す。
前記直鎖又は分岐鎖状のアルキル基としては、炭素数1〜10であることが好ましく、炭素数1〜8であることがさらに好ましく、炭素数1〜4であることが最も好ましい。
前記環状のアルキル基としては、炭素数4〜12であることが好ましく、炭素数5〜10であることがさらに好ましく、炭素数6〜10であることが最も好ましい。
前記フッ素化アルキル基としては、炭素数1〜10であることが好ましく、炭素数1〜8であることがさらに好ましく、炭素数1〜4であることが最も好ましい。また、フッ化アルキル基のフッ素化率(アルキル基中全水素原子の個数に対する置換したフッ素原子の個数の割合)は、好ましくは10〜100%、さらに好ましくは50〜100%であり、特に水素原子を全てフッ素原子で置換したものが、酸の強度が強くなるので好ましい。
51は、直鎖状アルキル基又はフッ素化アルキル基であることが最も好ましい。
In the formula (a-0), R 51 represents a linear, branched or cyclic alkyl group, or a linear, branched or cyclic fluorinated alkyl group.
The linear or branched alkyl group preferably has 1 to 10 carbon atoms, more preferably 1 to 8 carbon atoms, and most preferably 1 to 4 carbon atoms.
The cyclic alkyl group preferably has 4 to 12 carbon atoms, more preferably 5 to 10 carbon atoms, and most preferably 6 to 10 carbon atoms.
The fluorinated alkyl group preferably has 1 to 10 carbon atoms, more preferably 1 to 8 carbon atoms, and most preferably 1 to 4 carbon atoms. The fluorination rate of the fluorinated alkyl group (ratio of the number of substituted fluorine atoms to the total number of hydrogen atoms in the alkyl group) is preferably 10 to 100%, more preferably 50 to 100%, particularly hydrogen. Those in which all atoms are substituted with fluorine atoms are preferred because the strength of the acid is increased.
R 51 is most preferably a linear alkyl group or a fluorinated alkyl group.

52は、水素原子、水酸基、ハロゲン原子、直鎖、分岐鎖又は環状のアルキル基、直鎖、又は分岐鎖状のハロゲン化アルキル基、又は直鎖又は分岐鎖状のアルコキシ基である。
52において、ハロゲン原子としては、フッ素原子、臭素原子、塩素原子、ヨウ素原子等が挙げられ、フッ素原子が好ましい。
52において、アルキル基は、直鎖又は分岐鎖状であり、その炭素数は好ましくは1〜5、より好ましくは1〜4、最も好ましくは1〜3である。
52において、ハロゲン化アルキル基は、アルキル基中の水素原子の一部又は全部がハロゲン原子で置換された基である。ここでのアルキル基は、前記R52における「アルキル基」と同様のものが挙げられる。置換するハロゲン原子としては上記「ハロゲン原子」について説明したものと同様のものが挙げられる。ハロゲン化アルキル基において、水素原子の全個数の50〜100%がハロゲン原子で置換されていることが望ましく、全て置換されていることがより好ましい。
52において、アルコキシ基としては、直鎖状又は分岐鎖状であり、その炭素数は好ましくは1〜5、より好ましくは1〜4、最も好ましくは1〜3である。
52は、これらの中でも水素原子が好ましい。
R 52 represents a hydrogen atom, a hydroxyl group, a halogen atom, a linear, branched or cyclic alkyl group, a linear or branched halogenated alkyl group, or a linear or branched alkoxy group.
In R 52 , examples of the halogen atom include a fluorine atom, a bromine atom, a chlorine atom, and an iodine atom, and a fluorine atom is preferable.
In R52 , the alkyl group is linear or branched, and the carbon number thereof is preferably 1 to 5, more preferably 1 to 4, and most preferably 1 to 3.
In R 52 , the halogenated alkyl group is a group in which part or all of the hydrogen atoms in the alkyl group are substituted with halogen atoms. Examples of the alkyl group herein are the same as the “alkyl group” in R 52 . Examples of the halogen atom to be substituted include the same as those described above for the “halogen atom”. In the halogenated alkyl group, it is desirable that 50 to 100% of the total number of hydrogen atoms are substituted with halogen atoms, and it is more preferable that all are substituted.
In R 52 , the alkoxy group is linear or branched, and the carbon number thereof is preferably 1 to 5, more preferably 1 to 4, and most preferably 1 to 3.
Of these, R 52 is preferably a hydrogen atom.

53は置換基を有していてもよいアリール基であり、置換基を除いた基本環(母体環)の構造としては、ナフチル基、フェニル基、アントラセニル基等が挙げられ、本発明の効果やArFエキシマレーザー等の露光光の吸収の観点から、フェニル基が望ましい。
置換基としては、水酸基、低級アルキル基(直鎖又は分岐鎖状であり、その好ましい炭素数は5以下であり、特にメチル基が好ましい)等を挙げることができる。
53のアリール基としては、置換基を有しないものがより好ましい。
R 53 is an aryl group which may have a substituent, and examples of the structure of the basic ring (matrix ring) excluding the substituent include a naphthyl group, a phenyl group, an anthracenyl group, and the like. From the viewpoint of absorption of exposure light such as ArF excimer laser or the like, a phenyl group is desirable.
Examples of the substituent include a hydroxyl group and a lower alkyl group (straight or branched chain, preferably having 5 or less carbon atoms, particularly preferably a methyl group).
As the aryl group for R 53 , those having no substituent are more preferable.

u’’は1〜3の整数であり、2又は3であることが好ましく、特に3であることが望ましい。   u ″ is an integer of 1 to 3, preferably 2 or 3, and particularly preferably 3.

式(a−0)で表される酸発生剤の好ましいものとしては、以下の化学式で表されるものを挙げることができる。

Figure 2011173868
Preferable examples of the acid generator represented by the formula (a-0) include those represented by the following chemical formula.
Figure 2011173868

式(a−0)で表される酸発生剤は1種又は2種以上混合して用いることができる。
式(a−0)で表される酸発生剤の他のオニウム塩系酸発生剤としては、例えば下記式(a−1)又は(a−2)で表される化合物が挙げられる。

Figure 2011173868
[式中、R”〜R”,R”,R”は、それぞれ独立に、置換又は無置換のアリール基又はアルキル基を表し;R”は、直鎖、分岐又は環状のアルキル基又はフッ素化アルキル基を表し;R”〜R”のうち少なくとも1つはアリール基を表し、R”及びR”のうち少なくとも1つはアリール基を表す。] The acid generator represented by the formula (a-0) can be used alone or in combination of two or more.
Examples of other onium salt-based acid generators represented by the formula (a-0) include compounds represented by the following formula (a-1) or (a-2).
Figure 2011173868
[Wherein, R 1 ″ to R 3 ″, R 5 ″, R 6 ″ each independently represents a substituted or unsubstituted aryl group or an alkyl group; R 4 ″ represents linear, branched or cyclic Represents an alkyl group or a fluorinated alkyl group; at least one of R 1 ″ to R 3 ″ represents an aryl group, and at least one of R 5 ″ and R 6 ″ represents an aryl group.]

式(a−1)中、R”〜R”はそれぞれ独立に置換又は無置換のアリール基又はアルキル基を表す。R”〜R”のうち、少なくとも1つは置換又は無置換のアリール基を表す。R”〜R”のうち、2以上が置換又は無置換のアリール基であることが好ましく、R”〜R”の全てが置換又は無置換のアリール基であることが最も好ましい。 In formula (a-1), R 1 ″ to R 3 ″ each independently represents a substituted or unsubstituted aryl group or alkyl group. At least one of R 1 ″ to R 3 ″ represents a substituted or unsubstituted aryl group. Of R 1 ″ to R 3 ″, two or more are preferably substituted or unsubstituted aryl groups, and most preferably all of R 1 ″ to R 3 ″ are substituted or unsubstituted aryl groups.

”〜R”のアリール基としては、特に制限はなく、例えば、炭素数6〜20のアリール基であって、該アリール基は、その水素原子の一部又は全部がアルキル基、アルコキシ基、ハロゲン原子等で置換されていてもよく、されていなくてもよい。アリール基としては、安価に合成可能なことから、炭素数6〜10のアリール基が好ましい。具体的には、たとえばフェニル基、ナフチル基が挙げられる。 The aryl group for R 1 ″ to R 3 ″ is not particularly limited, and is, for example, an aryl group having 6 to 20 carbon atoms, in which part or all of the hydrogen atoms are alkyl or alkoxy. It may or may not be substituted with a group, a halogen atom or the like. The aryl group is preferably an aryl group having 6 to 10 carbon atoms because it can be synthesized at a low cost. Specific examples include a phenyl group and a naphthyl group.

前記アリール基の置換基であるアルキル基としては、炭素数1〜5のアルキル基が好ましく、メチル基、エチル基、プロピル基、n−ブチル基、tert−ブチル基が最も好ましい。
前記アリール基の置換基であるアルコキシ基としては、炭素数1〜5のアルコキシ基が好ましく、メトキシ基、エトキシ基が最も好ましい。
前記アリール基の置換基であるハロゲン原子としては、フッ素原子が好ましい。
The alkyl group that is a substituent of the aryl group is preferably an alkyl group having 1 to 5 carbon atoms, and most preferably a methyl group, an ethyl group, a propyl group, an n-butyl group, or a tert-butyl group.
The alkoxy group that is a substituent of the aryl group is preferably an alkoxy group having 1 to 5 carbon atoms, and most preferably a methoxy group or an ethoxy group.
The halogen atom that is a substituent of the aryl group is preferably a fluorine atom.

”〜R”のアルキル基としては、特に制限はなく、例えば炭素数1〜10の直鎖状、分岐状又は環状のアルキル基等が挙げられる。解像性に優れる点から、炭素数1〜5であることが好ましい。具体的には、メチル基、エチル基、n−プロピル基、イソプロピル基、n−ブチル基、イソブチル基、n−ペンチル基、シクロペンチル基、ヘキシル基、シクロヘキシル基、ノニル基、デカニル基等が挙げられ、解像性に優れ、また安価に合成可能なことから好ましいものとして、メチル基を挙げることができる。
これらの中で、R”〜R”は全てフェニル基であることが最も好ましい。
The alkyl group for R 1 "~R 3", is not particularly limited, for example, a straight, include branched or cyclic alkyl group. It is preferable that it is C1-C5 from the point which is excellent in resolution. Specific examples include a methyl group, an ethyl group, an n-propyl group, an isopropyl group, an n-butyl group, an isobutyl group, an n-pentyl group, a cyclopentyl group, a hexyl group, a cyclohexyl group, a nonyl group, and a decanyl group. A methyl group is preferable because it is excellent in resolution and can be synthesized at low cost.
Among these, it is most preferable that all of R 1 ″ to R 3 ″ are phenyl groups.

”は、直鎖、分岐又は環状のアルキル基又はフッ素化アルキル基を表す。
前記直鎖又は分岐のアルキル基としては、炭素数1〜10であることが好ましく、炭素数1〜8であることがさらに好ましく、炭素数1〜4であることが最も好ましい。
前記環状のアルキル基としては、前記R”で示したような環式基であって、炭素数4〜15であることが好ましく、炭素数4〜10であることがさらに好ましく、炭素数6〜10であることが最も好ましい。
前記フッ素化アルキル基としては、炭素数1〜10であることが好ましく、炭素数1〜8であることがさらに好ましく、炭素数1〜4であることが最も好ましい。また。該フッ化アルキル基のフッ素化率(アルキル基中のフッ素原子の割合)は、好ましくは10〜100%、さらに好ましくは50〜100%であり、特に水素原子を全てフッ素原子で置換したものが、酸の強度が強くなるので好ましい。
”としては、直鎖又は環状のアルキル基、又はフッ素化アルキル基であることが最も好ましい。
R 4 ″ represents a linear, branched or cyclic alkyl group or a fluorinated alkyl group.
The linear or branched alkyl group preferably has 1 to 10 carbon atoms, more preferably 1 to 8 carbon atoms, and most preferably 1 to 4 carbon atoms.
The cyclic alkyl group is a cyclic group as indicated by R 1 ″ and preferably has 4 to 15 carbon atoms, more preferably 4 to 10 carbon atoms, and more preferably 6 carbon atoms. Most preferably, it is -10.
The fluorinated alkyl group preferably has 1 to 10 carbon atoms, more preferably 1 to 8 carbon atoms, and most preferably 1 to 4 carbon atoms. Also. The fluorination rate of the fluorinated alkyl group (ratio of fluorine atoms in the alkyl group) is preferably 10 to 100%, more preferably 50 to 100%, and in particular, all hydrogen atoms are substituted with fluorine atoms. Since the strength of the acid is increased, it is preferable.
R 4 ″ is most preferably a linear or cyclic alkyl group or a fluorinated alkyl group.

式(a−2)中、R”及びR”はそれぞれ独立に置換又は無置換のアリール基又はアルキル基を表す。R”及びR”のうち、少なくとも1つは置換又は無置換のアリール基を表す。R”及びR”の全てが置換又は無置換のアリール基であることが好ましい。
”〜R”の置換又は無置換のアリール基としては、R”〜R”の置換又は無置換のアリール基と同様のものが挙げられる。
”〜R”のアルキル基としては、R”〜R”のアルキル基と同様のものが挙げられる。
これらの中で、R”〜R”は全てフェニル基であることが最も好ましい。
式(a−2)中のR”としては上記式(a−1)のR”と同様のものが挙げられる。
In formula (a-2), R 5 ″ and R 6 ″ each independently represents a substituted or unsubstituted aryl group or alkyl group. At least one of R 5 ″ and R 6 ″ represents a substituted or unsubstituted aryl group. All of R 5 ″ and R 6 ″ are preferably substituted or unsubstituted aryl groups.
Examples of the substituted or unsubstituted aryl group for R 5 ″ to R 6 ″ include the same as the substituted or unsubstituted aryl group for R 1 ″ to R 3 ″.
Examples of the alkyl group for R 5 ″ to R 6 ″ include the same as the alkyl group for R 1 ″ to R 3 ″.
Among these, it is most preferable that all of R 5 ″ to R 6 ″ are phenyl groups.
"As R 4 in the formula (a-1)" R 4 in the formula (a-2) include the same as.

式(a−1)、(a−2)で表されるオニウム塩系酸発生剤の具体例としては、ジフェニルヨードニウムのトリフルオロメタンスルホネート又はノナフルオロブタンスルホネート、ビス(4−tert−ブチルフェニル)ヨードニウムのトリフルオロメタンスルホネート又はノナフルオロブタンスルホネート、トリフェニルスルホニウムのトリフルオロメタンスルホネート、そのヘプタフルオロプロパンスルホネート又はそのノナフルオロブタンスルホネート、トリ(4−メチルフェニル)スルホニウムのトリフルオロメタンスルホネート、そのヘプタフルオロプロパンスルホネート又はそのノナフルオロブタンスルホネート、ジメチル(4−ヒドロキシナフチル)スルホニウムのトリフルオロメタンスルホネート、そのヘプタフルオロプロパンスルホネート又はそのノナフルオロブタンスルホネート、モノフェニルジメチルスルホニウムのトリフルオロメタンスルホネート、そのヘプタフルオロプロパンスルホネート又はそのノナフルオロブタンスルホネート、ジフェニルモノメチルスルホニウムのトリフルオロメタンスルホネート、そのヘプタフルオロプロパンスルホネート又はそのノナフルオロブタンスルホネート、(4−メチルフェニル)ジフェニルスルホニウムのトリフルオロメタンスルホネート、そのヘプタフルオロプロパンスルホネート又はそのノナフルオロブタンスルホネート、(4−メトキシフェニル)ジフェニルスルホニウムのトリフルオロメタンスルホネート、そのヘプタフルオロプロパンスルホネート又はそのノナフルオロブタンスルホネート、トリ(4−tert−ブチル)フェニルスルホニウムのトリフルオロメタンスルホネート、そのヘプタフルオロプロパンスルホネート又はそのノナフルオロブタンスルホネート、ジフェニル(1−(4−メトキシ)ナフチル)スルホニウムのトリフルオロメタンスルホネート、そのヘプタフルオロプロパンスルホネート又はそのノナフルオロブタンスルホネート等が挙げられる。また、これらのオニウム塩のアニオン部がメタンスルホネート、n−プロパンスルホネート、n−ブタンスルホネート、n−オクタンスルホネートに置き換えたオニウム塩も用いることができる。   Specific examples of the onium salt acid generators represented by formulas (a-1) and (a-2) include diphenyliodonium trifluoromethanesulfonate or nonafluorobutanesulfonate, bis (4-tert-butylphenyl) iodonium. Trifluoromethane sulfonate or nonafluorobutane sulfonate, triphenylsulfonium trifluoromethane sulfonate, its heptafluoropropane sulfonate or its nonafluorobutane sulfonate, tri (4-methylphenyl) sulfonium trifluoromethane sulfonate, its heptafluoropropane sulfonate or its Nonafluorobutanesulfonate, dimethyl (4-hydroxynaphthyl) sulfonium trifluoromethanesulfonate, its heptafluoropro Sulfonate or its nonafluorobutane sulfonate, monophenyldimethylsulfonium trifluoromethane sulfonate, its heptafluoropropane sulfonate or its nonafluorobutane sulfonate, diphenylmonomethylsulfonium trifluoromethane sulfonate, its heptafluoropropane sulfonate or its nonafluorobutane sulfonate, (4-methylphenyl) diphenylsulfonium trifluoromethanesulfonate, its heptafluoropropane sulfonate or its nonafluorobutane sulfonate, (4-methoxyphenyl) diphenylsulfonium trifluoromethanesulfonate, its heptafluoropropane sulfonate or its nonafluorobutane sulfonate, Bird (4 tert-butyl) phenylsulfonium trifluoromethanesulfonate, its heptafluoropropanesulfonate or its nonafluorobutanesulfonate, diphenyl (1- (4-methoxy) naphthyl) sulfonium trifluoromethanesulfonate, its heptafluoropropanesulfonate or its nonafluorobutane Examples include sulfonates. In addition, onium salts in which the anion portion of these onium salts is replaced with methanesulfonate, n-propanesulfonate, n-butanesulfonate, or n-octanesulfonate can also be used.

また、前記式(a−1)又は(a−2)において、アニオン部を下記式(a−3)又は(a−4)で表されるアニオン部に置き換えたオニウム塩系酸発生剤も用いることができる(カチオン部は(a−1)又は(a−2)と同様)。

Figure 2011173868
[式中、X”は、少なくとも1つの水素原子がフッ素原子で置換された炭素数2〜6のアルキレン基を表し;Y”,Z”は、それぞれ独立に、少なくとも1つの水素原子がフッ素原子で置換された炭素数1〜10のアルキル基を表す。] Moreover, the onium salt type acid generator which replaced the anion part by the anion part represented by the following formula (a-3) or (a-4) in the said formula (a-1) or (a-2) is also used. (The cation moiety is the same as (a-1) or (a-2)).
Figure 2011173868
[Wherein X ″ represents an alkylene group having 2 to 6 carbon atoms in which at least one hydrogen atom is substituted with a fluorine atom; Y ″ and Z ″ each independently represent at least one hydrogen atom as a fluorine atom; Represents an alkyl group having 1 to 10 carbon atoms and substituted with

X”は、少なくとも1つの水素原子がフッ素原子で置換された直鎖状又は分岐状のアルキレン基であり、該アルキレン基の炭素数は2〜6であり、好ましくは炭素数3〜5、最も好ましくは炭素数3である。
Y”,Z”は、それぞれ独立に、少なくとも1つの水素原子がフッ素原子で置換された直鎖状又は分岐状のアルキル基であり、該アルキル基の炭素数は1〜10であり、好ましくは炭素数1〜7、より好ましくは炭素数1〜3である。
X ″ is a linear or branched alkylene group in which at least one hydrogen atom is substituted with a fluorine atom, and the alkylene group has 2 to 6 carbon atoms, preferably 3 to 5 carbon atoms, Preferably it is C3.
Y ″ and Z ″ are each independently a linear or branched alkyl group in which at least one hydrogen atom is substituted with a fluorine atom, and the alkyl group has 1 to 10 carbon atoms, preferably It is C1-C7, More preferably, it is C1-C3.

X”のアルキレン基の炭素数又はY”,Z”のアルキル基の炭素数は、上記炭素数の範囲内において、レジスト溶剤への溶解性も良好である等の理由により、小さいほど好ましい。   The carbon number of the alkylene group of X ″ or the carbon number of the alkyl group of Y ″ and Z ″ is preferably as small as possible within the range of the above carbon number for reasons such as good solubility in a resist solvent.

また、X”のアルキレン基又はY”,Z”のアルキル基において、フッ素原子で置換されている水素原子の数が多いほど、酸の強度が強くなり、また200nm以下の高エネルギー光や電子線に対する透明性が向上するので好ましい。該アルキレン基又はアルキル基中のフッ素原子の割合、即ちフッ素化率は、好ましくは70〜100%、さらに好ましくは90〜100%であり、最も好ましくは、全ての水素原子がフッ素原子で置換されたパーフルオロアルキレン基又はパーフルオロアルキル基である。   In addition, in the alkylene group of X ″ or the alkyl group of Y ″ and Z ″, the strength of the acid increases as the number of hydrogen atoms substituted by fluorine atoms increases, and high-energy light or electron beam of 200 nm or less The ratio of fluorine atoms in the alkylene group or alkyl group, that is, the fluorination rate is preferably 70 to 100%, more preferably 90 to 100%, and most preferably all. Are a perfluoroalkylene group or a perfluoroalkyl group in which a hydrogen atom is substituted with a fluorine atom.

本発明において、光酸発生剤として以下の式(40)〜(45)で示される化合物も使用できる。

Figure 2011173868
In the present invention, compounds represented by the following formulas (40) to (45) can also be used as a photoacid generator.
Figure 2011173868

式(40)中、Qはアルキレン基、アリーレン基又はアルコキシレン基であり、R15はアルキル基、アリール基、ハロゲン置換アルキル基又はハロゲン置換アリール基である。 In formula (40), Q is an alkylene group, an arylene group or an alkoxylene group, and R 15 is an alkyl group, an aryl group, a halogen-substituted alkyl group or a halogen-substituted aryl group.

前記式(40)で示される化合物は、N−(トリフルオロメチルスルホニルオキシ)スクシンイミド、N−(トリフルオロメチルスルホニルオキシ)フタルイミド、N−(トリフルオロメチルスルホニルオキシ)ジフェニルマレイミド、N−(トリフルオロメチルスルホニルオキシ)ビシクロ[2.2.1]へプト−5−エン−2,3−ジカルボキシイミド、N−(トリフルオロメチルスルホニルオキシ)ナフチルイミド、N−(10−カンファースルホニルオキシ)スクシンイミド、N−(10−カンファースルホニルオキシ)フタルイミド、N−(10−カンファースルホニルオキシ)ジフェニルマレイミド、N−(10−カンファースルホニルオキシ)ビシクロ[2.2.1]へプト−5−エン−2,3−ジカルボキシイミド、N−(10−カンファースルホニルオキシ)ナフチルイミド、N−(n−オクタンスルホニルオキシ)ビシクロ[2.2.1]へプト−5−エン−2,3−ジカルボキシイミド、N−(n−オクタンスルホニルオキシ)ナフチルイミド、N−(p−トルエンスルホニルオキシ)ビシクロ[2.2.1]ヘプト−5−エン−2,3−ジカルボキシイミド、N−(p−トルエンスルホニルオキシ)ナフチルイミド、N−(2−トリフルオロメチルベンゼンスルホニルオキシ)ビシクロ[2.2.1]へプト−5−エン−2,3−ジカルボキシイミド、N−(2−トリフルオロメチルベンゼンスルホニルオキシ)ナフチルイミド、N−(4−トリフルオロメチルベンゼンスルホニルオキシ)ビシクロ[2.2.1]へプト−5−エン−2,3−ジカルボキシイミド、N−(4−トリフルオロメチルベンゼンスルホニルオキシ)ナフチルイミド、N−(パーフルオロベンゼンスルホニルオキシ)ビシクロ[2.2.1]ヘプト−5−エン−2,3−ジカルボキシイミド、N−(パーフルオロベンゼンスルホニルオキシ)ナフチルイミド、N−(1−ナフタレンスルホニルオキシ)ビシクロ[2.2.1]ヘプト−5−エン−2,3−ジカルボキシイミド、N−(1−ナフタレンスルホニルオキシ)ナフチルイミド、N−(ノナフルオロ−n−ブタンスルホニルオキシ)ビシクロ[2.2.1]ヘプト−5−エン−2,3−ジカルボキシイミド、N−(ノナフルオロ−n−ブタンスルホニルオキシ)ナフチルイミド、N−(パーフルオロ−n−オクタンスルホニルオキシ)ビシクロ[2.2.1]へプト−5−エンー2,3−ジカルボキシイミド及びN−(パーフルオロ−n−オクタンスルホニルオキシ)ナフチルイミドからなる群から選択される少なくとも一種類であることが好ましい。   The compound represented by the formula (40) includes N- (trifluoromethylsulfonyloxy) succinimide, N- (trifluoromethylsulfonyloxy) phthalimide, N- (trifluoromethylsulfonyloxy) diphenylmaleimide, N- (trifluoro Methylsulfonyloxy) bicyclo [2.2.1] hept-5-ene-2,3-dicarboximide, N- (trifluoromethylsulfonyloxy) naphthylimide, N- (10-camphorsulfonyloxy) succinimide, N- (10-camphorsulfonyloxy) phthalimide, N- (10-camphorsulfonyloxy) diphenylmaleimide, N- (10-camphorsulfonyloxy) bicyclo [2.2.1] hept-5-ene-2,3 -Dicarboximide, N- ( 0-camphorsulfonyloxy) naphthylimide, N- (n-octanesulfonyloxy) bicyclo [2.2.1] hept-5-ene-2,3-dicarboximide, N- (n-octanesulfonyloxy) Naphthylimide, N- (p-toluenesulfonyloxy) bicyclo [2.2.1] hept-5-ene-2,3-dicarboximide, N- (p-toluenesulfonyloxy) naphthylimide, N- (2 -Trifluoromethylbenzenesulfonyloxy) bicyclo [2.2.1] hept-5-ene-2,3-dicarboximide, N- (2-trifluoromethylbenzenesulfonyloxy) naphthylimide, N- (4 -Trifluoromethylbenzenesulfonyloxy) bicyclo [2.2.1] hept-5-ene-2,3-dicarboxy Imido, N- (4-trifluoromethylbenzenesulfonyloxy) naphthylimide, N- (perfluorobenzenesulfonyloxy) bicyclo [2.2.1] hept-5-ene-2,3-dicarboximide, N- (Perfluorobenzenesulfonyloxy) naphthylimide, N- (1-naphthalenesulfonyloxy) bicyclo [2.2.1] hept-5-ene-2,3-dicarboximide, N- (1-naphthalenesulfonyloxy) Naphthylimide, N- (nonafluoro-n-butanesulfonyloxy) bicyclo [2.2.1] hept-5-ene-2,3-dicarboximide, N- (nonafluoro-n-butanesulfonyloxy) naphthylimide, N- (perfluoro-n-octanesulfonyloxy) bicyclo [2.2.1] hept It is preferably at least one selected from the group consisting of to-5-ene-2,3-dicarboximide and N- (perfluoro-n-octanesulfonyloxy) naphthylimide.

Figure 2011173868
式(41)中、R16は、同一でも異なっていてもよく、それぞれ独立に、任意に置換された直鎖、分枝又は環状アルキル基、任意に置換されたアリール基、任意に置換されたヘテロアリール基又は任意に置換されたアラルキル基である。
Figure 2011173868
In formula (41), R 16 may be the same or different and each independently represents an optionally substituted linear, branched or cyclic alkyl group, an optionally substituted aryl group, and optionally substituted. A heteroaryl group or an optionally substituted aralkyl group.

前記式(41)で示される化合物は、ジフェニルジスルフォン、ジ(4−メチルフェニル)ジスルフォン、ジナフチルジスルフォン、ジ(4−tert−ブチルフェニル)ジスルフォン、ジ(4−ヒドロキシフェニル)ジスルフォン、ジ(3−ヒドロキシナフチル)ジスルフォン、ジ(4−フルオロフェニル)ジスルフォン、ジ(2−フルオロフェニル)ジスルフォン及びジ(4−トルフルオロメチルフェニル)ジスルフォンからなる群から選択される少なくとも一種類であることが好ましい。   The compound represented by the formula (41) includes diphenyl disulfone, di (4-methylphenyl) disulfone, dinaphthyl disulfone, di (4-tert-butylphenyl) disulfone, di (4-hydroxyphenyl) disulfone, di It must be at least one selected from the group consisting of (3-hydroxynaphthyl) disulfone, di (4-fluorophenyl) disulfone, di (2-fluorophenyl) disulfone and di (4-toluromethylphenyl) disulfone. preferable.

Figure 2011173868
式(42)中、R17は、同一でも異なっていてもよく、それぞれ独立に、任意に置換された直鎖、分枝又は環状アルキル基、任意に置換されたアリール基、任意に置換されたヘテロアリール基又は任意に置換されたアラルキル基である。
Figure 2011173868
In formula (42), R 17 may be the same or different and each independently represents an optionally substituted linear, branched or cyclic alkyl group, an optionally substituted aryl group, and optionally substituted. A heteroaryl group or an optionally substituted aralkyl group.

前記式(42)で示される化合物は、α−(メチルスルホニルオキシイミノ)−フェニルアセトニトリル、α−(メチルスルホニルオキシイミノ)−4−メトキシフェニルアセトニトリル、α−(トリフルオロメチルスルホニルオキシイミノ)−フェニルアセトニトリル、α−(トリフルオロメチルスルホニルオキシイミノ)−4−メトキシフェニルアセトニトリル、α−(エチルスルホニルオキシイミノ)−4−メトキシフェニルアセトニトリル、α−(プロピルスルホニルオキシイミノ)−4−メチルフェニルアセトニトリル及びα−(メチルスルホニルオキシイミノ)−4−ブロモフェニルアセトニトリルからなる群から選択される少なくとも一種類であることが好ましい。   The compound represented by the formula (42) is α- (methylsulfonyloxyimino) -phenylacetonitrile, α- (methylsulfonyloxyimino) -4-methoxyphenylacetonitrile, α- (trifluoromethylsulfonyloxyimino) -phenyl. Acetonitrile, α- (trifluoromethylsulfonyloxyimino) -4-methoxyphenylacetonitrile, α- (ethylsulfonyloxyimino) -4-methoxyphenylacetonitrile, α- (propylsulfonyloxyimino) -4-methylphenylacetonitrile and α It is preferably at least one selected from the group consisting of-(methylsulfonyloxyimino) -4-bromophenylacetonitrile.

Figure 2011173868
式(43)中、R18は、同一でも異なっていてもよく、それぞれ独立に、1以上の塩素原子及び1以上の臭素原子を有するハロゲン化アルキル基である。ハロゲン化アルキル基の炭素原子数は1〜5が好ましい。
Figure 2011173868
In formula (43), R 18 may be the same or different and each independently represents a halogenated alkyl group having one or more chlorine atoms and one or more bromine atoms. The halogenated alkyl group preferably has 1 to 5 carbon atoms.

Figure 2011173868
Figure 2011173868

式(44)及び(45)中、R19及びR20はそれぞれ独立に、メチル基、エチル基、n−プロピル基、イソプロピル基等の炭素原子数1〜3のアルキル基、シクロペンチル基、シクロヘキシル基等のシクロアルキル基、メトキシ基、エトキシ基、プロポキシ基等の炭素原子数1〜3のアルコキシ基、又はフェニル基、トルイル基、ナフチル基等のアリール基であり、好ましくは、炭素原子数6〜10のアリール基である。
19及びL20はそれぞれ独立に1,2−ナフトキノンジアジド基を有する有機基である。1,2−ナフトキノンジアジド基を有する有機基としては、具体的には、1,2−ナフトキノンジアジド−4−スルホニル基、1,2−ナフトキノンジアジド−5−スルホニル基、1,2−ナフトキノンジアジド−6−スルホニル基等の1,2−キノンジアジドスルホニル基を好ましいものとして挙げることができる。特に、1,2−ナフトキノンジアジド−4−スルホニル基及び1,2−ナフトキノンジアジド−5−スルホニル基が好ましい。
pは1〜3の整数、qは0〜4の整数、かつ1≦p+q≦5である。
19は単結合、炭素原子数1〜4のポリメチレン基、シクロアルキレン基、フェニレン基、下記式(44a)で表わされる基、カルボニル基、エステル結合、アミド結合又はエーテル結合を有する基である。
In formulas (44) and (45), R 19 and R 20 are each independently an alkyl group having 1 to 3 carbon atoms such as a methyl group, an ethyl group, an n-propyl group or an isopropyl group, a cyclopentyl group, or a cyclohexyl group. A cycloalkyl group such as methoxy group, ethoxy group, propoxy group or the like, or an aryl group such as phenyl group, toluyl group or naphthyl group, preferably 6 to 6 carbon atoms. 10 aryl groups.
L 19 and L 20 are each independently an organic group having a 1,2-naphthoquinonediazide group. Specific examples of the organic group having a 1,2-naphthoquinonediazide group include a 1,2-naphthoquinonediazide-4-sulfonyl group, a 1,2-naphthoquinonediazide-5-sulfonyl group, and a 1,2-naphthoquinonediazide- A 1,2-quinonediazidosulfonyl group such as a 6-sulfonyl group can be mentioned as a preferable one. In particular, 1,2-naphthoquinonediazide-4-sulfonyl group and 1,2-naphthoquinonediazide-5-sulfonyl group are preferable.
p is an integer of 1 to 3, q is an integer of 0 to 4, and 1 ≦ p + q ≦ 5.
J 19 is a group having a single bond, a polymethylene group having 1 to 4 carbon atoms, a cycloalkylene group, a phenylene group, a group represented by the following formula (44a), a carbonyl group, an ester bond, an amide bond or an ether bond.

19はそれぞれ独立に水素原子、アルキル基又はアリール基であり、X20は、それぞれ独立に下記式(45a)で示される基である。

Figure 2011173868
式(45a)中、Z22はそれぞれ独立に、アルキル基、シクロアルキル基又はアリール基であり、R22はそれぞれ独立に、アルキル基、シクロアルキル基又はアルコキシ基であり、rは0〜3の整数である。 Y 19 is each independently a hydrogen atom, an alkyl group or an aryl group, and X 20 is each independently a group represented by the following formula (45a).
Figure 2011173868
In the formula (45a), Z 22 each independently represents an alkyl group, a cycloalkyl group, or an aryl group, each R 22 independently represents an alkyl group, a cycloalkyl group, or an alkoxy group, and r is 0 to 3 It is an integer.

その他の酸発生剤として、ビス(p−トルエンスルホニル)ジアゾメタン、ビス(2,4−ジメチルフェニルスルホニル)ジアゾメタン、ビス(tert−ブチルスルホニル)ジアゾメタン、ビス(n−ブチルスルホニル)ジアゾメタン、ビス(イソブチルスルホニル)ジアゾメタン、ビス(イソプロピルスルホニル)ジアゾメタン、ビス(n−プロピルスルホニル)ジアゾメタン、ビス(シクロヘキシルスルホニル)ジアゾメタン、ビス(イソプロピルスルホニル)ジアゾメタン、1,3−ビス(シクロヘキシルスルホニルアゾメチルスルホニル)プロパン、1,4−ビス(フェニルスルホニルアゾメチルスルホニル)ブタン、1,6−ビス(フェニルスルホニルアゾメチルスルホニル)ヘキサン、1,10−ビス(シクロヘキシルスルホニルアゾメチルスルホニル)デカン等のビススルホニルジアゾメタン類、2−(4−メトキシフェニル)−4,6−(ビストリクロロメチル)−1,3,5−トリアジン、2−(4−メトキシナフチル)−4,6−(ビストリクロロメチル)−1,3,5−トリアジン、トリス(2,3−ジブロモプロピル)−1,3,5−トリアジン、トリス(2,3−ジブロモプロピル)イソシアヌレート等のハロゲン含有トリアジン誘導体等が挙げられる。   Other acid generators include bis (p-toluenesulfonyl) diazomethane, bis (2,4-dimethylphenylsulfonyl) diazomethane, bis (tert-butylsulfonyl) diazomethane, bis (n-butylsulfonyl) diazomethane, bis (isobutylsulfonyl) ) Diazomethane, bis (isopropylsulfonyl) diazomethane, bis (n-propylsulfonyl) diazomethane, bis (cyclohexylsulfonyl) diazomethane, bis (isopropylsulfonyl) diazomethane, 1,3-bis (cyclohexylsulfonylazomethylsulfonyl) propane, 1,4 -Bis (phenylsulfonylazomethylsulfonyl) butane, 1,6-bis (phenylsulfonylazomethylsulfonyl) hexane, 1,10-bis (cyclohexylsulfo) Bissulfonyldiazomethanes such as (ruazomethylsulfonyl) decane, 2- (4-methoxyphenyl) -4,6- (bistrichloromethyl) -1,3,5-triazine, 2- (4-methoxynaphthyl) -4 , 6- (bistrichloromethyl) -1,3,5-triazine, tris (2,3-dibromopropyl) -1,3,5-triazine, tris (2,3-dibromopropyl) isocyanurate And triazine derivatives.

これらの光酸発生剤の中で、特に好ましくは活性光線又は放射線の作用により有機スルホン酸を発生する化合物が好ましい。   Of these photoacid generators, compounds that generate an organic sulfonic acid by the action of actinic rays or radiation are particularly preferred.

PAGの配合量は、溶剤を除く全組成物中0〜40重量%、好ましくは5〜30重量%、さらに好ましくは5〜20重量%である。   The blending amount of PAG is 0 to 40% by weight, preferably 5 to 30% by weight, more preferably 5 to 20% by weight in the total composition excluding the solvent.

本発明においては、放射線照射により酸発生剤から生じた酸のレジスト膜中における拡散を制御して、未露光領域での好ましくない化学反応を阻止する作用等を有する酸拡散制御剤(クエンチャー)をフォトレジスト組成物に配合してもよい。この様な酸拡散制御剤を使用することにより、フォトレジスト組成物の貯蔵安定性が向上する。また解像度が向上するとともに、電子線照射前の引き置き時間、電子線照射後の引き置き時間の変動によるレジストパターンの線幅変化を抑えることができ、プロセス安定性に極めて優れたものとなる。   In the present invention, an acid diffusion control agent (quencher) having an action of controlling an undesired chemical reaction in an unexposed region by controlling diffusion of an acid generated from an acid generator by irradiation in a resist film. May be blended in the photoresist composition. By using such an acid diffusion controller, the storage stability of the photoresist composition is improved. Further, the resolution is improved, and a change in the line width of the resist pattern due to fluctuations in the holding time before electron beam irradiation and the holding time after electron beam irradiation can be suppressed, and the process stability is extremely excellent.

このような酸拡散制御剤としては、例えば、n−ヘキシルアミン、n−ヘプチルアミン、n−オクチルアミン、n−ノニルアミン、n−デシルアミン等のモノアルキルアミン;ジエチルアミン、ジ−n−プロピルアミン、ジ−n−ヘプチルアミン、ジ−n−オクチルアミン、ジシクロヘキシルアミン等のジアルキルアミン;トリメチルアミン、トリエチルアミン、トリ−n−プロピルアミン、トリ−n−ブチルアミン、トリ−n−ヘキシルアミン、トリ−n−ペンチルアミン、トリ−n−ヘプチルアミン、トリ−n−オクチルアミン、トリ−n−ノニルアミン、トリ−n−デカニルアミン、トリ−n−ドデシルアミン等のトリアルキルアミン;ジエタノールアミン、トリエタノールアミン、ジイソプロパノールアミン、トリイソプロパノールアミン、ジ−n−オクタノールアミン、トリ−n−オクタノールアミン等のアルキルアルコールアミン;1,4−ジアザビシクロ[2.2.2]オクタン、1,5−ジアザビシクロ[4.3.0]−5−ノネン、1,8−ジアザビシクロ[5.4.0]−7−ウンデセン等の環状アミン等の窒素原子含有塩基性化合物、塩基性スルホニウム化合物、塩基性ヨードニウム化合物等の電子線放射分解性塩基性化合物が挙げられる。酸拡散制御剤は、単独で又は2種以上を使用することができる。   Examples of such acid diffusion control agents include monoalkylamines such as n-hexylamine, n-heptylamine, n-octylamine, n-nonylamine, n-decylamine; diethylamine, di-n-propylamine, di- -Dialkylamines such as n-heptylamine, di-n-octylamine, dicyclohexylamine; trimethylamine, triethylamine, tri-n-propylamine, tri-n-butylamine, tri-n-hexylamine, tri-n-pentylamine , Tri-n-heptylamine, tri-n-octylamine, tri-n-nonylamine, tri-n-decanylamine, tri-n-dodecylamine, and the like; diethanolamine, triethanolamine, diisopropanolamine, tri Isopropanol Alkyl alcohol amines such as min, di-n-octanolamine and tri-n-octanolamine; 1,4-diazabicyclo [2.2.2] octane, 1,5-diazabicyclo [4.3.0] -5 Electron beam decomposable basic compounds such as nitrogen atom-containing basic compounds such as cyclic amines such as nonene and 1,8-diazabicyclo [5.4.0] -7-undecene, basic sulfonium compounds and basic iodonium compounds Is mentioned. The acid diffusion controller can be used alone or in combination of two or more.

クエンチャーの配合量は、溶剤を除く全組成物中0〜40重量%、好ましくは0.01〜15重量%である。   The blending amount of the quencher is 0 to 40% by weight, preferably 0.01 to 15% by weight in the total composition excluding the solvent.

また、本発明の組成物は架橋剤を配合してもよい。
架橋剤としては、特に限定されず、これまでに知られている化学増幅型のネガ型レジスト組成物に用いられている架橋剤の中から任意に選択して用いることができる。
具体的には、例えば2,3−ジヒドロキシ−5−ヒドロキシメチルノルボルナン、2−ヒドロキシ−5,6−ビス(ヒドロキシメチル)ノルボルナン、シクロヘキサンジメタノール、3,4,8(又は9)−トリヒドロキシトリシクロデカン、2−メチル−2−アダマンタノール、1,4−ジオキサン−2,3−ジオール、1,3,5−トリヒドロキシシクロヘキサン等のヒドロキシ基又はヒドロキシアルキル基あるいはその両方を有する脂肪族環状炭化水素又はその含酸素誘導体が挙げられる。
Moreover, you may mix | blend a crosslinking agent with the composition of this invention.
The cross-linking agent is not particularly limited, and can be arbitrarily selected from cross-linking agents used in chemical amplification type negative resist compositions known so far.
Specifically, for example, 2,3-dihydroxy-5-hydroxymethylnorbornane, 2-hydroxy-5,6-bis (hydroxymethyl) norbornane, cyclohexanedimethanol, 3,4,8 (or 9) -trihydroxytri Aliphatic cyclic carbonization having a hydroxy group or a hydroxyalkyl group or both such as cyclodecane, 2-methyl-2-adamantanol, 1,4-dioxane-2,3-diol, 1,3,5-trihydroxycyclohexane Examples thereof include hydrogen and oxygen-containing derivatives thereof.

また、メラミン、アセトグアナミン、ベンゾグアナミン、尿素、エチレン尿素、プロピレン尿素、グリコールウリル等のアミノ基含有化合物にホルムアルデヒド又はホルムアルデヒドと低級アルコールを反応させ、該アミノ基の水素原子をヒドロキシメチル基又は低級アルコキシメチル基で置換した化合物、エポキシ基を有する化合物が挙げられる。
これらのうち、メラミンを用いたものをメラミン系架橋剤、尿素を用いたものを尿素系架橋剤、エチレン尿素、プロピレン尿素等のアルキレン尿素を用いたものをアルキレン尿素系架橋剤、グリコールウリルを用いたものをグリコールウリル系架橋剤、エポキシ基を有する化合物を用いたものをエポキシ系架橋剤という。
架橋剤としては、メラミン系架橋剤、尿素系架橋剤、アルキレン尿素系架橋剤、グリコールウリル系架橋剤、及びエポキシ系架橋剤からなる群から選ばれる少なくとも1種であることが好ましく、特にグリコールウリル系架橋剤が好ましい。
In addition, amino group-containing compounds such as melamine, acetoguanamine, benzoguanamine, urea, ethylene urea, propylene urea, glycoluril are reacted with formaldehyde or formaldehyde and lower alcohol, and the hydrogen atom of the amino group is hydroxymethyl group or lower alkoxymethyl. And a compound substituted with a group and a compound having an epoxy group.
Of these, those using melamine are melamine-based crosslinking agents, those using urea are urea-based crosslinking agents, those using alkylene ureas such as ethylene urea and propylene urea are alkylene urea-based crosslinking agents, and glycoluril is used. What was used was a glycoluril-based crosslinking agent, and what used an epoxy group-containing compound was called an epoxy-based crosslinking agent.
The crosslinking agent is preferably at least one selected from the group consisting of melamine crosslinking agents, urea crosslinking agents, alkylene urea crosslinking agents, glycoluril crosslinking agents, and epoxy crosslinking agents, and particularly glycoluril. System crosslinking agents are preferred.

メラミン系架橋剤としては、メラミンとホルムアルデヒドとを反応させて、アミノ基の水素原子をヒドロキシメチル基で置換した化合物、メラミンとホルムアルデヒドと低級アルコールとを反応させて、アミノ基の水素原子を低級アルコキシメチル基で置換した化合物等が挙げられる。具体的には、ヘキサメトキシメチルメラミン、ヘキサエトキシメチルメラミン、ヘキサプロポキシメチルメラミン、ヘキサブトキシブチルメラミン等が挙げられ、なかでもヘキサメトキシメチルメラミンが好ましい。   Melamine-based crosslinking agents include compounds in which melamine and formaldehyde are reacted to replace the amino group hydrogen atom with a hydroxymethyl group, and melamine, formaldehyde and lower alcohol are reacted to convert the amino group hydrogen atom into a lower alkoxy group. Examples include compounds substituted with a methyl group. Specific examples include hexamethoxymethyl melamine, hexaethoxymethyl melamine, hexapropoxymethyl melamine, hexabutoxybutyl melamine, and the like, among which hexamethoxymethyl melamine is preferable.

尿素系架橋剤としては、尿素とホルムアルデヒドとを反応させて、アミノ基の水素原子をヒドロキシメチル基で置換した化合物、尿素とホルムアルデヒドと低級アルコールとを反応させて、アミノ基の水素原子を低級アルコキシメチル基で置換した化合物等が挙げられる。具体的には、ビスメトキシメチル尿素、ビスエトキシメチル尿素、ビスプロポキシメチル尿素、ビスブトキシメチル尿素等が挙げられ、なかでもビスメトキシメチル尿素が好ましい。   Urea-based crosslinking agents include compounds in which urea and formaldehyde are reacted to replace amino group hydrogen atoms with hydroxymethyl groups; urea, formaldehyde and lower alcohols are reacted to convert amino group hydrogen atoms into lower alkoxy groups. Examples include compounds substituted with a methyl group. Specific examples include bismethoxymethylurea, bisethoxymethylurea, bispropoxymethylurea, bisbutoxymethylurea, etc. Among them, bismethoxymethylurea is preferable.

アルキレン尿素系架橋剤としては、下記一般式(C−1)で表される化合物が挙げられる。   As an alkylene urea type crosslinking agent, the compound represented by the following general formula (C-1) is mentioned.

Figure 2011173868
[式(C−1)中、R5’とR6’はそれぞれ独立に水酸基又は低級アルコキシ基であり、R3’とR4’はそれぞれ独立に水素原子、水酸基又は低級アルコキシ基であり、vは0又は1〜2の整数である。]
Figure 2011173868
[In Formula (C-1), R 5 ′ and R 6 ′ each independently represent a hydroxyl group or a lower alkoxy group, and R 3 ′ and R 4 ′ each independently represent a hydrogen atom, a hydroxyl group or a lower alkoxy group, v is 0 or an integer of 1-2. ]

5’とR6’が低級アルコキシ基であるとき、好ましくは炭素数1〜4のアルコキシ基であり、直鎖状でもよく分岐状でもよい。R5’とR6’は同じであってもよく、互いに異なっていてもよい。同じであることがより好ましい。
3’とR4’が低級アルコキシ基であるとき、好ましくは炭素数1〜4のアルコキシ基であり、直鎖状でもよく分岐状でもよい。R3’とR4’は同じであってもよく、互いに異なっていてもよい。同じであることがより好ましい。
vは、0又は1〜2の整数であり、好ましくは0又は1である。
アルキレン尿素系架橋剤としては、特に、vが0である化合物(エチレン尿素系架橋剤)及び/又はvが1である化合物(プロピレン尿素系架橋剤)が好ましい。
When R 5 ′ and R 6 ′ are lower alkoxy groups, they are preferably alkoxy groups having 1 to 4 carbon atoms, and may be linear or branched. R 5 ′ and R 6 ′ may be the same or different from each other. More preferably, they are the same.
When R 3 ′ and R 4 ′ are lower alkoxy groups, they are preferably alkoxy groups having 1 to 4 carbon atoms, and may be linear or branched. R 3 ′ and R 4 ′ may be the same or different from each other. More preferably, they are the same.
v is 0 or an integer of 1 to 2, and preferably 0 or 1.
As the alkylene urea crosslinking agent, a compound in which v is 0 (ethylene urea crosslinking agent) and / or a compound in which v is 1 (propylene urea crosslinking agent) are particularly preferable.

上記一般式(C−1)で表される化合物は、アルキレン尿素とホルマリンを縮合反応させることにより、また、この生成物を低級アルコールと反応させることにより得ることができる。   The compound represented by the general formula (C-1) can be obtained by a condensation reaction of alkylene urea and formalin, and by reacting this product with a lower alcohol.

アルキレン尿素系架橋剤の具体例としては、例えば、モノ及び/又はジヒドロキシメチル化エチレン尿素、モノ及び/又はジメトキシメチル化エチレン尿素、モノ及び/又はジエトキシメチル化エチレン尿素、モノ及び/又はジプロポキシメチル化エチレン尿素、モノ及び/又はジブトキシメチル化エチレン尿素等のエチレン尿素系架橋剤;モノ及び/又はジヒドロキシメチル化プロピレン尿素、モノ及び/又はジメトキシメチル化プロピレン尿素、モノ及び/又はジエトキシメチル化プロピレン尿素、モノ及び/又はジプロポキシメチル化プロピレン尿素、モノ及び/又はジブトキシメチル化プロピレン尿素等のプロピ
レン尿素系架橋剤;1,3−ジ(メトキシメチル)4,5−ジヒドロキシ−2−イミダゾリジノン、1,3−ジ(メトキシメチル)−4,5−ジメトキシ−2−イミダゾリジノン等を挙げられる。
Specific examples of the alkylene urea crosslinking agent include, for example, mono and / or dihydroxymethylated ethylene urea, mono and / or dimethoxymethylated ethylene urea, mono and / or diethoxymethylated ethylene urea, mono and / or dipropoxy Ethylene urea-based crosslinking agents such as methylated ethylene urea, mono and / or dibutoxymethylated ethylene urea; mono and / or dihydroxymethylated propylene urea, mono and / or dimethoxymethylated propylene urea, mono and / or diethoxymethyl Propylene urea-based crosslinkers such as propylene urea, mono and / or dipropoxymethylated propylene urea, mono and / or dibutoxymethylated propylene urea; 1,3-di (methoxymethyl) 4,5-dihydroxy-2- Imidazolidinone, 1,3-di (Metoki Include methyl) -4,5-dimethoxy-2-imidazolidinone.

グリコールウリル系架橋剤としては、N位がヒドロキシアルキル基及び炭素数1〜4のアルコキシアルキル基の一方又は両方で置換されたグリコールウリル誘導体が挙げられる。かかるグリコールウリル誘導体は、グリコールウリルとホルマリンとを縮合反応させることにより、また、この生成物を低級アルコールと反応させることにより得ることができる。
グリコールウリル系架橋剤の具体例としては、例えばモノ,ジ,トリ及び/又はテトラヒドロキシメチル化グリコールウリル;モノ,ジ,トリ及び/又はテトラメトキシメチル化グリコールウリル;モノ,ジ,トリ及び/又はテトラエトキシメチル化グリコールウリル;モノ,ジ,トリ及び/又はテトラプロポキシメチル化グリコールウリル;モノ,ジ,トリ及び/又はテトラブトキシメチル化グリコールウリル等が挙げられる。
Examples of the glycoluril-based crosslinking agent include glycoluril derivatives in which the N position is substituted with one or both of a hydroxyalkyl group and an alkoxyalkyl group having 1 to 4 carbon atoms. Such a glycoluril derivative can be obtained by a condensation reaction of glycoluril and formalin and by reacting this product with a lower alcohol.
Specific examples of the glycoluril-based crosslinking agent include, for example, mono, di, tri and / or tetrahydroxymethylated glycoluril; mono, di, tri and / or tetramethoxymethylated glycoluril; mono, di, tri and / or Examples include tetraethoxymethylated glycoluril; mono, di, tri and / or tetrapropoxymethylated glycoluril; mono, di, tri and / or tetrabutoxymethylated glycoluril.

エポキシ系架橋剤としては、エポキシ基を有するものであれば特に限定されず、任意に選択して用いることができる。その中でも、エポキシ基を2つ以上有するものが好ましい。エポキシ基を2つ以上有することにより、架橋反応性が向上する。
エポキシ基の数は、2つ以上であることが好ましく、より好ましくは2〜4つであり、最も好ましくは2つである。
エポキシ系架橋剤として好適なものを以下に示す。
The epoxy-based crosslinking agent is not particularly limited as long as it has an epoxy group, and can be arbitrarily selected and used. Among them, those having two or more epoxy groups are preferable. By having two or more epoxy groups, crosslinking reactivity is improved.
The number of epoxy groups is preferably 2 or more, more preferably 2 to 4, and most preferably 2.
The following are suitable as the epoxy-based crosslinking agent.

Figure 2011173868
Figure 2011173868

架橋剤は、1種を単独で用いてもよいし、2種以上を組み合わせて用いてもよい。
架橋剤の含有量は、本発明の化合物100質量部に対し、1〜50質量部であることが好ましく、3〜30質量部がより好ましく、3〜15質量部がさらに好ましく、5〜10質量部が最も好ましい。
A crosslinking agent may be used individually by 1 type, and may be used in combination of 2 or more type.
It is preferable that content of a crosslinking agent is 1-50 mass parts with respect to 100 mass parts of compounds of this invention, 3-30 mass parts is more preferable, 3-15 mass parts is more preferable, 5-10 mass Part is most preferred.

本発明においては、さらに所望により混和性のある添加剤、例えばレジスト膜の性能を改良するための付加的樹脂、塗布性を向上させるための界面活性剤、溶解制御剤、増感剤、可塑剤、安定剤、着色剤、ハレーション防止剤、染料、顔料等を適宜、添加含有させることができる。   In the present invention, if desired, further miscible additives, for example, additional resins for improving the performance of resist films, surfactants for improving coating properties, dissolution control agents, sensitizers, plasticizers. Stabilizers, colorants, antihalation agents, dyes, pigments, and the like can be appropriately added and contained.

溶解制御剤は、環状化合物のアルカリ現像液に対する溶解性が高すぎる場合に、その溶解性を低下させて現像時の溶解速度を適度にする作用を有する成分である。   The dissolution control agent is a component having an action of reducing the solubility of the cyclic compound in an alkaline developer so as to moderate the dissolution rate during development.

溶解制御剤としては、例えば、ナフタレン、フェナントレン、アントラセン、アセナフテン等の芳香族炭化水素類;アセトフェノン、ベンゾフェノン、フェニルナフチルケトン等のケトン類;メチルフェニルスルホン、ジフェニルスルホン、ジナフチルスルホン等のスルホン類等を挙げることができる。さらに、例えば、酸解離性官能基が導入されたビスフェノール類、t−ブチルカルボニル基が導入されたトリス(ヒドロキシフェニル)メタン等をも挙げることができる。これらの溶解制御剤は、単独で又は2種以上を使用することができる。溶解制御剤の配合量は、使用する環状化合物の種類に応じて適宜調節されるが、固形成分全重量の0〜50重量%が好ましく、0〜40重量%がより好ましく、0〜30重量%がさらに好ましい。   Examples of the dissolution control agent include aromatic hydrocarbons such as naphthalene, phenanthrene, anthracene, and acenaphthene; ketones such as acetophenone, benzophenone, and phenylnaphthyl ketone; and sulfones such as methylphenylsulfone, diphenylsulfone, and dinaphthylsulfone. Can be mentioned. Furthermore, for example, bisphenols into which an acid dissociable functional group has been introduced, tris (hydroxyphenyl) methane into which a t-butylcarbonyl group has been introduced, and the like can also be mentioned. These dissolution control agents can be used alone or in combination of two or more. The blending amount of the dissolution control agent is appropriately adjusted according to the type of the cyclic compound to be used, but is preferably 0 to 50% by weight, more preferably 0 to 40% by weight, and more preferably 0 to 30% by weight based on the total weight of the solid component. Is more preferable.

増感剤は、照射された放射線のエネルギーを吸収して、そのエネルギーを酸発生剤に伝達し、それにより酸の生成量を増加する作用を有し、レジストの見掛けの感度を向上させる成分である。このような増感剤としては、例えば、ベンゾフェノン類、ビアセチル類、ピレン類、フェノチアジン類、フルオレン類等を挙げることができるが、特に限定はされない。これらの増感剤は、単独で又は2種以上を使用することができる。増感剤の配合量は、固形成分全重量の0〜50重量%が好ましく、0〜20重量%がより好ましく、0〜10重量%がさらに好ましい。   The sensitizer is a component that absorbs the energy of the irradiated radiation and transmits the energy to the acid generator, thereby increasing the amount of acid generated and improving the apparent sensitivity of the resist. is there. Examples of such sensitizers include, but are not limited to, benzophenones, biacetyls, pyrenes, phenothiazines, and fluorenes. These sensitizers can be used alone or in combination of two or more. The blending amount of the sensitizer is preferably 0 to 50% by weight, more preferably 0 to 20% by weight, even more preferably 0 to 10% by weight based on the total weight of the solid component.

界面活性剤は、本発明のフォトレジスト組成物の塗布性やストリエーション、レジストとしての現像性等を改良する作用を有する成分である。このような界面活性剤としては、アニオン系、カチオン系、ノニオン系あるいは両性のいずれでも使用することができる。これらのうち、ノニオン系界面活性剤が好ましい。ノニオン系界面活性剤は、フォトレジスト組成物に用いる溶剤との親和性がよく、より効果がある。ノニオン系界面活性剤の例としては、ポリオキシエチレン高級アルキルエーテル類、ポリオキシエチレン高級アルキルフェニルエーテル類、ポリエチレングリコールの高級脂肪酸ジエステル類等の他、以下商品名で、エフトップ(ジェムコ社製)、メガファック(大日本インキ化学工業社製)、フロラード(住友スリーエム社製)、アサヒガード、サーフロン(以上、旭硝子社製)、ペポール(東邦化学工業社製)、KP(信越化学工業社製)、ポリフロー(共栄社油脂化学工業社製)等の各シリーズ製品を挙げることができるが、特に限定はされない。界面活性剤の配合量は、固形成分全重量の0〜2重量%が好ましく、0〜1重量%がより好ましく、0〜0.1重量%がさらに好ましい。   The surfactant is a component having an action of improving the coating property and striation of the photoresist composition of the present invention, the developing property as a resist, and the like. As such a surfactant, any of anionic, cationic, nonionic or amphoteric can be used. Of these, nonionic surfactants are preferred. Nonionic surfactants have better affinity with the solvent used in the photoresist composition and are more effective. Examples of nonionic surfactants include polyoxyethylene higher alkyl ethers, polyoxyethylene higher alkyl phenyl ethers, polyethylene glycol higher fatty acid diesters, and the following trade names: Ftop (manufactured by Gemco) , MegaFac (Dainippon Ink & Chemicals), Florard (Sumitomo 3M), Asahi Guard, Surflon (Asahi Glass), Pepol (Toho Chemical), KP (Shin-Etsu Chemical) The series products such as Polyflow (manufactured by Kyoeisha Yushi Chemical Co., Ltd.) and the like can be mentioned, but are not particularly limited. The blending amount of the surfactant is preferably 0 to 2% by weight, more preferably 0 to 1% by weight, and still more preferably 0 to 0.1% by weight based on the total weight of the solid components.

また、染料あるいは顔料を配合することにより、露光部の潜像を可視化させて、露光時のハレーションの影響を緩和できる。さらに、接着助剤を配合することにより、基板との接着性を改善することができる。   Further, by blending a dye or a pigment, the latent image in the exposed area can be visualized, and the influence of halation during exposure can be reduced. Furthermore, the adhesiveness with a board | substrate can be improved by mix | blending an adhesion aid.

酸拡散制御剤を配合した場合の感度劣化を防ぎ、またレジストパターン形状、引き置き安定性等の向上の目的で、さらに任意の成分として、有機カルボン酸又はリンのオキソ酸又はその誘導体を含有させることができる。尚、これらの化合物は、酸拡散制御剤と併用することもできるし、単独で用いてもよい。有機カルボン酸としては、例えば、マロン酸、クエン酸、リンゴ酸、コハク酸、安息香酸、サリチル酸等が好適である。リンのオキソ酸又はその誘導体としては、リン酸、リン酸ジ−n−ブチルエステル、リン酸ジフェニルエステル等のリン酸又はそれらのエステル等の誘導体、ホスホン酸、ホスホン酸ジメチルエステル、ホスホン酸ジ−n−ブチルエステル、フェニルホスホン酸、ホスホン酸ジフェニルエステル、ホスホン酸ジベンジルエステル等のホスホン酸又はそれらのエステル等の誘導体、ホスフィン酸、フェニルホスフィン酸等のホスフィン酸及びそれらのエステル等の誘導体が挙げられ、これらの中で特にホスホン酸が好ましい。   An organic carboxylic acid or phosphorus oxo acid or a derivative thereof is added as an optional component for the purpose of preventing sensitivity deterioration when an acid diffusion control agent is added and improving the resist pattern shape, retention stability, etc. be able to. These compounds can be used in combination with an acid diffusion controller or may be used alone. As the organic carboxylic acid, for example, malonic acid, citric acid, malic acid, succinic acid, benzoic acid, salicylic acid and the like are suitable. Phosphorus oxo acids or derivatives thereof include phosphoric acid, phosphoric acid di-n-butyl ester, phosphoric acid diphenyl ester and other phosphoric acid or derivatives thereof such as phosphonic acid, phosphonic acid dimethyl ester, phosphonic acid di- Examples include phosphonic acids such as n-butyl ester, phenylphosphonic acid, phosphonic acid diphenyl ester, and phosphonic acid dibenzyl ester or derivatives thereof, phosphinic acid such as phosphinic acid and phenylphosphinic acid, and derivatives such as esters thereof. Of these, phosphonic acid is particularly preferred.

レジストパターンを形成するには、まず、シリコンウェハー、ガリウムヒ素ウェハー、アルミニウムで被覆されたウェハー等の基板上に本発明のフォトレジスト組成物を、回転塗布、流延塗布、ロール塗布等の塗布手段によって塗布することによりレジスト膜を形成する。   In order to form a resist pattern, first, the photoresist composition of the present invention is applied onto a substrate such as a silicon wafer, a gallium arsenide wafer, or a wafer coated with aluminum, by spin coating, cast coating, roll coating, or other coating means. Then, a resist film is formed by coating.

必要に応じて、基板上に表面処理剤を予め塗布してもよい。表面処理剤としては、例えばヘキサメチレンジシラザン等のシランカップリング剤(重合性基を有する加水分解重合性シランカップリング剤等)、アンカーコート剤又は下地剤(ポリビニルアセタール、アクリル系樹脂、酢酸ビニル系樹脂、エポキシ樹脂、ウレタン樹脂等)、これらの下地剤と無機微粒子とを混合したコーティング剤が挙げられる。   If necessary, a surface treatment agent may be applied in advance on the substrate. Examples of the surface treatment agent include a silane coupling agent such as hexamethylene disilazane (hydrolyzable polymerizable silane coupling agent having a polymerizable group), an anchor coating agent or a base agent (polyvinyl acetal, acrylic resin, vinyl acetate). Based resins, epoxy resins, urethane resins, etc.), and coating agents obtained by mixing these base agents and inorganic fine particles.

必要に応じて、大気中に浮遊するアミン等が侵入するのを防ぐために、レジスト膜に保護膜を形成してもよい。保護膜を形成することにより、放射線によりレジスト膜中に発生した酸が、大気中に不純物として浮遊しているアミン等の酸と反応する化合物と反応して失活し、レジスト像が劣化し感度が低下することを防止できる。保護膜用の材料としては水溶性かつ酸性のポリマーが好ましい。例えば、ポリアクリル酸、ポリビニルスルホン酸等が挙げられる。   If necessary, a protective film may be formed on the resist film in order to prevent an amine or the like floating in the atmosphere from entering. By forming a protective film, the acid generated in the resist film due to radiation reacts with a compound that reacts with an acid such as amine floating as an impurity in the atmosphere and deactivates, and the resist image deteriorates and sensitivity. Can be prevented from decreasing. As the material for the protective film, a water-soluble and acidic polymer is preferable. Examples thereof include polyacrylic acid and polyvinyl sulfonic acid.

高精度の微細パターンを得るため、また露光中のアウトガスを低減するため、放射線照射前(露光前)に加熱するのが好ましい。その加熱温度は、フォトレジスト組成物の配合組成等により変わるが、20〜250℃が好ましく、より好ましくは40〜150℃である。   In order to obtain a high-precision fine pattern and to reduce outgas during exposure, it is preferable to heat before irradiation (before exposure). The heating temperature varies depending on the composition of the photoresist composition, but is preferably 20 to 250 ° C, more preferably 40 to 150 ° C.

次いで、KrFエキシマレーザー、極端紫外線、電子線又はX線等の放射線により、レジスト膜を所望のパターンに露光する。露光条件等は、フォトレジスト組成物の配合組成等に応じて適宜選定される。本発明においては、高精度の微細パターンを安定して形成するために、放射線照射後(露光後)に加熱するのが好ましい。露光後加熱温度(PEB)は、フォトレジスト組成物の配合組成等により変わるが、20〜250℃が好ましく、より好ましくは40〜150℃である。   Next, the resist film is exposed to a desired pattern by radiation such as KrF excimer laser, extreme ultraviolet light, electron beam or X-ray. The exposure conditions and the like are appropriately selected according to the composition of the photoresist composition. In the present invention, in order to stably form a high-precision fine pattern, it is preferable to heat after irradiation (after exposure). The post-exposure heating temperature (PEB) varies depending on the composition of the photoresist composition, but is preferably 20 to 250 ° C, more preferably 40 to 150 ° C.

次いで、露光されたレジスト膜をアルカリ現像液で現像することにより、所定のレジストパターンを形成できる。アルカリ現像液としては、例えば、モノ−、ジ−あるいはトリアルキルアミン類、モノ−、ジ−あるいはトリアルカノールアミン類、複素環式アミン類、テトラメチルアンモニウムヒドロキシド(TMAH)、コリン等のアルカリ性化合物の1種以上を溶解した、好ましくは1〜10重量%、より好ましくは1〜5重量%のアルカリ性水溶液を使用する。アルカリ現像液には、メタノール、エタノール、イソプロピルアルコール等のアルコール類や界面活性剤を適量添加することもできる。これらのうちイソプロピルアルコールを10〜30重量%添加することが特に好ましい。尚、このようなアルカリ性水溶液からなる現像液を用いた場合は、一般に、現像後水で洗浄する。   Next, a predetermined resist pattern can be formed by developing the exposed resist film with an alkaline developer. Examples of the alkaline developer include alkaline compounds such as mono-, di- or trialkylamines, mono-, di- or trialkanolamines, heterocyclic amines, tetramethylammonium hydroxide (TMAH), and choline. Preferably, 1 to 10% by weight, more preferably 1 to 5% by weight of an alkaline aqueous solution in which one or more of the above are dissolved is used. An appropriate amount of alcohols such as methanol, ethanol, isopropyl alcohol, and surfactants can be added to the alkaline developer. Of these, it is particularly preferable to add 10 to 30% by weight of isopropyl alcohol. When a developer composed of such an alkaline aqueous solution is used, it is generally washed with water after development.

本発明の化合物をフォトレジスト基材として用いる場合、例えば酸発生剤、架橋剤を併用すると、KrFエキシマレーザー、極端紫外線、電子線又はX線等の放射線により、レジスト膜を所望のパターンに露光すると、酸発生剤から酸が発生し、酸が作用して本発明の化合物同士、若しくは添加した架橋剤との間で架橋が起こり、本発明の組成物はアルカリ現像液に対して不溶となる。一方、未露光部はアルカリ現像液に対して可溶のままであり、これをアルカリ現像することによりレジストパターンが形成できる。   When the compound of the present invention is used as a photoresist substrate, for example, when an acid generator and a crosslinking agent are used in combination, the resist film is exposed to a desired pattern by radiation such as KrF excimer laser, extreme ultraviolet light, electron beam or X-ray. Acid is generated from the acid generator, and the acid acts to cause cross-linking between the compounds of the present invention or the added cross-linking agent, so that the composition of the present invention becomes insoluble in an alkaline developer. On the other hand, the unexposed portion remains soluble in the alkali developer, and a resist pattern can be formed by alkali development.

本発明の組成物を用いると、高感度、低ラインエッジラフネスでの高微細加工が可能である。   When the composition of the present invention is used, highly fine processing with high sensitivity and low line edge roughness is possible.

アルカリ現像液に対する非溶解性については、形成するパターンのサイズ、使用するアルカリ現像液の種類等の現像条件により、好ましい非溶解性が異なるため一概に規定することはできないが、2.38%テトラメチルアンモニウムヒドロキシド水溶液をアルカリ現像液として用いる場合、フォトレジスト基材からなる薄膜の現像液溶解速度で表される非溶解性としては、1ナノメートル/秒未満が好ましく、0.5ナノメートル/秒未満が特に好ましい。   The non-solubility in the alkali developer cannot be generally defined because the preferred non-solubility differs depending on the development conditions such as the size of the pattern to be formed and the type of the alkali developer to be used. When an aqueous methylammonium hydroxide solution is used as an alkaline developer, the insolubility expressed by the developer dissolution rate of a thin film made of a photoresist substrate is preferably less than 1 nanometer / second, preferably 0.5 nanometer / second. Less than a second is particularly preferred.

尚、場合によっては上記アルカリ現像後、ポストベーク処理を行ってもよいし、基板とのレジスト膜の間には有機系又は無機系の反射防止膜を設けてもよい。   In some cases, post-baking may be performed after the alkali development, or an organic or inorganic antireflection film may be provided between the resist film and the substrate.

レジストパターンを形成した後、エッチングすることによりパターン配線基板が得られる。エッチングは、プラズマガスを使用するドライエッチング、アルカリ溶液、塩化第二銅溶液、塩化第二鉄溶液等を用いるウェットエッチング等公知の方法で行うことができる。レジストパターンを形成した後、銅めっき、はんだめっき、ニッケルめっき、金めっき等のめっき処理を行うこともできる。   After forming the resist pattern, the pattern wiring board is obtained by etching. Etching can be performed by a known method such as dry etching using plasma gas, wet etching using an alkali solution, a cupric chloride solution, a ferric chloride solution, or the like. After the resist pattern is formed, a plating process such as copper plating, solder plating, nickel plating, or gold plating can be performed.

エッチング後の残留レジストパターンは、有機溶剤やアルカリ現像液より強アルカリ性の水溶液で剥離することができる。
上記有機溶剤としては、PGMEA、PGME、EL、アセトン、テトラヒドロフラン等が挙げられ、強アルカリ水溶液としては、例えば、1〜20重量%の水酸化ナトリウム水溶液、及び1〜20重量%の水酸化カリウム水溶液が挙げられる。剥離方法としては、例えば、浸漬方法、スプレイ方式等が挙げられる。またレジストパターンが形成された配線基板は、多層配線基板でもよく、小径スルーホールを有していてもよい。
The residual resist pattern after etching can be peeled off with an aqueous solution that is stronger than an organic solvent or an alkaline developer.
Examples of the organic solvent include PGMEA, PGME, EL, acetone, tetrahydrofuran, and the like. Examples of the strong alkaline aqueous solution include 1 to 20% by weight sodium hydroxide aqueous solution and 1 to 20% by weight potassium hydroxide aqueous solution. Is mentioned. Examples of the peeling method include a dipping method and a spray method. Further, the wiring board on which the resist pattern is formed may be a multilayer wiring board or may have a small diameter through hole.

本発明のフォトレジスト組成物を用いてレジストパターンを形成した後、金属を真空蒸着し、その後レジストパターンを溶液で溶離する方法、即ちリフトオフ法により配線基板を形成することもできる。   After forming a resist pattern using the photoresist composition of the present invention, a wiring substrate can be formed by a method in which a metal is vacuum-deposited and then the resist pattern is eluted with a solution, that is, a lift-off method.

本発明のフォトレジスト組成物を用いて微細加工方法により、半導体装置を作製できる。この半導体装置は、テレビ受像機、携帯電話、コンピュータ等の電気製品(電子機器)、ディスプレイ、コンピュータ制御する自動車等の様々な装置に備えることができる。   A semiconductor device can be produced by a microfabrication method using the photoresist composition of the present invention. This semiconductor device can be provided in various devices such as an electric product (electronic device) such as a television receiver, a mobile phone, and a computer, a display, and a car controlled by a computer.

本発明の化合物は公知の成形方法によって各種成形品(シリコンウェハ等の基板に形成した薄膜、フィルム、薄板、ファイバー等)を製造することができる。   The compound of the present invention can produce various molded products (thin films, films, thin plates, fibers, etc. formed on a substrate such as a silicon wafer) by known molding methods.

成形方法としては、射出成型法、射出圧縮成型法、押出成型法、ブロー成型法、加圧成型法、トランスファー成型法、スピンコーティング法、スプレーコーティング法、キャスト法、蒸着法、熱CVD法、プラズマCVD法、プラズマ重合法等が挙げられ、これら成形方法を所望の製品の形態、性能に応じて適宜選択できる。   Molding methods include injection molding, injection compression molding, extrusion molding, blow molding, pressure molding, transfer molding, spin coating, spray coating, casting, vapor deposition, thermal CVD, plasma Examples thereof include a CVD method and a plasma polymerization method, and these molding methods can be appropriately selected according to the form and performance of a desired product.

また、本発明の化合物を用いて上記の方法により薄膜を得て、得られた薄膜を熱、紫外線、深紫外線、真空紫外線、極端紫外線、電子線、プラズマ、X線等により硬化(環化付加反応)させてもよい。   Further, a thin film is obtained by the above method using the compound of the present invention, and the obtained thin film is cured by heat, ultraviolet light, deep ultraviolet light, vacuum ultraviolet light, extreme ultraviolet light, electron beam, plasma, X-ray, etc. (cyclization addition) Reaction).

スピンコーティング法等により本発明の化合物を薄膜に形成する場合、本発明の化合物を有機溶媒に溶解させて塗料として用いることができる。   When the compound of the present invention is formed into a thin film by a spin coating method or the like, the compound of the present invention can be dissolved in an organic solvent and used as a paint.

有機溶媒としては、クロロホルム、ジクロロメタン、1,1,2,2−テトラクロロエタン、ジクロロエタン、ジクロロベンゼン、トリクロロベンゼン、テトラクロロベンゼン、ジメチルホルムアミド(DMF)、N−メチルピロリドン(NMP)、ジメチルアセトアミド、ジメチルスルホキシド(DMSO)、アニソール、アセトフェノン、ベンゾニトリル、ニトロベンゼン、プロピレングリコールメチルエーテルアセテート、プロピレングリコールモノメチルエーテル、テトラヒドロフラン(THF)、シクロヘキサノン、メチルエチルケトン、アセトン等が挙げられる。   Examples of organic solvents include chloroform, dichloromethane, 1,1,2,2-tetrachloroethane, dichloroethane, dichlorobenzene, trichlorobenzene, tetrachlorobenzene, dimethylformamide (DMF), N-methylpyrrolidone (NMP), dimethylacetamide, dimethyl sulfoxide. (DMSO), anisole, acetophenone, benzonitrile, nitrobenzene, propylene glycol methyl ether acetate, propylene glycol monomethyl ether, tetrahydrofuran (THF), cyclohexanone, methyl ethyl ketone, acetone and the like.

塗料中における本発明の化合物の濃度は、塗料の粘度や薄膜形成方法等を考慮して適宜調製すればよい。
薄膜の厚さは特に限定されないが、一般に10nm〜10μm程度のものが好適に使用される。薄膜の膜厚は、エリプソメータ、反射光学式膜厚計等による光学的膜厚測定、触針式膜厚測定器やAFM等による機械的膜厚測定が可能である。
The concentration of the compound of the present invention in the paint may be appropriately adjusted in consideration of the viscosity of the paint and the thin film forming method.
The thickness of the thin film is not particularly limited, but generally a thickness of about 10 nm to 10 μm is preferably used. The film thickness of the thin film can be measured with an ellipsometer, a reflective optical film thickness meter, or the like, or with a stylus film thickness meter or AFM.

本発明の薄膜は、フォトレジスト薄膜としての用途の他、光学レンズ、光ファイバー、光導波路、フォトニック結晶等の種々の光情報処理装置向け光学薄膜、半導体用層間絶縁膜、半導体用保護膜等のULSI装置向け薄膜、液晶ディスプレー、液晶プロジェクター、プラズマディスプレー、ELディスプレー、LEDディスプレー等の画像表示装置向け薄膜、CMOSイメージセンサ、CCDイメージセンサ等に使用される薄膜として有用である。さらにこれら薄膜は、CPU、DRAM、フラッシュメモリ等の半導体装置、情報処理用小型電子回路装置、高周波通信用電子回路装置等の電子回路装置、画像表示装置、光情報処理用装置、光通信用装置等の部材、表面保護膜、耐熱膜において利用することもできる。   The thin film of the present invention is used as a photoresist thin film, optical thin films for various optical information processing devices such as optical lenses, optical fibers, optical waveguides, photonic crystals, interlayer insulating films for semiconductors, protective films for semiconductors, etc. It is useful as a thin film for ULSI devices, a liquid crystal display, a liquid crystal projector, a plasma display, an EL display, an LED display and other thin film for image display devices, a CMOS image sensor, a CCD image sensor, and the like. Furthermore, these thin films are provided for semiconductor devices such as CPU, DRAM, flash memory, electronic circuit devices for information processing, electronic circuit devices such as high-frequency communication electronic circuit devices, image display devices, optical information processing devices, and optical communication devices. It can also be used in a member such as a surface protective film and a heat-resistant film.

合成例1
窒素気流下、容量200ミリリットルの丸底フラスコに、3−メトキシフェノール(東京化成工業株式会社製)50.0g(402.8ミリモル)、4−ホルミル安息香酸(東京化成工業株式会社製)60.5g(402.8ミリモル)、脱水ジクロロメタン500ミリリットルを加えて氷水浴に浸漬させ、5℃以下に冷却した。この混合物に対して、三フッ化ホウ素エーテル付加体(和光純薬工業株式会社製)60.8ミリリットル(483.6ミリモル)を内温が15℃を越えないように滴下した後、室温まで昇温して8時間撹拌を継続した。反応溶液を氷水浴で冷却し、ゆっくり水を滴下してクエンチし、析出した固体をろ別した。さらに、ろ別した析出物を中性になるまで水洗し、その後、N−メチル−2−ピロリドンに溶解させ、酢酸エチルで再沈し、析出した固体をろ別することにより、環状化合物(A)を得た(収量94.4g)。
H−NMR測定の結果を図1に示す。H−NMRスペクトルから、得られた化合物が下記式(1)の構造であることを確認した。

Figure 2011173868
Synthesis example 1
Under a nitrogen stream, in a round bottom flask with a capacity of 200 ml, 50.0 g (402.8 mmol) of 3-methoxyphenol (Tokyo Chemical Industry Co., Ltd.), 4-formylbenzoic acid (manufactured by Tokyo Chemical Industry Co., Ltd.) 60. 5 g (402.8 mmol) and 500 ml of dehydrated dichloromethane were added and immersed in an ice-water bath and cooled to 5 ° C. or lower. To this mixture, 60.8 ml (483.6 mmol) of boron trifluoride ether adduct (manufactured by Wako Pure Chemical Industries, Ltd.) was added dropwise so that the internal temperature did not exceed 15 ° C., and then the temperature was raised to room temperature. Warmed and continued stirring for 8 hours. The reaction solution was cooled in an ice water bath, quenched slowly by dropwise addition of water, and the precipitated solid was filtered off. Further, the precipitate separated by filtration is washed with water until it becomes neutral, then dissolved in N-methyl-2-pyrrolidone, reprecipitated with ethyl acetate, and the precipitated solid is separated by filtration to obtain a cyclic compound (A (Yield 94.4 g).
The result of 1 H-NMR measurement is shown in FIG. From the 1 H-NMR spectrum, it was confirmed that the obtained compound had the structure of the following formula (1).
Figure 2011173868

合成例2
2−(オキシラン−2−イル)エチルブロモアセテートの合成

Figure 2011173868
窒素気流下、3−ブテン−1−オール15g(208.0mmol)、脱水エーテル300mlにトリエチルアミン34.8ml(249.6mmol)を加え氷冷した。この溶液にブロモ酢酸ブロミド21.7ml(249.6mmol)を滴下した。滴下終了後、室温まで昇温し、そのまま攪拌を行った。反応溶液を飽和炭酸水素ナトリウム水溶液で洗浄後、有機層を無水硫酸マグネシウムで乾燥後、減圧下溶媒を留去した。残渣を減圧蒸留することにより3−ブテニルブロモアセテートを得た(収量35.5g(183.9mmol))。
窒素気流下、3−ブテニルブロモアセテート35.5g(183.9mmol)をジクロロメタン300mlに溶解し氷冷した。これに、65%含量のm−クロロ過安息香酸58.9g(221.8mmol)を加え8時間攪拌をおこなった。亜硫酸水素ナトリウム水溶液、飽和炭酸水素ナトリウム水溶液で洗浄後、有機層を無水硫酸マグネシウムで乾燥、減圧下溶媒を留去した。残渣をシリカゲルクロマトグラフィーで精製することにより、2−(オキシラン−2−イル)エチルブロモアセテートを得た(収量28.9g(149.7mmol))。
H−NMR:1.85(m,1H),2.01(m,1H),2.53(1H),2.81(1H),3.89(s,2H),4.35(t,2H)(CDCl)) Synthesis example 2
Synthesis of 2- (oxiran-2-yl) ethyl bromoacetate
Figure 2011173868
Under a nitrogen stream, 34.8 ml (249.6 mmol) of triethylamine was added to 15 g (208.0 mmol) of 3-buten-1-ol and 300 ml of dehydrated ether, and the mixture was ice-cooled. To this solution, 21.7 ml (249.6 mmol) of bromoacetic acid bromide was added dropwise. After completion of the dropwise addition, the temperature was raised to room temperature and stirred as it was. The reaction solution was washed with a saturated aqueous sodium hydrogen carbonate solution, the organic layer was dried over anhydrous magnesium sulfate, and the solvent was distilled off under reduced pressure. The residue was distilled under reduced pressure to give 3-butenyl bromoacetate (yield 35.5 g (183.9 mmol)).
Under a nitrogen stream, 35.5 g (183.9 mmol) of 3-butenyl bromoacetate was dissolved in 300 ml of dichloromethane and cooled on ice. To this, 58.9 g (221.8 mmol) of m-chloroperbenzoic acid having a 65% content was added and stirred for 8 hours. After washing with a sodium hydrogen sulfite aqueous solution and a saturated sodium hydrogen carbonate aqueous solution, the organic layer was dried over anhydrous magnesium sulfate, and the solvent was distilled off under reduced pressure. The residue was purified by silica gel chromatography to give 2- (oxiran-2-yl) ethyl bromoacetate (yield 28.9 g (149.7 mmol)).
(1 H-NMR: 1.85 ( m, 1H), 2.01 (m, 1H), 2.53 (1H), 2.81 (1H), 3.89 (s, 2H), 4.35 (T, 2H) (CDCl 3 ))

合成例3
7−オキサ―ビシクロ[4.1.0]ヘプタン−3−イルメチルブロモアセテートの合成

Figure 2011173868
Synthesis example 3
Synthesis of 7-oxa-bicyclo [4.1.0] heptan-3-ylmethyl bromoacetate
Figure 2011173868

窒素気流下、3−シクロヘキセン−1−メタノール15.6ml(133.72mmol)、トリエチルアミン22.3ml(160.42mmol)をジエチルエーテル450mlに溶し氷冷した。この溶液に、ブロモ酢酸ブロミド14ml(160.71ml)を滴下した。滴下終了後、そのまま8時間攪拌を行なった。反応溶液を氷冷水に投入後酢酸エチルを加えた。有機層を分離、飽和炭酸水素ナトリウム水溶液で洗浄後、減圧下溶媒を留去した。残渣を減圧蒸留することにより、3−シクロヘキセン−1−メチルブロモアセテート19.57g(88.3mmol)を得た。窒素気流下、得られた化合物をジクロロメタン300mlに溶解し氷冷した。これに、m−クロロ過安息香酸26.9g(〜65%含量)を加え8時間攪拌をおこなった。亜硫酸水素ナトリウム水溶液、飽和炭酸水素ナトリウム水溶液で洗浄後有機層を無水硫酸マグネシウムで乾燥、減圧下溶媒を留去した。残渣をシリカゲルクロマトグラフィーで精製することにより、7−オキサ―ビシクロ[4.1.0]ヘプタン−3−イルメチルブロモアセテートを得た(収量18.3g(73.46mmol))。
H−NMR:1.0−2.2(7H),3.19(2H),3.85(s,2H),3.98(2H)(CDCl))
Under a nitrogen stream, 15.6 ml (133.72 mmol) of 3-cyclohexene-1-methanol and 22.3 ml (160.42 mmol) of triethylamine were dissolved in 450 ml of diethyl ether and cooled with ice. To this solution, 14 ml (160.71 ml) of bromoacetic acid bromide was added dropwise. After completion of dropping, the mixture was stirred as it was for 8 hours. The reaction solution was poured into ice-cold water and ethyl acetate was added. The organic layer was separated and washed with a saturated aqueous sodium hydrogen carbonate solution, and then the solvent was distilled off under reduced pressure. The residue was distilled under reduced pressure to obtain 19.57 g (88.3 mmol) of 3-cyclohexene-1-methylbromoacetate. Under a nitrogen stream, the obtained compound was dissolved in 300 ml of dichloromethane and cooled on ice. To this, 26.9 g (up to 65% content) of m-chloroperbenzoic acid was added and stirred for 8 hours. After washing with a sodium hydrogen sulfite aqueous solution and a saturated sodium hydrogen carbonate aqueous solution, the organic layer was dried over anhydrous magnesium sulfate, and the solvent was distilled off under reduced pressure. The residue was purified by silica gel chromatography to obtain 7-oxa-bicyclo [4.1.0] heptan-3-ylmethyl bromoacetate (yield 18.3 g (73.46 mmol)).
(1 H-NMR: 1.0-2.2 ( 7H), 3.19 (2H), 3.85 (s, 2H), 3.98 (2H) (CDCl 3))

実施例1(化合物(2)の合成)
窒素気流下、100ml丸底フラスコに合成例1で得たカリックスレゾルシナレン化合物2.0g(1.95mmol)、N−メチル−2−ピロリドン30mlを封入し溶解させた。合成例2で得た2−(オキシラン−2−イル)エチルブロモアセテート2.24g(10.72mmol)を加えた。次に、トリエチルアミン1.50ml(10.72mmol)を加え10分攪拌し、1,8−ジアザビシクロ[5.4.0]ウンデカ−7−エン(DBU)0.48ml(3.22mmol)を加えた。そのまま、20時間攪拌した後、飽和塩化アンモニウム溶液を加えることで反応を停止させた。続いて、酢酸エチルにて反応溶液を抽出し、純水及び飽和食塩水にて洗浄した。得られた溶液を濃縮し、酢酸エチル及びヘキサンの混合溶媒にて再沈殿させ、目的物を得た(収量2.10g、収率70.0%)。H−NMRスペクトルから、得られた化合物が下記式(2)の構造であることを確認した。

Figure 2011173868
H−NMR:1.6−1.95(8H),2.68(4H),2.98(4H),3.4−3.7(12H),4.29(8H),4.87(8H),5.1−5.3,5.51−5.71,6.15−6.4,6.51(12H),6.6−6.8(8H),7.4−7.6(8H),8.9−9.1,9.1−9.2(4H)((CDSO)) Example 1 (Synthesis of Compound (2))
Under a nitrogen stream, 2.0 g (1.95 mmol) of the calix resorcinalene compound obtained in Synthesis Example 1 and 30 ml of N-methyl-2-pyrrolidone were sealed in a 100 ml round bottom flask and dissolved. 2.24 g (10.72 mmol) of 2- (oxiran-2-yl) ethyl bromoacetate obtained in Synthesis Example 2 was added. Next, 1.50 ml (10.72 mmol) of triethylamine was added and stirred for 10 minutes, and 0.48 ml (3.22 mmol) of 1,8-diazabicyclo [5.4.0] undec-7-ene (DBU) was added. . After stirring for 20 hours, the reaction was stopped by adding a saturated ammonium chloride solution. Subsequently, the reaction solution was extracted with ethyl acetate and washed with pure water and saturated brine. The obtained solution was concentrated and reprecipitated with a mixed solvent of ethyl acetate and hexane to obtain the desired product (yield 2.10 g, yield 70.0%). From the 1 H-NMR spectrum, it was confirmed that the obtained compound had the structure of the following formula (2).
Figure 2011173868
(1 H-NMR: 1.6-1.95 ( 8H), 2.68 (4H), 2.98 (4H), 3.4-3.7 (12H), 4.29 (8H), 4 .87 (8H), 5.1-5.3, 5.51-5.71, 6.15-6.4, 6.51 (12H), 6.6-6.8 (8H), 7. 4-7.6 (8H), 8.9-9.1,9.1-9.2 (4H ) ((CD 3) 2 SO))

実施例2(化合物(3)の合成)
合成例3で得た化合物を使用した以外は実施例1と同様な方法によりフォトレジスト基材(3)を得た。H−NMRスペクトルから、得られた化合物が下記式の構造であることを確認した。

Figure 2011173868
H−NMR:0.9−2.1(28H),3.0−3.1(8H),3.4−3.7(12H),3.8−4.0(8H),5.1−5.3,5.51−5.71,6.15−6.4,6.51(12H),6.6−6.8(8H),7.4−7.6(8H),8.9−9.1,9.1−9.2(4H)((CDSO)) Example 2 (Synthesis of Compound (3))
A photoresist base material (3) was obtained in the same manner as in Example 1 except that the compound obtained in Synthesis Example 3 was used. From the 1 H-NMR spectrum, it was confirmed that the obtained compound had a structure represented by the following formula.
Figure 2011173868
(1 H-NMR: 0.9-2.1 ( 28H), 3.0-3.1 (8H), 3.4-3.7 (12H), 3.8-4.0 (8H), 5.1-5.3, 5.51-5.71, 6.15-6.4, 6.51 (12H), 6.6-6.8 (8H), 7.4-7.6 ( 8H), 8.9-9.1, 9.1-9.2 (4H) ((CD 3 ) 2 SO))

比較例1(化合物(4)の合成)
滴下漏斗、ジム・ロート氏冷却管、温度計、攪拌翼を設置した四つ口フラスコ(1L)に、窒素気流下で、レゾルシノール(22g、0.2mol)と、アセトアルデヒド(8.8g,0.2mol)と、脱水エタノール(200ml)を導入した。この溶液を攪拌しながら85℃まで加熱、次いで濃塩酸(35%)75mlを、滴下漏斗を用いて滴下した後、引き続き85℃で3時間攪拌した。反応終了後、放冷し、室温に到達させた後、氷浴で冷却、1時間静置後、生成した粗結晶を濾別した。これをメタノールで2回洗浄し、濾別、真空乾燥させることにより、目的とする下記化合物(4)(収率95%)を得た。

Figure 2011173868
Comparative Example 1 (Synthesis of Compound (4))
In a four-necked flask (1 L) equipped with a dropping funnel, Jim Roth condenser, thermometer, and stirring blade, under a nitrogen stream, resorcinol (22 g, 0.2 mol) and acetaldehyde (8.8 g, 0.8. 2 mol) and dehydrated ethanol (200 ml) were introduced. The solution was heated to 85 ° C. with stirring, and 75 ml of concentrated hydrochloric acid (35%) was added dropwise using a dropping funnel, and subsequently stirred at 85 ° C. for 3 hours. After completion of the reaction, the reaction mixture was allowed to cool to room temperature, cooled in an ice bath, allowed to stand for 1 hour, and then generated crude crystals were separated by filtration. This was washed twice with methanol, filtered, and vacuum dried to obtain the target compound (4) (yield 95%).
Figure 2011173868

(フォトレジスト組成物)
実施例3
基材、PAG(光酸発生材)、クエンチャー、架橋剤、溶剤からなるフォトレジスト組成物を作製し、電子線を使用してシリコンウェハにパターンを形成した。
基材として、実施例1で得た化合物(2)、PAGとしてトリフェニルスルホニウムノナフルオロブタンスルホネート、クエンチャーとして1,4−ジアザビシクロ(2,2,2)オクタン、そして架橋剤としてMX270(三和ケミカル社製)を使用した。各成分の配合を表1に示す。これらの固体成分の濃度が2重量%となるようにプロピレングリコールモノメチルエーテルに溶解させ、フォトレジスト組成物とした。
(Photoresist composition)
Example 3
A photoresist composition comprising a base material, PAG (photoacid generator), quencher, crosslinking agent, and solvent was prepared, and a pattern was formed on a silicon wafer using an electron beam.
As a substrate, compound (2) obtained in Example 1, triphenylsulfonium nonafluorobutanesulfonate as PAG, 1,4-diazabicyclo (2,2,2) octane as a quencher, and MX270 (Sanwa) as a crosslinking agent Chemical Co.) was used. Table 1 shows the composition of each component. These solid components were dissolved in propylene glycol monomethyl ether so as to have a concentration of 2% by weight to obtain a photoresist composition.

実施例4、比較例2
表1に示すように、基材で使用する化合物及び配合を変更した他は、実施例3と同様にしてフォトレジスト組成物を調製した。
Example 4 and Comparative Example 2
As shown in Table 1, a photoresist composition was prepared in the same manner as in Example 3 except that the compound used in the substrate and the formulation were changed.

上記実施例3,4及び比較例2で得たフォトレジスト組成物を、それぞれ、ヘキサメチルジシラザン(HMDS)処理を施したシリコンウェハ上にスピンコートし、表1に示す温度でプリベーク(露光前ベーク)(PB)することにより薄膜を形成した。次いで、この薄膜を有する基板に対して電子線描画装置(加速電圧50kV)を用いて描画し、表1に示す温度で露光後ベーク(PEB)した後、濃度が2.38重量%のテトラブチルアンモニウムヒドロキシド水溶液で60秒間現像処理し、純水にて60秒洗浄、その後、窒素気流により乾燥した。走査型電子顕微鏡による観察結果から得られた、サイズが1/1のライン/スペースパターンを作製した際の解像度(ハーフピッチ)と感度(必要な電子線ドーズ量)及びラインエッジラフネス(LER)の結果を表2に示す。LERは50nm、1:1ラインアンドスペースパターンについて測定を実施した。
尚、クエンチャーとして1,4−ジアザビシクロ(2,2,2)オクタンの代わりにトリn−オクチルアミンを用いても結果は同一であった。
The photoresist compositions obtained in Examples 3 and 4 and Comparative Example 2 were each spin-coated on a silicon wafer subjected to hexamethyldisilazane (HMDS) treatment and pre-baked (before exposure) at the temperatures shown in Table 1. A thin film was formed by baking (PB). Next, the substrate having this thin film was drawn using an electron beam drawing apparatus (acceleration voltage 50 kV), and after exposure bake (PEB) at the temperature shown in Table 1, tetrabutyl having a concentration of 2.38 wt%. The film was developed with an aqueous ammonium hydroxide solution for 60 seconds, washed with pure water for 60 seconds, and then dried with a nitrogen stream. The resolution (half pitch), sensitivity (required electron beam dose), and line edge roughness (LER) when a line / space pattern with a size of 1/1 was obtained from the results of observation with a scanning electron microscope. The results are shown in Table 2. LER was measured for a 50 nm, 1: 1 line and space pattern.
The results were the same even when tri-n-octylamine was used in place of 1,4-diazabicyclo (2,2,2) octane as the quencher.

Figure 2011173868
基材・PAG・クエンチャー・架橋剤中の数字は配合量(質量部)である。
Figure 2011173868
The numbers in the base material, PAG, quencher, and crosslinking agent are blending amounts (parts by mass).

Figure 2011173868
LERは3nm以下を○、3nm<LER<4nmを△、4nm以上を×とした。
Figure 2011173868
For LER, 3 nm or less was evaluated as ◯, 3 nm <LER <4 nm as Δ, and 4 nm or more as X.

本発明の化合物は、フォトレジスト基材又は組成物、特に極端紫外光用及び/又は電子線用フォトレジスト基材又は組成物に好適に使用できる。本発明のフォトレジスト及びフォトレジスト組成物は、半導体装置等の電気・電子分野や光学分野等において好適に用いられる。   The compound of the present invention can be suitably used for a photoresist substrate or composition, particularly for an extreme ultraviolet light and / or an electron beam photoresist substrate or composition. The photoresist and the photoresist composition of the present invention are suitably used in the electric / electronic field and the optical field such as semiconductor devices.

Claims (10)

下記式(1)で表される化合物。
Figure 2011173868
(式中、Rは、下記式(2)〜(4)のいずれかで表される基である。
は、水酸基、置換もしくは無置換の炭素数1〜20の直鎖状アルコキシ基、置換もしくは無置換の炭素数3〜12の分岐アルコキシ基、置換もしくは無置換の炭素数3〜20の環状アルコキシ基、置換もしくは無置換の炭素数6〜10のアリーロキシ基、アルコキシアルコキシ基、シロキシ基、又はこれらの基と2価の基とが結合した基であり、
前記2価の基は、置換もしくは無置換のアルキレンオキシ基、置換もしくは無置換のアリーレンオキシ基、置換もしくは無置換のシリレンオキシ基、これらの基が2以上結合した基、又はこれらの基と、エステル結合、炭酸エステル結合又はエーテル結合が結合した基である。
は、水素原子、Rで表される基、炭素数1〜20の直鎖状脂肪族炭化水素基、炭素数3〜12の分岐脂肪族炭化水素基、炭素数3〜20の環状脂肪族炭化水素基、炭素数6〜10の芳香族基又は酸素原子を含む基である。
式(1)に複数あるR、R及びRは、それぞれ同じであっても異なっていてもよい。
Figure 2011173868
(式中、Arは、置換もしくは無置換の炭素数6〜10のアリーレン基、置換もしくは無置換の炭素数6〜10のアリーレン基を2つ以上組み合わせた基、又はアルキレン基及びエーテル結合から選択される1つ以上と置換もしくは無置換の炭素数6〜10のアリーレン基を組み合わせた基であり、
置換基を有する場合の置換基は、臭素、フッ素、ニトリル基、又は炭素数1〜10のアルキル基である。
は、式(5)で表される基である。
3’−L−O− (5)
Lは、下記式(6)〜(8)で表される基から選択される1つ又は2つ以上が連結されているものであり、任意の連結順を取る。式(6)〜(8)で表される基がそれぞれ複数含まれる場合、同一でも異なっていてもよい。
Figure 2011173868
(式中、R4’〜R7’はそれぞれ、水素原子、アルキル基又はヘテロ原子含有アルキル基であり、下記式(i)で表される脂環構造含有基と結合して環状構造を形成していてもよく、複数のR4’〜R7’が互いに結合して環状構造を形成していてもよい。)
3’は下記式(i)で表される基である。
Figure 2011173868
(式中、Nは、エポキシ基、オキセタニル基、ヒドロキシ基、アルコキシ基、アセトキシ基、窒素含有官能基、硫黄含有官能基、カルボニル基、カルボキシ基、アリールオキシ基、炭素−炭素2重結合から選択される1以上の基を有する炭素数1〜20の単官能性基又は多官能性基である。
は、ヘテロ原子、環状構造を有してもよい炭素数1〜10の2価の置換もしくは無置換の炭化水素基である。p及びqは、それぞれ独立に、0以上の整数であり、p及びqのうち少なくとも1つは1以上である。複数のRは同一であっても、異なっていてもよく、複数のNは同一であっても、異なっていてもよい。)
、Rは、それぞれ水素原子、置換もしくは無置換の炭素数1〜20の直鎖状脂肪族炭化水素基、置換もしくは無置換の炭素数3〜12の分岐脂肪族炭化水素基、置換もしくは無置換の炭素数3〜20の環状脂肪族炭化水素基、置換もしくは無置換の炭素数6〜12の芳香族基、又はこれら基のうち2以上を組み合わせた基である。
は、アルキレン基、エーテル結合、アルキレン基を2以上組み合わせた基、又はアルキレン基1以上とエーテル結合1以上を組み合わせた基である。
xは1〜5、yは0〜3、zは0〜4の整数である。
複数のR、R、R、Ar、A、L、x、y及びzは、それぞれ同じであっても異なっていてもよい。))
A compound represented by the following formula (1).
Figure 2011173868
(In formula, R is group represented by either of following formula (2)-(4).
R 1 is a hydroxyl group, a substituted or unsubstituted linear alkoxy group having 1 to 20 carbon atoms, a substituted or unsubstituted branched alkoxy group having 3 to 12 carbon atoms, or a substituted or unsubstituted cyclic group having 3 to 20 carbon atoms. An alkoxy group, a substituted or unsubstituted aryloxy group having 6 to 10 carbon atoms, an alkoxyalkoxy group, a siloxy group, or a group in which these groups and a divalent group are bonded;
The divalent group includes a substituted or unsubstituted alkyleneoxy group, a substituted or unsubstituted aryleneoxy group, a substituted or unsubstituted silyleneoxy group, a group in which two or more of these groups are bonded, or a group thereof. A group having an ester bond, a carbonate ester bond or an ether bond.
R 2 is a hydrogen atom, a group represented by R 1 , a linear aliphatic hydrocarbon group having 1 to 20 carbon atoms, a branched aliphatic hydrocarbon group having 3 to 12 carbon atoms, or a cyclic group having 3 to 20 carbon atoms. An aliphatic hydrocarbon group, an aromatic group having 6 to 10 carbon atoms, or a group containing an oxygen atom.
A plurality of R, R 1 and R 2 in the formula (1) may be the same or different.
Figure 2011173868
(In the formula, Ar is selected from a substituted or unsubstituted arylene group having 6 to 10 carbon atoms, a group in which two or more substituted or unsubstituted arylene groups having 6 to 10 carbon atoms are combined, or an alkylene group and an ether bond. A combination of one or more of the above and a substituted or unsubstituted arylene group having 6 to 10 carbon atoms,
In the case of having a substituent, the substituent is bromine, fluorine, a nitrile group, or an alkyl group having 1 to 10 carbon atoms.
R 3 is a group represented by the formula (5).
R 3 ′ -L—O— (5)
L is one to which one or two or more selected from groups represented by the following formulas (6) to (8) are connected, and takes an arbitrary connection order. When a plurality of groups represented by formulas (6) to (8) are included, they may be the same or different.
Figure 2011173868
(In the formula, each of R 4 ′ to R 7 ′ is a hydrogen atom, an alkyl group or a heteroatom-containing alkyl group, and is bonded to an alicyclic structure-containing group represented by the following formula (i) to form a cyclic structure. And a plurality of R 4 ′ to R 7 ′ may be bonded to each other to form a cyclic structure.)
R 3 ′ is a group represented by the following formula (i).
Figure 2011173868
(In the formula, N is selected from an epoxy group, an oxetanyl group, a hydroxy group, an alkoxy group, an acetoxy group, a nitrogen-containing functional group, a sulfur-containing functional group, a carbonyl group, a carboxy group, an aryloxy group, and a carbon-carbon double bond. It is a C1-C20 monofunctional group or polyfunctional group which has 1 or more group formed.
R 8 is a heteroatom, a substituted or unsubstituted divalent hydrocarbon group having 1 to 10 carbon atoms which may have a cyclic structure. p and q are each independently an integer of 0 or more, and at least one of p and q is 1 or more. A plurality of R 8 may be the same or different, and a plurality of N may be the same or different. )
R 4 and R 5 are each a hydrogen atom, a substituted or unsubstituted linear aliphatic hydrocarbon group having 1 to 20 carbon atoms, a substituted or unsubstituted branched aliphatic hydrocarbon group having 3 to 12 carbon atoms, and a substituted group. Alternatively, it is an unsubstituted cyclic aliphatic hydrocarbon group having 3 to 20 carbon atoms, a substituted or unsubstituted aromatic group having 6 to 12 carbon atoms, or a group obtained by combining two or more of these groups.
A 1 is an alkylene group, an ether bond, a group in which two or more alkylene groups are combined, or a group in which one or more alkylene groups and one or more ether bonds are combined.
x is an integer of 1 to 5, y is 0 to 3, and z is an integer of 0 to 4.
A plurality of R 3 , R 4 , R 5 , Ar, A 1 , L, x, y, and z may be the same or different. ))
前記式(1)の同一芳香族環上のRのうち、少なくとも1つが水酸基である請求項1に記載の化合物。 The compound according to claim 1, wherein at least one of R 1 on the same aromatic ring of the formula (1) is a hydroxyl group. 前記Nが酸素含有基又は窒素含有基である請求項1又は2に記載の化合物。   The compound according to claim 1 or 2, wherein N is an oxygen-containing group or a nitrogen-containing group. 請求項1〜3のいずれか1項に記載の化合物及び溶剤を含有するフォトレジスト組成物。   The photoresist composition containing the compound and solvent of any one of Claims 1-3. 光酸発生剤を含有する請求項4に記載のフォトレジスト組成物。   The photoresist composition of Claim 4 containing a photo-acid generator. 塩基性有機化合物をクエンチャーとして含有する請求項4又は5に記載のフォトレジスト組成物。   The photoresist composition according to claim 4 or 5, which contains a basic organic compound as a quencher. 架橋剤を含有する請求項4〜6のいずれか1項に記載のフォトレジスト組成物。   The photoresist composition of any one of Claims 4-6 containing a crosslinking agent. 請求項4〜7のいずれか1項に記載のフォトレジスト組成物を用いた微細加工方法。   The fine processing method using the photoresist composition of any one of Claims 4-7. 請求項8に記載の微細加工方法により作製した半導体装置。   A semiconductor device manufactured by the microfabrication method according to claim 8. 請求項9に記載の半導体装置を備えた装置。   An apparatus comprising the semiconductor device according to claim 9.
JP2011011832A 2010-01-29 2011-01-24 Cyclic compound and negative resist composition using the same Pending JP2011173868A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2011011832A JP2011173868A (en) 2010-01-29 2011-01-24 Cyclic compound and negative resist composition using the same

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2010018268 2010-01-29
JP2010018268 2010-01-29
JP2011011832A JP2011173868A (en) 2010-01-29 2011-01-24 Cyclic compound and negative resist composition using the same

Publications (1)

Publication Number Publication Date
JP2011173868A true JP2011173868A (en) 2011-09-08

Family

ID=44687088

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011011832A Pending JP2011173868A (en) 2010-01-29 2011-01-24 Cyclic compound and negative resist composition using the same

Country Status (1)

Country Link
JP (1) JP2011173868A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013069812A1 (en) * 2011-11-10 2013-05-16 Fujifilm Corporation Actinic-ray- or radiation-sensitive resin composition, actinic-ray- or radiation-sensitive film, mask blank and method of forming pattern

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013069812A1 (en) * 2011-11-10 2013-05-16 Fujifilm Corporation Actinic-ray- or radiation-sensitive resin composition, actinic-ray- or radiation-sensitive film, mask blank and method of forming pattern
JP2013122569A (en) * 2011-11-10 2013-06-20 Fujifilm Corp Actinic ray-sensitive or radiation-sensitive resin composition, actinic ray-sensitive or radiation-sensitive film, mask blank and method for forming pattern
US9400430B2 (en) 2011-11-10 2016-07-26 Fujifilm Corporation Actinic-ray- or radiation-sensitive resin composition, actinic-ray- or radiation-sensitive film, mask blank and method of forming pattern

Similar Documents

Publication Publication Date Title
JP5354420B2 (en) Cyclic compound, photoresist base material, photoresist composition, fine processing method and semiconductor device
US10180626B2 (en) Sulfonium salt, resist composition, and patterning process
JP5049935B2 (en) Positive resist composition and resist pattern forming method
TWI534531B (en) Resist composition, method of forming resist pattern, and polymeric compound
JP2012083731A (en) Radiation-sensitive composition and photoresist composition
WO2009119784A1 (en) Cyclic compound, process for producing cyclic compound, photoresist base material comprising cyclic compound, photoresist composition, microprocessing method, semiconductor device, and apparatus
JP2010138109A (en) Cyclic compound and method for producing the same
TWI614230B (en) Compound, radical polymerization initiator, method of producing compound, polymer, resist composition, method of forming resist pattern
TWI352696B (en) Compound, positive resist composition and formatio
JP5435995B2 (en) Method for producing cyclic compound
WO2010067621A1 (en) Cyclic compounds and photoresist compositions using same
WO2010067622A1 (en) Stereoisomeric cyclic compounds, manufacturing method therefor, compositions comprising the stereoisomeric cyclic compounds and manufacturing method therefor
JP5544078B2 (en) Positive resist composition and resist pattern forming method
TWI427416B (en) Positive resist composition, method of forming resist pattern, polymeric compound, and compound
JP2011173868A (en) Cyclic compound and negative resist composition using the same
JP2010285376A (en) Cyclic compound, photoresist base material and photoresist composition
WO2010143436A1 (en) Cyclic compound, photoresist base material, and photoresist composition
JP2008203612A (en) Negative resist composition and resist pattern forming method
JP2011153087A (en) Precursor of acid-dissociative dissolution-inhibiting group, and cyclic compound having acid-dissociative dissolution-inhibiting group
JP2009098448A (en) Photoresist composition for extreme ultraviolet light and/or electron beam and microfabrication method using the same
WO2010067627A1 (en) Precursor for acid-dissociable dissolution-inhibitive group and cyclic compound having acid-dissociable dissolution-inhibitive group
US20110189618A1 (en) Resist processing method
JP5373124B2 (en) Compound
JP5504892B2 (en) Novel tricyclodecane derivative and process for producing the same
KR20120120222A (en) Bicyclohexane derivative and production method for same