JP2011171713A - Pattern definition device with multiple multi-beam array - Google Patents

Pattern definition device with multiple multi-beam array Download PDF

Info

Publication number
JP2011171713A
JP2011171713A JP2010282083A JP2010282083A JP2011171713A JP 2011171713 A JP2011171713 A JP 2011171713A JP 2010282083 A JP2010282083 A JP 2010282083A JP 2010282083 A JP2010282083 A JP 2010282083A JP 2011171713 A JP2011171713 A JP 2011171713A
Authority
JP
Japan
Prior art keywords
array means
aperture
apertures
positioning
openings
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2010282083A
Other languages
Japanese (ja)
Other versions
JP5688638B2 (en
Inventor
Elmar Platzgummer
プラッツグンマー エルマー
Hans Loeschner
ロシュナー ハンス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
IMS Nanofabrication GmbH
Original Assignee
IMS Nanofabrication GmbH
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by IMS Nanofabrication GmbH filed Critical IMS Nanofabrication GmbH
Publication of JP2011171713A publication Critical patent/JP2011171713A/en
Application granted granted Critical
Publication of JP5688638B2 publication Critical patent/JP5688638B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/04Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
    • H01J37/045Beam blanking or chopping, i.e. arrangements for momentarily interrupting exposure to the discharge
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/26Electron or ion microscopes; Electron or ion diffraction tubes
    • H01J37/28Electron or ion microscopes; Electron or ion diffraction tubes with scanning beams
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3174Particle-beam lithography, e.g. electron beam lithography
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/04Means for controlling the discharge
    • H01J2237/043Beam blanking
    • H01J2237/0435Multi-aperture
    • H01J2237/0437Semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/04Means for controlling the discharge
    • H01J2237/045Diaphragms
    • H01J2237/0456Supports
    • H01J2237/0458Supports movable, i.e. for changing between differently sized apertures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/15Means for deflecting or directing discharge
    • H01J2237/1501Beam alignment means or procedures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/15Means for deflecting or directing discharge
    • H01J2237/1502Mechanical adjustments

Abstract

<P>PROBLEM TO BE SOLVED: To provide a multi-beam pattern defining (PD) system, capable of correcting a distortion error in an image forming system and a charged particle processing or inspecting apparatus. <P>SOLUTION: An aperture array means (202) includes at least two sets of apertures (221, 222) to determine respective beamlets (b1-b5). Here, the sets of apertures include a plurality of apertures arranged in an intermingled arrangement and different sets of apertures are offset from one another by a common displacement vector (dl2). An opening array means (201) has a plurality of openings (210), although configured, to allow a subset of beamlets corresponding to one of the sets of apertures to pass through, but it does not have an opening (to allow the beam to pass through), at a position corresponding to the other sets of apertures. A positioning means moves the aperture array means in cooperation with the opening array means, in order to selectively align one of the sets of apertures with an opening of the opening array means. <P>COPYRIGHT: (C)2011,JPO&INPIT

Description

本発明は、粒子ビーム処理または点検装置において用いられるマルチビーム・パターン定義装置に関するものである。装置は、荷電粒子、特にイオンのビームで照射されるように適応し、複数の開口を通じてそのビームの通過を可能にし、したがって対応する数のビームレットを形成する。その装置は、前記開口が実現される開口アレイ手段と、前記開口によって形成されたビームレットの少なくとも部分集合の通過のために構成される複数の孔を有する孔アレイ手段とを含む。   The present invention relates to a multi-beam pattern definition device used in a particle beam processing or inspection device. The device is adapted to be irradiated with a beam of charged particles, in particular ions, allowing the beam to pass through a plurality of apertures, thus forming a corresponding number of beamlets. The apparatus includes aperture array means in which the aperture is realized and hole array means having a plurality of holes configured for passage of at least a subset of the beamlets formed by the aperture.

本発明は、そのようなマルチビーム・パターン定義装置を使用する方法、特に、粒子ビーム処理または点検装置へのマルチビーム書き込みのための多重パスの方法を使用する方法にも関連するものである。 The invention also relates to a method of using such a multi-beam pattern definition device, in particular a method of using a multi-pass method for multi-beam writing to a particle beam processing or inspection device.

粒子リソグラフィおよび処理は、半導体生産および微細構造の用途において使われる。特にイオンビーム照射によるダイレクト・パターニングは、高解像度を有するナノスケール装置、特に、32nmおよび22nmのノードおよびそれ以下のノードにおいて将来的な工業製造のために有望な概念である。所望の設計パターンを含むように荷電粒子ビーム(特に、イオンビーム)をパターニングする装置−パターン定義装置(PD装置)と呼ばれる−は、好ましくは、プログラム可能な複数の開口装置であり、その開口は、ビームから装置を照射するビームレットを形成するように設計され、選択的にそれらの通路上にいくつかのビームレットを保ち、他のビームレットを進路変更させて、後者が、ターゲット表面上に作成される所望の設計パターンに従って基板に届かないようにプログラム可能である。プログラム可能な複数開口プレートに基づくマルチビーム投影光学系の実施により、収束した単一のビーム・システムと比較して達成可能な生産性の重大な改良が可能になった。改良された生産性の理由は、第1に、複数のビームを用いたプロセスの平行構造であり、第2に、同じ解像度で基板に撮像することができる電流の増加である。両方とも、ビームにおけるかなり減少したクーロン相互作用によって可能になる。さらに、投影光学系に関連した中程度の電流密度によって、前駆体ガスがビームに引き起こされた化学プロセスのために使われる場合に処理速度が高められる。収束ビーム・システムと比較して、また、極端なビーム強度のための加熱効果の減少も防ぐことができる。 Particle lithography and processing are used in semiconductor production and microstructure applications. Direct patterning, particularly by ion beam irradiation, is a promising concept for future industrial manufacturing in high-resolution nanoscale devices, especially nodes of 32 nm and 22 nm and below. An apparatus for patterning a charged particle beam (especially an ion beam) to include a desired design pattern—referred to as a pattern definition apparatus (PD apparatus) —is preferably a plurality of programmable aperture devices, the aperture of which Designed to form beamlets that illuminate the device from the beam, selectively keeping some beamlets on their paths and redirecting other beamlets, the latter on the target surface It can be programmed not to reach the board according to the desired design pattern to be created. Implementation of multi-beam projection optics based on programmable multi-aperture plates has enabled significant improvements in achievable productivity compared to a converged single beam system. The reasons for improved productivity are firstly the parallel structure of the process using multiple beams and secondly the increase in current that can be imaged on the substrate with the same resolution. Both are made possible by significantly reduced Coulomb interactions in the beam. Furthermore, the moderate current density associated with the projection optics increases processing speed when the precursor gas is used for a beam induced chemical process. Compared to a focused beam system, it can also prevent a reduction in heating effect due to extreme beam intensity.

米国特許第6,768,125号US Pat. No. 6,768,125 米国出願第2009/0200495 A1号US Application No. 2009/0200495 A1

粒子ビーム装置および関連する開口アレイ手段は、譲受人/出願人の米国特許第6,768,125号および米国出願第2009/0200495 A1号において開示される。それらの文献は、マルチビーム直接書き込み概念を実現し、荷電粒子の単一の供給源から引き出された粒子ビームを組み立てるためのパターン定義(PD)装置としてプログラム可能なアパーチャー・プレート・システム(APS)を用いる荷電粒子リソグラフィおよびPML2(「投影マスクレス・リソグラフィ」の省略)と呼ばれる処理方法と装置を記載する。 Particle beam devices and associated aperture array means are disclosed in Assignee / Applicant US Pat. No. 6,768,125 and US Application No. 2009/0200495 A1. These documents implement the multi-beam direct writing concept and an aperture plate system (APS) programmable as a pattern definition (PD) device for assembling a particle beam extracted from a single source of charged particles. A processing method and apparatus called charged particle lithography and PML2 (abbreviation of “projection maskless lithography”) are described.

APSは、概して連続的なスタックのような構成のプレート、すなわち、開口アレイ手段(開口プレート)と、偏向アレイ手段(メクラプレート)と、可能であればカバープレートまたは散在する修正プレートのような追加の孔アレイ手段として実現する多くの装置とを含む。開口プレートは、ターゲット表面に投影されるビームレットからなるビーム・パターンを定める開口のアレイを含む。メクラプレート上の対応しているブランキング開口は、前記開口と関連している。前記ブランキング開口は、各々のビームレットがそれぞれビームレットを定める開口に対応するブランキング開口を横断するように位置する。各々のブランキング開口は、2つの偏向状態、すなわち、開口を通過している粒子が所望の通路に沿って移動することができる状態をビームレット偏向手段が呈する第1の状態(「スイッチ・オン」)と、ビームレット偏向手段が前記通路を離れて開口を通じて伝えられる粒子を偏向させる第2の状態(「スイッチ・オフ」)との間でブランキング信号によって制御することができるビームレット偏向手段を備える。追加のカバープレートは、ビームレットが作られる領域を除いて、入って来るビームの衝撃から他のプレートを保護するため、他のプレートの前に配置される。さらに、修正プレートは、例えばイメージングの欠点の補正または交差の収差の調整のような特別なイメージング特性を達成するためのビームレットの方向の特定の修正を導入するために存在する。   APSs are generally configured as a continuous stack of plates, i.e. aperture array means (aperture plates), deflection array means (mekura plates) and possibly additional plates such as cover plates or interspersed correction plates And many devices realized as hole array means. The aperture plate includes an array of apertures that define a beam pattern of beamlets projected onto the target surface. A corresponding blanking opening on the mekra plate is associated with the opening. The blanking aperture is positioned such that each beamlet traverses a blanking aperture corresponding to the aperture that defines the beamlet. Each blanking aperture is in a first state ("switch-on") in which the beamlet deflection means exhibits two deflection states, i.e., the particles passing through the aperture can move along the desired path. )) And a second state (“switched off”) in which the beamlet deflecting means deflects particles transmitted through the aperture away from the passage and can be controlled by a blanking signal Is provided. Additional cover plates are placed in front of other plates to protect other plates from the impact of incoming beams, except in the area where the beamlets are made. In addition, correction plates exist to introduce specific corrections in the direction of the beamlets to achieve special imaging characteristics, such as correction of imaging defects or adjustment of cross aberrations.

米国特許第6,768,125号および関連した従来技術にて開示されたように、PML2レイアウトにおいて、ターゲット上のパターニングされたビームの位置は、ターゲットの1つのピクセルが光学系の元で移動する持続期間においてのみ対応しているピクセル位置に固定して保持される。それから、パターニングされたビームは、前のものと隣接している次のピクセルの位置へジャンプする。このように、各々のビームレットは、ターゲット上の隣接したピクセルに適用される。APSでの/へのデータ転送を大幅に減らすこの方法の変形例は、譲受人/出願人の米国特許出願2008/0237460 A1号において議論され、そこにおいて、各々のビームレットは、複数のピクセルをカバーする距離によるターゲットの動きのピクセルの露出サイクルに対応する、より長い持続期間の間でさえターゲット上のそれぞれのピクセルの(動いている)位置に固定される。結果として、ビームレットはしたがって、イメージング光学の偏向系によって偏向する(図1の偏向器16)。 As disclosed in US Pat. No. 6,768,125 and related prior art, in the PML2 layout, the position of the patterned beam on the target moves one pixel of the target under the optics. It is held fixed at the corresponding pixel location only for the duration. The patterned beam then jumps to the next pixel location that is adjacent to the previous one. Thus, each beamlet is applied to an adjacent pixel on the target. A variation of this method that significantly reduces data transfer at / to the APS is discussed in assignee / applicant's US Patent Application No. 2008/0237460 A1, where each beamlet contains multiple pixels. It is fixed at the (moving) position of each pixel on the target, even for a longer duration, corresponding to the pixel exposure cycle of the target movement by the distance covered. As a result, the beamlet is therefore deflected by the deflection system of the imaging optics (deflector 16 in FIG. 1).

最新のPD装置は、一定の配置の開口を有する。したがって、異なる限界寸法(すなわち、作成される最小の特徴の長さ寸法)を有するパターン部でターゲットが処理される場合、PD装置は、変わるべきである。PD装置をより柔軟にし、開口配置の複数の形状を実現させる方法を見つけることが本発明の目的である。   Modern PD devices have a fixed arrangement of openings. Accordingly, the PD device should change if the target is processed with a pattern portion having a different critical dimension (ie, the length dimension of the smallest feature created). It is an object of the present invention to find a way to make a PD device more flexible and to realize multiple shapes of aperture arrangement.

本発明の第1の態様によれば、始めに言及された種類で、開口アレイ手段と孔アレイ手段とを有するマルチビーム・パターン定義装置は、以下の構成の供給によって「複数のマルチビーム・アレイ」を備える:開口アレイ手段は少なくとも2セットの開口を含み、各々が開口アレイ上で(本質的に)規則的な配置で配置される複数の開口を含み、セットの配置、少なくとも部分的に織り交ざり、そこで異なるセットの開口は少なくとも、共通の移動ベクトルに対応する(本質的に)移動によって配置が互いに織り交ざりオフセットされる領域にある。これに対応して、前記開口によって形成された少なくともビームレットの部分集合の通路のために構成された開口アレイの複数の開口、少なくとも配置の織り交ざる前記領域に対応する領域において、孔アレイ手段は、本質的に規則的な配置で配置される複数の開口を含み、前記領域、および、他の開口のセット開口に対応する場所で欠如している開口(孔アレイ手段はそこでは不透過である)において、前記配置は前記セットの開口のうちの1つの配置に対応する。   According to a first aspect of the present invention, a multi-beam pattern definition device of the kind first mentioned and having an aperture array means and a hole array means is provided with the following configuration: “Multiple beam arrays” The aperture array means comprises at least two sets of apertures, each comprising a plurality of apertures arranged in an (essentially) regular arrangement on the aperture array, the arrangement of the set, at least partially woven Interlaces, where the different sets of apertures are at least in the region where the arrangements are interlaced and offset by movements corresponding to a common movement vector. Correspondingly, a plurality of apertures of an aperture array configured for passage of at least a subset of beamlets formed by the apertures, at least in a region corresponding to the interlaced region of the aperture array means Includes a plurality of apertures arranged in an essentially regular arrangement, and lacks apertures in the area corresponding to the set apertures of the region and other apertures (the hole array means is impervious there. The arrangement corresponds to an arrangement of one of the openings of the set.

さらに好都合にも、開口アレイ手段および/または孔アレイ手段を配置する位置決め手段は、開口アレイ手段および孔アレイ手段の位置を互いに関連するように調整するために提供される。これらの位置決め手段は、開口および孔が少なくとも配置の織り交ざる前記領域で整列するように、選択的に開口アレイ手段の開口のセットの1つ、すなわち選択されたセットを複数の孔アレイ手段の開口と整列するように構成される。 More conveniently, positioning means for arranging the aperture array means and / or the hole array means are provided for adjusting the positions of the aperture array means and the hole array means relative to each other. These positioning means selectively select one of the sets of openings of the aperture array means, i.e. the selected set of the plurality of hole array means, so that the openings and holes align at least in the interlaced region of the arrangement. Configured to align with the opening.

本発明の典型的な実現において、各々のセット内の開口は同等の形状およびサイズを有し、一方で、異なるセットの開口はそれらのサイズおよび/または形状において異なる。さらに本発明の適切な実施態様において、開口アレイ手段、および、少なくとも1つの孔アレイ手段は、ビームに対して本質的に垂直に向きを定められ、ビームの通路に配置されるように設計された膜部を有するプレートのような装置として実現される。 In a typical implementation of the invention, the openings in each set have an equivalent shape and size, while the different sets of openings differ in their size and / or shape. Furthermore, in a suitable embodiment of the invention, the aperture array means and the at least one hole array means are designed to be oriented essentially perpendicular to the beam and arranged in the path of the beam. It is realized as a plate-like device having a film part.

本発明により、単に幾何学的なスポットサイズを切替えることによって1つのPDシステム内で限界寸法の異なる値の実現が可能になる。スポットサイズは開口のサイズによって定められ、したがって、適切な開口セットの活性化によって選ぶことができる。特に、ターゲット上のより重要でない領域またはパターニング要素のためにより大きいスポットサイズを選ぶことができ、一方で、より小さなスポットサイズが最も重要なパターン要素の用途にのみ使われる。これにより、生産の効率が高められる。 The present invention allows the realization of different critical dimension values within a PD system by simply switching the geometric spot size. The spot size is determined by the size of the aperture and can therefore be selected by activation of a suitable aperture set. In particular, a larger spot size can be chosen for less important areas or patterning elements on the target, while a smaller spot size is used only for the most important pattern element applications. This increases the production efficiency.

本発明の他の利点は、特に異なるイオンの種類が使われる場合、クーロン相互作用に関連したぼやけからみて投影系を通過する電流の調整を可能にすることである。この文脈において、より高い粒子量はより大きいぼやけが生じることが注目される;これは、異なるビームレット幅を用いて補正することができ、それは代わりに異なる全体の電流のビームの原因になる(ビームレットの数が一定に保持されると仮定する)。   Another advantage of the present invention is that it allows adjustment of the current through the projection system in view of the blur associated with Coulomb interactions, especially when different ion types are used. In this context, it is noted that higher particle amounts result in greater blurring; this can be corrected using different beamlet widths, which instead cause a different overall current beam ( Suppose the number of beamlets is kept constant).

さらに、異なる開口セットは、動作およびパターンロックの試験、ビーム較正、および、正確なターゲット書込のような粒子ビーム装置の異なるタスクのために使うことができる。 Furthermore, different aperture sets can be used for different tasks of particle beam devices such as motion and pattern lock testing, beam calibration, and accurate target writing.

特にPML2概念と一致した本発明のさらなる展開において、例えばいわゆるメクラプレートの形での偏向アレイ手段は、各々のビームレットが名目上の通路に沿ったブランキング開口の1つを横断するように位置する複数のブランキング開口を有して存在し、そこにおいて、偏向アレイ手段は、それぞれがランキング開口と関連し、作動している電気の電圧がそれぞれの電極に適用される場合、その名目上の通路を離れてビームレットを偏向させるために十分な分量によってそれぞれのブランキング開口を横断しているビームレットを偏向させるように構成される複数の静電偏向電極を含む。さらに、電極は静電位を適用するための連絡線を個々に備え、関連する対極と共に、その名目上の通路を離れてビームレットを偏向させるために十分な分量によって、それぞれの対極に対して作動する電圧を適用する場合、それぞれのブランキング開口を横断しているビームレットを偏向させるように構成される。 In a further development of the invention, in particular in line with the PML2 concept, the deflection array means, for example in the form of a so-called mekra plate, are positioned so that each beamlet traverses one of the blanking openings along the nominal path. A plurality of blanking apertures, wherein the deflection array means is associated with each of the ranking apertures, and when an operating electrical voltage is applied to each electrode, its nominal A plurality of electrostatic deflection electrodes configured to deflect the beamlets traversing each blanking aperture by an amount sufficient to deflect the beamlets away from the passageway. In addition, the electrodes are individually provided with connecting lines for applying electrostatic potentials, with associated counter electrodes and actuated for each counter electrode by an amount sufficient to deflect the beamlet away from its nominal path. When a voltage is applied, the beamlet traversing each blanking aperture is configured to be deflected.

本発明の装置は、偏向アレイ手段とは分離した孔アレイ手段を含む。この孔アレイ手段は、装置の他のプレート構成要素の間に位置するカバープレートまたはビーム選択プレートを実現させる。   The apparatus of the present invention includes hole array means separate from the deflection array means. This hole array means implements a cover plate or beam selection plate located between the other plate components of the apparatus.

本発明の単純な実現において、偏向アレイ手段(メクラプレート)は、孔アレイ手段として構成される。この場合、この構成要素の向きを、偏向電極が入って来るビームから離れて向きを定められる偏向アレイ手段の側に位置するように定めることは好都合である。 In a simple realization of the invention, the deflection array means (mekra plate) is configured as a hole array means. In this case, it is advantageous to determine the orientation of this component so that it is located on the side of the deflection array means that is oriented away from the incoming beam.

開口のセットは、異なるダイスサイズでターゲット上の領域を露出させるために用いることができる開口アレイ手段の範囲上の異なる領域をカバーする。空間的に大きいまたは小さいビーム・アレイにより、光学的なぼやけおよび書込み方針の様々な必要条件の実施が可能になる。このために、開口セットは、開口アレイ手段上の、異なるが重なり合う領域上に延長し、1つの領域が次の領域において含まれる連続的に増加している領域を好ましくは実現させる。   The set of apertures covers different areas on the area of the aperture array means that can be used to expose areas on the target with different die sizes. Spatially large or small beam arrays allow implementation of various requirements for optical blurring and writing strategies. For this purpose, the aperture set preferably extends over different but overlapping areas on the aperture array means, preferably realizing a continuously increasing area where one area is included in the next area.

本発明の他の展開において、位置決め手段は、開口アレイ手段のみの位置を調整するように構成され、一方で、孔アレイ手段、および、可能であれば偏向アレイ手段(メクラプレート)もまた、装置内で固定される。   In another development of the invention, the positioning means are arranged to adjust the position of the aperture array means only, while the hole array means and possibly the deflection array means (mecla plate) are also the device. Fixed within.

開口のセットの開口の配置は、パターニングされたビームの特定の先行ひずみを導入するため、例えば、ターゲットへPD装置において作成されるパターンを投影するように使用される投影系の作像エラーによって導入されるひずみを補正するためにわずかに変化する。この場合、開口のセットの少なくとも1つの開口の位置は細かい配置移動によって、矩形または斜めの格子の厳密な位置から逸らされ、これらの細かい配置移動は、装置と連動して作動される投影系の作像エラーを修正し、そこにおいて、その細かい配置移動を含む各々の開口の位置は、開口アレイ手段への孔アレイ手段の対応している孔の投影によって、定められる領域に入り、開口アレイ手段の開口のそれぞれのセットが孔アレイ手段の複数の孔と整列するならば、前記投影はビームの方向に沿っている。 The placement of the apertures in the set of apertures is introduced by, for example, an imaging error of the projection system used to project the pattern created in the PD device onto the target to introduce a certain predistortion of the patterned beam Slightly changes to compensate for distortion. In this case, the position of at least one of the apertures in the set of apertures is displaced from the exact position of the rectangular or diagonal grid by fine positioning movements, and these fine positioning movements of the projection system operated in conjunction with the apparatus. Correcting the imaging error, where the position of each aperture, including its fine placement movement, enters the area defined by the projection of the corresponding hole of the hole array means onto the aperture array means, and the aperture array means If each set of apertures is aligned with a plurality of holes in the hole array means, the projection is along the direction of the beam.

もちろん、開口の様々なセットは、異なる細かい配置分配を呈して存在し、パターニングされたビームへ異なる先行ひずみを実現させる。したがって、ビームの先行ひずみは、他のビームと関連して単一のビーム位置ベクトルまたはビーム位置ベクトルの群を解像し(例えばファラデーカップでの単純なナイフエッジによって)、ひずみ状態を計算するために位置情報を使用するビーム位置検出器でのビーム・アレイのスキャンによって実行される元の位置の較正の後、最も適切な開口セットを選ぶことによって変えることができる。   Of course, various sets of apertures exist with different fine distributions and provide different predistortions to the patterned beam. Thus, beam predistortion is used to resolve a single beam position vector or group of beam position vectors relative to other beams (eg, by a simple knife edge in a Faraday cup) and to calculate the strain state. After calibration of the original position performed by scanning the beam array with a beam position detector that uses position information, it can be changed by choosing the most appropriate aperture set.

さらに、開口アレイ手段は、重なり合いさえしない(またはわずかにのみ重なる)開口を有するいくつかの小区域を含む;本発明の本態様において、位置決め手段は、開口アレイ手段を前記異なる小区域の方へ動かすために十分なオフセットされた範囲を提供しなければならない。   In addition, the aperture array means includes several sub-areas with openings that do not even overlap (or overlap only slightly); in this aspect of the invention, the positioning means moves the aperture array means towards the different sub-areas. Must provide enough offset range to move.

本発明の装置において、開口のセットの1つと孔アレイ手段の孔との間の整列を達成するため、以下の工程を含む方法を使うことができる:(i)荷電粒子のビームでの装置の照射、(ii)位置決めパラメータに関して開口アレイ手段、および、孔アレイ手段の相対的な位置指示を変化させる機能として、装置で伝えられる電流の測定、(iii)送信された電流の最大値の位置決めパラメータの値の決定、および(iv)位置決めパラメータの前記値により孔アレイ手段と関連した開口アレイ手段の位置決めの調整。   In the apparatus of the present invention, a method comprising the following steps can be used to achieve alignment between one of the set of apertures and the holes of the hole array means: (i) of the apparatus with a beam of charged particles Irradiation, (ii) measurement of the current delivered by the device as a function of changing the relative position indication of the aperture array means and the hole array means with respect to the positioning parameters, (iii) positioning parameters for the maximum value of the transmitted current And (iv) adjusting the positioning of the aperture array means associated with the hole array means according to the value of the positioning parameter.

本発明は、各々のパスが露出の異なる特性、例えば異なる限界寸法を有するマルチパス書込み方法の役に立つ。本発明によるマルチビーム・パターン定義装置を用いた粒子ビーム処理または点検装置におけるマルチビーム書込みのためのそのような方法は、次の工程を含む:(i)マルチビーム・パターン定義装置を用いたターゲット上の表面領域への第1のパターンの書込みであって、開口アレイ手段の開口の第1のセットは孔アレイ手段の孔と整列するような書込み、(ii)開口アレイ手段の開口の第2のセットを孔アレイ手段の孔と整列させるような、開口アレイ手段の位置決めの調整、および、(iii)ターゲット上の前記表面領域上への第2のパターンの書込み。ステップ(ii)および(iii)は開口の異なるセットの要求に応じて繰り返される。   The present invention is useful for multi-pass writing methods where each pass has a different characteristic of exposure, eg, different critical dimensions. Such a method for multi-beam writing in a particle beam processing or inspection device using a multi-beam pattern definition device according to the invention comprises the following steps: (i) a target using a multi-beam pattern definition device Writing a first pattern to the upper surface area, wherein the first set of openings of the aperture array means is aligned with the holes of the hole array means; (ii) the second of the openings of the aperture array means Adjusting the positioning of the aperture array means such that the set of holes is aligned with the holes of the hole array means, and (iii) writing a second pattern on the surface area on the target. Steps (ii) and (iii) are repeated as required for different sets of apertures.

以下では、本発明は、それぞれ概略的な形状において示される図面を参照してさらに詳細に記載される:
図1は、縦の部分における本発明に適している粒子ビームの露出装置の概要を示す; 図2は、PDシステムの上面図を示す; 図3は、図2のPDシステムの(それぞれ、左右の片方)側面および部分的な図を示す; 図4は、開口プレートが開口の2つのセットを含み、開口の第1のセットが作動する3プレート構成を有する本発明のPD構成の部分的な詳細を示す; 図4aは、開口の第2のセットを作動させるために移動された開口プレートの図4の変形である; 図5は、図4に対応するPD構成の一部の上面図を示す; 図6は、図4のPD構成の開口プレートの一部の上面図を示す; 図7は、PD構成内の開口プレートの位置決め制御を示す; 図8は、2プレート構成を有するPD構成の変形を示す; 図9は、3プレート構成を有するPD構成の他の変形を示す; 図10は、3セットの開口を有する開口プレートの変形を示す; 図11は、開口セットが異なるサイズである開口プレートの他の変形を示す; 図12は、開口セットの1つが作像の欠点を補正するための細かい配置偏向を含む開口プレートのさらに別の変形を示す。
In the following, the invention will be described in more detail with reference to the drawings, each shown in schematic form:
FIG. 1 shows an overview of a particle beam exposure apparatus suitable for the present invention in the vertical section; FIG. 2 shows a top view of the PD system; FIG. 3 shows a side view and a partial view of the PD system of FIG. 2 (one on each side, left and right); FIG. 4 shows partial details of a PD configuration of the present invention having a three-plate configuration in which the aperture plate includes two sets of apertures, with the first set of apertures operating; FIG. 4a is a variation of FIG. 4 of the aperture plate moved to actuate the second set of apertures; FIG. 5 shows a top view of a portion of the PD configuration corresponding to FIG. 4; 6 shows a top view of a portion of the aperture plate of the PD configuration of FIG. 4; FIG. 7 shows the positioning control of the aperture plate in the PD configuration; FIG. 8 shows a variation of a PD configuration having a two-plate configuration; FIG. 9 shows another variation of a PD configuration having a three plate configuration; FIG. 10 shows a variation of the aperture plate with three sets of apertures; FIG. 11 shows another variation of the aperture plate in which the aperture set is a different size; FIG. 12 shows yet another variation of the aperture plate in which one of the aperture sets includes fine placement deflection to correct imaging defects.

以下において議論される本発明の好ましい実施態様は、大幅削減した投影系を有する上述した米国特許第6,768,125号および米国特許出願第2009/0200495 A1号にて開示したようにPML2タイプの粒子ビーム露出装置およびそのパターン定義(PD)システムの展開である。以下において、最初に装置の技術的な背景が本発明に関連する限りにおいて議論され、それから、本発明の実施態様が詳述される。本発明は、単に発明の可能な実施態様の例を表すのみの以下の実施態様またはPDシステムの特定のレイアウトに制限されず、むしろ、発明は、粒子ビームおよびマルチビーム・パターニングを同様に使用する他のタイプの処理システムに適していると認識されるべきである。 The preferred embodiments of the present invention discussed below are of the PML2 type as disclosed in the above-mentioned US Pat. No. 6,768,125 and US Patent Application No. 2009/0200495 A1 with greatly reduced projection systems. Development of a particle beam exposure apparatus and its pattern definition (PD) system. In the following, first the technical background of the apparatus will be discussed as far as it relates to the present invention, then embodiments of the present invention will be described in detail. The present invention is not limited to the following embodiments or a specific layout of a PD system that merely represents examples of possible embodiments of the invention, but rather the invention uses particle beam and multi-beam patterning as well. It should be appreciated that it is suitable for other types of processing systems.

PML2システム
本発明に使用されるマスクレス粒子ビーム処理装置PML2の概略的な概要は、図1に示される。以下において、発明を開示するために必要であるので、それらの詳細のみが与えられる;明確性のため、構成要素は図1のサイズにおいて示されず、粒子ビームの特に横の幅が誇張される。詳細については、粒子ビーム装置およびPD手段の全体的なレイアウトに関する教示が参照によってここに含まれる米国特許第6,768,125号および米国特許出願第2009/0200495 A1号が参照される。
PML2 System A schematic overview of a maskless particle beam processing apparatus PML2 used in the present invention is shown in FIG. In the following, only those details will be given as it is necessary to disclose the invention; for clarity, the components are not shown in the size of FIG. 1, and in particular the lateral width of the particle beam is exaggerated. For details, reference is made to US Pat. No. 6,768,125 and US Patent Application No. 2009/0200495 A1, whose teachings regarding the overall layout of the particle beam device and PD means are included herein by reference.

すでに言及されたように、粒子供給源によって生成された粒子ビームは、PML2システムにおいて使われる。照明光学系は、ターゲット表面に投影されるビーム・パターンを定めるため、ビームで開口の規則的なアレイを有するPD手段を照らす広幅ビームを作成する。各々の開口で、小さなビーム(「ビームレット」とも呼ばれる)が定められ、ターゲットの方へのそれぞれの開口を通じたビームの粒子の通過を可能にする(「スイッチ・オン」)または効果的に停止させる(「スイッチ・オフ」)ように、開口を通じた各々のビームの通過を制御することができる。開口アレイに行き渡るビームは、開口の空間配置によって表されるパターン情報を持つパターニングされた粒子ビームを形成する。パターニングされたビームは、それからターゲット(例えば半導体基板)上へ粒子光学投影系によって投影され、そこで開口の画像はしたがって、照射される部分でターゲットを修正するために形成される。ビームによって形成される画像は、各々のダイの範囲上の直線の通路に沿って動かされる;スキャン方向に対して垂直方向のビームの追加のスキャンは、(スキャン段階の横の走行エラーを補正するために必要である場合を除いて)必要でない。   As already mentioned, the particle beam generated by the particle source is used in the PML2 system. The illumination optics creates a wide beam that illuminates the PD means with a regular array of apertures with the beam to define a beam pattern projected onto the target surface. At each aperture, a small beam (also called a “beamlet”) is defined, allowing the passage of the beam's particles through each aperture towards the target (“switched on”) or effectively stopped. It is possible to control the passage of each beam through the aperture in such a way (“switched off”). The beam that travels through the aperture array forms a patterned particle beam with pattern information represented by the spatial arrangement of the apertures. The patterned beam is then projected onto a target (eg, a semiconductor substrate) by a particle optical projection system, where an image of the aperture is thus formed to modify the target at the illuminated part. The image formed by the beam is moved along a linear path over the area of each die; an additional scan of the beam perpendicular to the scan direction (corrects the run error next to the scan stage) Not needed) unless needed.

この例で図1において垂直に下方へ動くリソグラフィ・ビームlb、 pbの方向の装置100の主な構成要素は、照射系101と、PDシステム102と、投影系103と、および、ターゲットまたは基板14を有するターゲット・ステーション104とである。粒子光学系101、103は、静電または電磁レンズを使用して実現する。装置100の電気光学部品101、102、103は、装置の光軸に沿ったビームlb、pbの妨げられない伝播を確実にするため、高真空で保持される真空ハウジング(図示せず)に含まれる。 In this example, the main components of the apparatus 100 in the direction of the lithography beam lb, pb that moves vertically downward in FIG. 1 are: an illumination system 101, a PD system 102, a projection system 103, and a target or substrate 14 And a target station 104 having The particle optical systems 101 and 103 are realized using electrostatic or electromagnetic lenses. The electro-optical components 101, 102, 103 of the device 100 are contained in a vacuum housing (not shown) held in a high vacuum to ensure unimpeded propagation of the beams lb, pb along the optical axis of the device. It is.

照射系101は例えば、イオン源11と、仮想線源の場所を定める抽出器配置、粒子フィルタ/一般的なブランカー12と、集光レンズ・システム13によって実現する照明光学系とを含む。使用されるイオンは、例えば水素イオンまたは重イオンである可能性がある;この開示の文脈において、重イオンは、例えばO、N、または貴ガスNe、Ar、Kr、XeのようなCより重い要素のイオンを指す。イオンは別として、粒子は電子(電子銃から発された)である可能性がある、または、一般に、他の荷電粒子も同様に使うことができる。   The illumination system 101 includes, for example, an ion source 11, an extractor arrangement that determines the location of the virtual source, a particle filter / general blanker 12, and an illumination optical system realized by a condenser lens system 13. The ions used can be, for example, hydrogen ions or heavy ions; in the context of this disclosure, heavy ions are heavier than C, for example O, N, or noble gases Ne, Ar, Kr, Xe Refers to the ion of an element. Apart from ions, the particles can be electrons (emitted from an electron gun) or, in general, other charged particles can be used as well.

イオン源11は、例えばΔE=1eVの比較的小さなエネルギーの広がりを有する概して数keVの定められた(運動)エネルギーを有する(例えばPDシステム102で5keV)水素またはAr+イオンのような主に特定の種類のエネルギーイオンを発する。速度/エネルギー次第のフィルタ12は、供給源11においても作成される他の不必要な粒子の種類にフィルタをかけるのに役立つ;フィルタ12はまた、ビームレットを別の場所に移す間、全体としてビームを消すために用いられる。電気光学集光レンズ系13によって、供給源11から発されるイオンは、広範囲にリソグラフィ・ビームlbとして役立つ本質的にテレセントリック性のイオンビームに作成される。ビームのテレセントリック性は、PD装置の位置の光軸から±25μrad偏差の範囲内であり、ここでは200倍の減容系およびPD装置および基板の等しい粒子エネルギーと仮定すると基板の位置で光軸から±5 mrad偏差のテレセントリック性の範囲につながる。 The ion source 11 has a defined (kinetic) energy of a few keV, for example with a relatively small energy spread of ΔE = 1 eV (eg 5 keV in the PD system 102), and is mainly of particular type such as hydrogen or Ar + ions. Emits various types of energy ions. The velocity / energy dependent filter 12 serves to filter other unwanted particle types that are also created at the source 11; the filter 12 also generally moves the beamlet to another location. Used to turn off the beam. By means of the electro-optic condensing lens system 13, the ions emitted from the source 11 are created into an essentially telecentric ion beam which serves as the lithographic beam lb in a wide range. The telecentricity of the beam is in the range of ± 25 μrad deviation from the optical axis at the position of the PD device, where 200 times the volume reduction system and the PD device and the substrate have the same particle energy, assuming the substrate position to be from the optical axis. This leads to a range of telecentricity of ± 5 mrad deviation.

リソグラフィ・ビームlbはそれから、その位置を保つために必要な装置と共にPDシステム102を形成するPD装置に照射する。PD装置は、複数の開口21によって形成される開口パターンに照射するリソグラフィ・ビームlbの通路の特定の位置で保持される。すでに言及されたように、各々の開口は、ビームレットがターゲットに達するようにそれぞれの開口を通過するのを可能にするように「スイッチ・オン」または「開く」ことができる;それから、開口は入射ビームを透過する。それ以外は、それぞれのビームレットのビーム通路がターゲットに届く前に吸収されるまたは別途ビーム経路から取り除かれるように影響を受ける場合に、開口は「スイッチ・オフ」または「閉じ」られ;したがって、開口はビームを効果的に不透過にするまたはビームを通さない。スイッチ・オンされた開口のパターンは、これらの開口がビームlbを透過するPD装置の唯一の部分であり、したがって開口から現れる(すなわち、図1のPDシステム102の下において)パターニングされたビームpbを形成するので、基板に露出するパターンによって選択される。特にそのメクラプレートに関するPD装置の構成および動作は、以下において詳細に議論される。図1において、5つのビームレットのみがパターニングされたビームpbに示され、一方でビームレットの実際の数が非常に大きいことは明確である;示されるビームレットの左から2番目のものは、実際には吸収プレート17に吸収されるのでスイッチ・オフされて表される;スイッチ・オンされた他のビームレットはプレート17の中央孔を通過し、したがって、ターゲット上へ投影される。   The lithography beam lb then irradiates the PD device that forms the PD system 102 with the devices necessary to maintain its position. The PD device is held at a specific position in the path of the lithography beam lb that irradiates the opening pattern formed by the plurality of openings 21. As already mentioned, each aperture can be “switched on” or “opened” to allow the beamlet to pass through the respective aperture to reach the target; Transmits the incident beam. Otherwise, the aperture is “switched off” or “closed” when the beam path of each beamlet is affected to be absorbed or otherwise removed from the beam path before reaching the target; The aperture effectively makes the beam opaque or does not pass the beam. The pattern of switched apertures is the only part of the PD device where these apertures transmit the beam lb, and thus the patterned beam pb emerging from the apertures (ie, under the PD system 102 of FIG. 1). Is selected depending on the pattern exposed to the substrate. The configuration and operation of the PD device, particularly with respect to the mekra plate, will be discussed in detail below. In FIG. 1, it is clear that only five beamlets are shown in the patterned beam pb, while the actual number of beamlets is very large; In fact, it is switched off because it is absorbed by the absorption plate 17; the other beamlets switched on pass through the central hole of the plate 17 and are therefore projected onto the target.

パターニングされたビームpbによって表されるパターンは、基板14上への電気光学投影系103によってそれから投影され、そこでスイッチ・オンされたマスク開口の画像を形成する。投影系103は、例えば200倍の光学縮小を実行する。基板14は、例えばフォトレジスト層でおおわれているシリコン・ウェーハである。ウェーハ14は、ターゲット・ステーション104のウェーハ段階(図示せず)によって保持されて配置される。二次放射線のための検出器15は、ビームに関して基板の正確な位置決めを検出するために使うことができる。   The pattern represented by the patterned beam pb is then projected by the electro-optic projection system 103 onto the substrate 14, where it forms an image of the switched mask opening. The projection system 103 executes optical reduction of 200 times, for example. The substrate 14 is, for example, a silicon wafer covered with a photoresist layer. Wafer 14 is held and placed by the wafer stage (not shown) of target station 104. A detector 15 for secondary radiation can be used to detect the precise positioning of the substrate with respect to the beam.

投影系103は、それぞれクロスオーバーc1、c2を有する2つの連続的な電気光学プロジェクタ段階から好ましくは構成される。プロジェクタを実現させるために用いる電界レンズ30は、静電の結像系の技術的な実現は従来技術では周知であるので象徴的な形状のみで図1に示される;本発明の他の実施態様において、磁気を帯びたおよび/または電磁レンズもまた適切であるように含まれる。第1のプロジェクタ段階は、交替で第2のプロジェクタ段階によって基体表面上へ撮像される中間の画像にPD装置の開口の平面を撮像する。両方の段階は、クロスオーバーc1、c2で光学縮小作像を使用する;したがって、中間の画像が逆転される一方、基板に作成される最終的な画像は垂直である(逆転されない)。消磁要因は両方の段階の間の約14倍であり、200倍の全体的な光学縮小につながる。この順序の光学縮小は、PD装置の小型化の問題を持ち上げるため、リソグラフィ構成に特に適切である。電気光学レンズは主に静電の電極から構成されるが、磁気レンズもまた使われてもよい。 Projection system 103 preferably consists of two successive electro-optic projector stages with crossovers c1 and c2, respectively. The electric field lens 30 used to implement the projector is shown in FIG. 1 only in symbolic form because the technical realization of an electrostatic imaging system is well known in the prior art; In the field, magnetic and / or electromagnetic lenses are also included as appropriate. In the first projector stage, the plane of the aperture of the PD device is imaged in an intermediate image that is alternately imaged onto the substrate surface by the second projector stage. Both stages use optical reduction imaging at crossovers c1, c2; therefore, the intermediate image is reversed while the final image created on the substrate is vertical (not reversed). The demagnetization factor is about 14 times between both stages, leading to an overall optical reduction of 200 times. This order of optical reduction is particularly appropriate for lithographic configurations because it raises the issue of miniaturization of PD devices. Electro-optic lenses are mainly composed of electrostatic electrodes, but magnetic lenses may also be used.

小さな横のシフトを画像に導入する手段として、すなわち、光軸cxに対して垂直な方向に沿って、偏向手段16は、プロジェクタ段階の一方または両方において提供される。そのような偏向手段は例えば、米国特許第6,768,125号において議論されるように多重極電極システムとして実現することができる。加えて、必要な所で、磁性のコイルは基板平面のパターンの回転を生成するために用いられる。横の偏向はパターニングされたビームbp自体の横の幅と比較して通常とても小さく、ほとんどの場合、単一のビームレットまたは隣接したビームレットの間の距離の幅であるが、ビーム幅の下の少なくとも一桁分である(この文脈において、ビームレット間の横の距離はビームbpのすべての幅よりも相当小さいと認識されるべきである)。 As a means of introducing a small lateral shift into the image, ie along a direction perpendicular to the optical axis cx, the deflection means 16 are provided in one or both of the projector stages. Such deflection means can be implemented, for example, as a multipole electrode system as discussed in US Pat. No. 6,768,125. In addition, where necessary, a magnetic coil is used to generate a rotation of the pattern in the substrate plane. The lateral deflection is usually very small compared to the lateral width of the patterned beam bp itself, most often the width of the distance between a single beamlet or adjacent beamlets, but below the beam width. (In this context, the lateral distance between beamlets should be recognized as being considerably less than the full width of the beam bp).

PDシステム102において形成されるパターンを制御することによって、任意のビーム・パターンが生成され、基板へ転送されることができる。最適に、基板が入射ビームの下で動くスキャン・ストライプ露出方針が利用され、ビームの位置が絶え間なく変わるビーム−スキャン方針は必要とされず、および、したがって、ビームが単一の収束ビーム・システムの場合のようにターゲット表面を通じて効果的にスキャンされる(休止しているまたは、はるかに低い速度でゆっくり動く)。露出方針の詳細は、すでに言及された従来技術、特に米国特許第6,768,125号において見つけることができる。   By controlling the pattern formed in the PD system 102, an arbitrary beam pattern can be generated and transferred to the substrate. Optimally, a scan stripe exposure policy is used in which the substrate moves under the incident beam, a beam-scan policy where the position of the beam is constantly changing is not required, and therefore the beam is a single focused beam system It is effectively scanned through the target surface as in the case of (resting or moving slowly at a much lower speed). Details of the exposure policy can be found in the prior art already mentioned, in particular US Pat. No. 6,768,125.

パターン定義システム、プレート構成
図2および図3は装置100のPDシステム102を示す。すなわち、図2は上面図で、図3は組み合わせられた側面および縦の断面図である。図4は、5つのビームレット経路に沿ったPDシステム102のプレートの部分である図3の断面図の詳細を示す。PDシステム102は、その構成要素が例えばカバープレート201と、開口プレート202と、メクラプレート203とを含むそれぞれの機能の役に立つ、合成装置を実現させて、積層構造において取付けられた多くのプレート22を含む。さらに、例えばビームレット通路の個々の微調整のための調整プレートのような(ここでは図示せず、米国特許第6,768,125号参照)構成要素プレートもまた、存在する。各々のプレート22は、その構造が、従来技術において周知の微細構造の技術、特に図2のクロスハッチングによって表される複数の孔を有するPD範囲pfを表すプレートの中央で形成される膜部によって形成された、半導体(特にシリコンの)ウェーハとして実現される。リソグラフィ・ビームは、図4から6を参照して以下にさらに説明されるようにPD範囲pfの連続的な孔を通じてプレートを横断する。
Pattern Definition System, Plate Configuration FIGS. 2 and 3 show the PD system 102 of the apparatus 100. 2 is a top view and FIG. 3 is a combined side and vertical cross-sectional view. FIG. 4 shows details of the cross-sectional view of FIG. 3 that is part of the plate of the PD system 102 along the five beamlet paths. The PD system 102 implements a synthesizer whose components are useful for their respective functions including, for example, a cover plate 201, an aperture plate 202, and a mekura plate 203, so that many plates 22 mounted in a stacked structure Including. In addition, there are also component plates, such as adjustment plates for individual fine adjustment of the beamlet passages (not shown here, see US Pat. No. 6,768,125). Each plate 22 has a structure formed by a film portion formed at the center of the plate representing a PD range pf having a plurality of holes represented by cross-hatching in FIG. Realized as a formed semiconductor (especially silicon) wafer. The lithographic beam traverses the plate through a continuous hole in the PD range pf, as further described below with reference to FIGS.

プレート22は、互いに関して配置されるチャック23により、屈曲接合でチャックに取り付けられPDシステムの支持構造24で固定された公知のタイプのピエゾアクチュエータまたはナノポジショニング要素として実現するアクチュエータ装置241、242、243によって保持される。垂直方向において、チャックは摺動可能なベアリング25を使用して連結される。好ましくは、プレート22およびチャック23は例えばシリコンのような同じ材料または動作温度範囲において同じ熱膨張挙動を有する材料から作成される。チャックはまた、メクラプレート202の給電のために提供される;明確性のため、電線路は図示されない。 The plate 22 is an actuator device 241, 242, 243 which is realized as a known type of piezoactuator or nanopositioning element which is attached to the chuck by flexure bonding and fixed with a support structure 24 of the PD system by means of chucks 23 arranged relative to each other Held by. In the vertical direction, the chucks are connected using slidable bearings 25. Preferably, the plate 22 and the chuck 23 are made from the same material, such as silicon, or a material having the same thermal expansion behavior in the operating temperature range. A chuck is also provided for powering the mekra plate 202; for clarity, the electrical lines are not shown.

プレート22において、参照マーク26は、参照ビームの定義のために提供される。参照ビームrbの形状は例えば、プレート22の1つ、例えばカバープレート201において形成される孔において定められる一方、他のプレートの対応する孔は、参照ビームのための放射を通過させるのに十分に広い。参照ビームはそれからパターニングされたビームpbと共に撮像される;しかしながら、パターニングされたビームとは対照的に、参照ビームは基板41に届かないが、整列システム(米国特許出願第2009/0146082 A1号参照)において測定される。さらにチャック23は、チャック23の相対的な位置指示およびそれらが保持するプレート22の整列標識として役に立つ整列開口236を有する。 On the plate 22, a reference mark 26 is provided for the definition of the reference beam. The shape of the reference beam rb is defined, for example, in a hole formed in one of the plates 22, for example the cover plate 201, while the corresponding hole in the other plate is sufficient to allow the radiation for the reference beam to pass through. wide. The reference beam is then imaged with the patterned beam pb; however, in contrast to the patterned beam, the reference beam does not reach the substrate 41, but the alignment system (see US Patent Application No. 2009/0146082 A1). Measured in In addition, the chuck 23 has an alignment opening 236 that serves as a relative position indication for the chuck 23 and alignment marks for the plates 22 that they hold.

各々のプレート22の膜部の厚さは約30から100μmであり;これがより良い熱伝導率からみて適切な場合、メクラプレートの膜はより厚い。プレートの枠部分は、およそ0.750mmでより厚い。プレートの相互の距離はおよそ0.5から数mmである。図4において、縦の軸(装置の光軸に平行したz軸)の大きさが一定の比率でないことに留意する必要がある。   The membrane thickness of each plate 22 is about 30 to 100 μm; if this is appropriate in view of better thermal conductivity, the membrane of the mekra plate is thicker. The plate frame is thicker at approximately 0.750 mm. The distance between the plates is approximately 0.5 to a few mm. In FIG. 4, it should be noted that the size of the vertical axis (z-axis parallel to the optical axis of the device) is not a fixed ratio.

図4は、図3のプレート22の膜部分の横断面の詳細を示す; PD範囲pfにおける多数のビームレットからの5つのビームレット通路に対応する部分のみが示される。すでに言及されたように、図示した実施態様は、第2のプレート202が本発明の意味の開口アレイ手段を実現させ、一方で第1および第3のプレート201、203のどちらかが本発明の孔アレイ手段として役に立つ3つのプレート201、202、203からなる3プレート配置を実現させる。 FIG. 4 shows a cross-sectional detail of the membrane portion of the plate 22 of FIG. 3; only the portion corresponding to the five beamlet paths from multiple beamlets in the PD range pf is shown. As already mentioned, the illustrated embodiment allows the second plate 202 to implement an aperture array means within the meaning of the invention, while either the first and third plates 201, 203 are of the invention. A three-plate arrangement consisting of three plates 201, 202, 203 useful as a hole array means is realized.

第1のプレート201は、仮の形状のビームレット(仮のビームレット)を定める一組の孔210を有するカバープレートである。したがって、カバープレート201は、影響を与えている放射のできる限り不都合な効果からさらなるプレートを保護するため多くのビームのエネルギーを吸収する。   The first plate 201 is a cover plate having a pair of holes 210 that define a provisionally shaped beamlet (temporary beamlet). Therefore, the cover plate 201 absorbs the energy of many beams in order to protect the further plate from as much adverse effects as possible of the influencing radiation.

第2のプレートは、さらに以下に説明されるように複数のセットの開口221、222を有する開口プレート202として実現する開口アレイ手段である。仮のビームレットは特にプレート202の開口221に行き渡り、したがってビームレットb1、b2、b3、b4、b5の対応する数に形成される。このために、カバープレートの孔210は、開口221、222より大きい幅を有する。   The second plate is an aperture array means implemented as an aperture plate 202 having a plurality of sets of apertures 221, 222 as further described below. Temporary beamlets in particular reach the openings 221 of the plate 202 and are thus formed in a corresponding number of beamlets b1, b2, b3, b4, b5. For this reason, the hole 210 of the cover plate has a larger width than the openings 221 and 222.

PDシステム200の第3のプレート203は偏向アレイ・プレートであり、通常メクラプレートと呼ばれる。それは、その位置がカバープレート201によって予め定められる様にビームレットb1−b5の通路に対応する一組の孔230を有する;しかしながら、孔230は、開口221、222のそれらより大きい幅を有する(言い換えると、孔230はより大きい)ので、ビームレットはメクラプレート材料に影響を及ぼさずに前者を通過する。各々の孔230は、電極231、232の各々の対の間で選択的に適用される電圧次第で小さいが十分な偏向を対応するビームレットに与えることができるように電極231、232を備える;例えば、1つの電極231は大地電位で保持され、対極として役に立ち、一方で、他の電極232は、選択されたビームレットb1を偏向させる電位を適用するためのメクラプレート203の回路層と連結される活性電極として役に立つ。各々のビームレットは、したがって個々に偏向することができる。メクラプレートはまた、電極の電子的な制御および電気供給のための回路を含む。メクラプレートの回路の詳細を含むPD装置の詳細は、譲受人/出願人の米国特許出願第2008/0237460 A1号の記載と同様に、米国特許第6,768,125号、米国特許出願第2009/0200495 A1号においてさらに議論される。 The third plate 203 of the PD system 200 is a deflection array plate, usually referred to as a mekra plate. It has a set of holes 230 corresponding to the passages of the beamlets b1-b5 such that its position is predetermined by the cover plate 201; however, the holes 230 have a larger width than those of the openings 221, 222 ( In other words, the hole 230 is larger) so that the beamlet passes through the former without affecting the mekra plate material. Each aperture 230 comprises electrodes 231 and 232 so that a small but sufficient deflection can be provided to the corresponding beamlet depending on the voltage selectively applied between each pair of electrodes 231 and 232; For example, one electrode 231 is held at ground potential and serves as a counter electrode, while the other electrode 232 is coupled to the circuit layer of the mechla plate 203 for applying a potential to deflect the selected beamlet b1. Useful as an active electrode. Each beamlet can therefore be individually deflected. The mekra plate also includes circuitry for electronic control of the electrodes and electrical supply. Details of the PD device, including the circuit details of the mekra plate, are described in U.S. Pat. No. 6,768,125, U.S. Patent Application No. 2009, as described in assignee / applicant U.S. Patent Application No. Further discussion in / 0200495 A1.

各々のビームレットb1−b5は、対応するブランキング電極231、232は電圧を加えられないとするとその名目上の通路に沿ってプレート22の次の孔を越える;これは、開口の「スイッチ・オン」状態に対応する。「スイッチ・オフ」開口は、電極に電圧を加えること、すなわち横断方向の電圧を適用することによって、実現される。この状態で、ビームレットb2によって示されるように、対応するブランキング電極231、232は、その名目上の通路を離れてビームレットb2を偏向させるので、ビームレットは、好ましくはクロスオーバーc1、c2(図1)の1つの周りに位置するブロッキング開口17上で最終的には吸収表面に至る(わずかにしかし十分に)異なる通路に偏向する。   Each beamlet b1-b5 crosses the next hole in the plate 22 along its nominal path, assuming that the corresponding blanking electrodes 231 and 232 are not energized; Corresponds to the “on” state. A “switch-off” opening is realized by applying a voltage to the electrodes, ie applying a transverse voltage. In this state, as indicated by beamlet b2, the corresponding blanking electrodes 231 and 232 deflect their beamlet b2 away from their nominal path so that the beamlet is preferably a crossover c1, c2 On the blocking opening 17 located around one of the (FIG. 1), it deflects to a different path that eventually (slightly but sufficiently) leads to the absorbing surface.

PDシステム102から現れるビームレットの横の形状を定めるのは(カバープレート201の最初の孔よりもむしろ)開口プレート202において形成される開口であることが強調されるべきである。したがって、「開口」という語は、ターゲットに作成されるパターンの定義に関して使われる場合、開口プレートにおけるビームレットを定める開口221、222によって定まるように、定められた形状および幅(図6)の孔を意味するものである。 It should be emphasized that it is the aperture formed in the aperture plate 202 (rather than the first aperture in the cover plate 201) that defines the lateral shape of the beamlet emerging from the PD system 102. Thus, when the term “aperture” is used in connection with the definition of the pattern created in the target, a hole of a defined shape and width (FIG. 6) as defined by the apertures 221 and 222 defining the beamlets in the aperture plate. Means.

開口プレートおよび多重開口グリッド
開口221、222および好ましくはメクラプレートにおいて対応する孔230も同様に、定められたグリッドに沿って系統的な方法で配置される。各々のグリッドは、例えば米国特許第6,768,125号にて説明したように、ターゲットの上の開口の画像の相対的な動きに対応する方向に平行に通るずらされた線を形成する規則的なアレイである。ずらされた配置の各々の線において、連続的な開口の間でオフセットするものは、好ましくは開口配置の下にある複数のグリッド幅であり、一方で、開口像がターゲット上でのスキャン動作の間完全にターゲットをカバーするように、線はすぐに並んで通る。図5および6に示される孔は、ずらされたそのような配置の実現を示す。より一般的なグリッド配置において、開口は本質的に規則的な二次元の格子の位置に位置するが、格子は加えて、開口の位置の小さな偏差によるそのような作像エラーを補正し、ターゲット上のそれぞれの開口像の厳密な補正された位置を達成するように結像系の可能なひずみを占めるために厳密な規則的な格子から小さな偏差を有してもよい。
The aperture plate and the multiple aperture grid apertures 221, 222 and preferably the corresponding holes 230 in the mekra plate are likewise arranged in a systematic way along a defined grid. Each grid forms a ruled line that runs parallel to the direction corresponding to the relative movement of the image of the aperture above the target, as described, for example, in US Pat. No. 6,768,125. Array. In each line of the offset arrangement, what is offset between successive apertures is preferably a plurality of grid widths underneath the aperture arrangement, while the aperture image is a scan action on the target. The lines pass immediately side by side so that they completely cover the target. The holes shown in FIGS. 5 and 6 show a realization of such a shifted arrangement. In a more general grid arrangement, the aperture is located at an essentially regular two-dimensional grid location, but the grid additionally compensates for such imaging errors due to small deviations in the aperture location and It may have a small deviation from a strict regular grid to account for possible distortions of the imaging system to achieve a strict corrected position of each aperture image above.

図5は、照射ビーム方向に沿って見られるように、図4のPD装置の部分上の平面図を示す。目に見える表面の主要部品は、カバープレートにおいて形成された孔210の規則的なアレイを表示するカバープレート201の上部表面によって占められる。各々の孔210を通じて対応する開口221の形状は目に見え、一方でメクラプレートは、完全にカバーおよび開口プレートで隠される。線4−4は、図4に示される横断面の部分の線を表す。   FIG. 5 shows a plan view on a portion of the PD device of FIG. 4 as seen along the direction of the illumination beam. The major parts of the visible surface are occupied by the upper surface of the cover plate 201 which displays a regular array of holes 210 formed in the cover plate. The shape of the corresponding opening 221 through each hole 210 is visible, while the mekra plate is completely hidden by the cover and the opening plate. Line 4-4 represents the line of the cross section shown in FIG.

本発明によれば、開口プレートは複数のセットの開口を含み、そこでセットの開口の各々は、ターゲット上へ撮像されるために選ぶことができる。 In accordance with the present invention, the aperture plate includes a plurality of sets of apertures, where each of the sets of apertures can be selected for imaging onto a target.

図6は、図5に対応するカバープレート201が取り除かれた開口プレート202の部分の詳細な平面図を示す。線4−4は、図4に示される横断面のための部分の線を表す。開口プレート202は、各々の参照番号221および222と称される開口の2つの織り交ざっているグリッドを有し、そこで各々のグリッドは、(さらに詳細に以下で議論するように作像ひずみを補正するための小さな偏差を可能であれば含む)本質的に規則的なアレイに配置される本質的に同じ形状の複数の開口で形成される。開口221及び222の2つのセットのいずれかは、単独で見ると従来技術から公知のように開口グリッドを形成するが、各々は異なるモードのターゲットの露出を可能にする異なる開口の形状を有する。 FIG. 6 shows a detailed plan view of the portion of the aperture plate 202 with the cover plate 201 corresponding to FIG. 5 removed. Line 4-4 represents a partial line for the cross-section shown in FIG. Aperture plate 202 has two interlaced grids of apertures, each referred to as reference numbers 221 and 222, where each grid (with image distortion as discussed in more detail below). Formed with a plurality of apertures of essentially the same shape arranged in an essentially regular array (including small deviations if possible to correct). Either of the two sets of apertures 221 and 222, when viewed alone, form an aperture grid as is known from the prior art, but each has a different aperture shape that allows exposure of different modes of target.

図4aを参照すると、開口プレート202は、開口プレート202の開口222の第2のグリッドを作動させるため、アクチュエーション装置241、242、243(図2および3)によって生じる移動d12によって変えることができる。これは、開口221のグリッドが作動する図4および5に示される開口の位置とは対照的である。したがって、他のプレート(図4および4a参照)と関連する開口プレート202での位置決めに従い、開口221、222の各々のグリッドは、カバーおよびメクラプレートの対応する孔グリッドと適合するように単純な横の変換によって正確な位置に変えることができる。いつでも、開口のグリッドの1つのみは、PDシステムにおいて作成されるビームレットの形状を定めるためにカバーおよびメクラプレートの孔と協力する。図5の描写において、開口プレート202の第2のグリッドの開口222がカバープレート201で隠されることが強調される。   Referring to FIG. 4a, the aperture plate 202 can be altered by the movement d12 caused by the actuation devices 241, 242, 243 (FIGS. 2 and 3) to actuate the second grid of apertures 222 in the aperture plate 202. . This is in contrast to the position of the opening shown in FIGS. 4 and 5 where the grid of openings 221 is activated. Thus, following positioning on the aperture plate 202 relative to the other plates (see FIGS. 4 and 4a), each grid of apertures 221, 222 is simply sideways to match the corresponding aperture grid of the cover and mekra plate. It can be changed to an accurate position by conversion of. At any time, only one of the grids of apertures cooperates with the holes in the cover and mekra plate to define the shape of the beamlets created in the PD system. In the depiction of FIG. 5, it is emphasized that the opening 222 of the second grid of the aperture plate 202 is hidden by the cover plate 201.

図7は、本発明の開口プレートの位置決め制御を示す。開口プレート202の位置決めプロセスは、ファラデーカップ15と、電流検出システム18と、位置決め制御装置19に役立つコンピュータまたは他のプロセッサ装置と、参照記号dxyで表される個々のアクチュエータを供給しているアクチュエーション制御装置20と、開口プレート202と、粒子ビーム装置自体内の開口の作像とによって形成される、示すような位置制御ループによって達成される。ファラデーカップ15は、位置決めプロセスの間に、別途露出するターゲット14の代わりに、粒子ビーム装置の光学コラムの下に動かされる。それは、ターゲット段階へ移されるビーム電流Iの全体の分量を測定する。ビーム電流Iは、PDシステムの他のプレート22の孔と関連した開口の位置決め次第であり、一般に、正確な整列において最大量を呈する。位置決め制御装置19は、−XY平面内のXおよびY方向と回転Rzの横の移動に関して位置の機能としてビーム電流を記録し、他のプレート22の位置に関して開口プレート202の位置を最適化するため、元の位置の電流帰還を使用する。アクチュエーション制御装置20は、アクチュエーション装置241、242、243(図2)を駆動することに適している信号(例えば電圧)に制御装置20からの制御信号を変換するインターフェースとして役に立つ。 FIG. 7 shows the positioning control of the aperture plate of the present invention. The positioning process of the aperture plate 202 is an actuating supplying Faraday cup 15, a current sensing system 18, a computer or other processor device useful for the positioning controller 19, and an individual actuator represented by the reference symbol dxy. This is achieved by a position control loop as shown which is formed by the control device 20, the aperture plate 202 and the imaging of the aperture in the particle beam device itself. The Faraday cup 15 is moved under the optical column of the particle beam device instead of the separately exposed target 14 during the positioning process. It measures the total amount of beam current ID that is transferred to the target stage. The beam current ID depends on the positioning of the apertures associated with the holes in the other plates 22 of the PD system and generally exhibits the maximum amount in accurate alignment. The positioning controller 19 records the beam current as a function of position with respect to the X and Y directions in the -XY plane and the lateral movement of the rotation Rz and optimizes the position of the aperture plate 202 with respect to the positions of the other plates 22. Use the current position current feedback. The actuation control device 20 serves as an interface that converts the control signal from the control device 20 into a signal (eg, voltage) suitable for driving the actuation devices 241, 242, 243 (FIG. 2).

例えば位置決めプロセスは、次の通りである。第1のステップとして、所望の開口形状のグリッド位置に適合する粗い位置が設定される。粗い位置は、以前の位置決めプロセスから予めプログラムされたまたは記録された位置であり、例えば、±50μmの位置決め精度を達成する。それから、前もって決められたステップ幅、例えば2から4μm(典型的なビーム・サイズ)および0.5mradの角度で、開口プレートは前述の位置決め精度のXY平面の範囲内で機械的にスキャンされる。電流Iはこのスキャンの間、記録されて、位置および回転パラメータの関数X、Y、Rzとして「電流マップ」を作成する。この電流マップの最大の電流はそれから、適切な補間技術を用いて決定される。必要であれば、電流マップをスキャンして評価するステップは、減少したスキャン・ステップ幅で、μm以下の範囲、例えば0.5μmで最大量を含むために決定される部分的な範囲において繰り返すことができる。最後に、最大の位置で最適パラメータX、Y、Rzが決定した場合、開口プレートはこの位置へ動かされる。ファラデーカップ15は取り除かれ、したがって選ばれる開口グリッドを有する露出プロセスは始まることができる。 For example, the positioning process is as follows. As a first step, a rough position that matches the grid position of the desired opening shape is set. The coarse position is a pre-programmed or recorded position from a previous positioning process and achieves a positioning accuracy of, for example, ± 50 μm. The aperture plate is then mechanically scanned within the XY plane of the aforementioned positioning accuracy with a predetermined step width, for example 2 to 4 μm (typical beam size) and an angle of 0.5 mrad. The current ID is recorded during this scan to create a “current map” as a function of position and rotation parameters X, Y, Rz. The maximum current in this current map is then determined using a suitable interpolation technique. If necessary, the step of scanning and evaluating the current map is repeated with a reduced scan step width, in a sub-μm range, for example a partial range determined to include the maximum amount at 0.5 μm. Can do. Finally, if the optimum parameters X, Y, Rz are determined at the maximum position, the aperture plate is moved to this position. The Faraday cup 15 is removed so that the exposure process with the chosen open grid can begin.

変形例において、位置決めプロセスは、例えば一連の参照マーク26または連続的なプレート22において形成された整列開口236を用いて、また反対側(すなわち、図3の装置の上下に)に配置された光源および光センサを用いて、孔の連続を通過する光量を測定することにより整列を制御することによって、PD装置内での光学的な整列によって実行することができる。このため、開口プレート202において使用される関連した孔26、236は、セットまたは開口と同じ方法で互いにオフセットされる孔の個々の例で複製される。 In a variant, the positioning process may be performed using a series of reference marks 26 or alignment openings 236 formed in the continuous plate 22 and also on the opposite side (ie above and below the apparatus of FIG. 3). And can be performed by optical alignment in the PD device by controlling the alignment by measuring the amount of light passing through the hole series using a light sensor. Thus, the associated holes 26, 236 used in the aperture plate 202 are replicated with individual examples of holes that are offset from each other in the same manner as the set or aperture.

本発明の他の実現において、すべてのチャック23が、それぞれのプレート22の位置決めのためのアクチュエーション装置を備える必要があるわけではないと認識されるべきである。プレート201のチャックおよび/またはプレート203のそれのためのアクチュエータは、開口アレイ・プレートの位置決めが他のプレートと関連して調整できるならば、任意であることは明確である。したがって、例えば、PDシステム102内で固定して取付けられるチャックによって、プレート201またはプレート203は保持される。 It should be appreciated that in other implementations of the invention, not all chucks 23 need to be provided with actuation devices for positioning of the respective plates 22. It is clear that the chuck of the plate 201 and / or the actuator for that of the plate 203 is optional if the positioning of the aperture array plate can be adjusted in relation to the other plates. Thus, for example, the plate 201 or plate 203 is held by a chuck that is fixedly mounted within the PD system 102.

図8は、2つのプレートのみ、すなわち開口プレート812およびメクラプレート813を有するPDシステム構成810の変形例を示す。開口プレート812は、それに当たっているビームlbから直接にビームレットを形成する。ビームレットの選択は、開口812およびメクラプレート813の間で位置決めを制御することによって作成される。このようにして選ばれる開口に対応するビームレット(長い破線矢印線として示される)のみがメクラプレート813を通過することができ、一方で他のビームレット(プレート813のちょうど上で終わる小さな破線矢印線として示される)は入って来るビームレットの方へ向きを定められるメクラプレート813の表面上で吸収されるまたは止められる。この構成のメクラプレート813は、電極および回路が入って来るビームから離れて位置するように(すなわち、図8のプレート813の下部で)好ましくは向きを定められる。他の点において、この構成は、図4から6のそれに等しい特徴を有する。   FIG. 8 shows a variation of the PD system configuration 810 having only two plates: an aperture plate 812 and a mekra plate 813. The aperture plate 812 forms a beamlet directly from the beam lb impinging on it. The selection of beamlets is made by controlling the positioning between the aperture 812 and the mekra plate 813. Only the beamlet (shown as a long dashed arrow line) corresponding to the aperture chosen in this way can pass through the mecra plate 813, while the other beamlet (a small dashed arrow ending just above the plate 813). (Shown as a line) is absorbed or stopped on the surface of the mesh plate 813 that is directed towards the incoming beamlet. The mekra plate 813 in this configuration is preferably oriented so that the electrodes and circuitry are located away from the incoming beam (ie, at the bottom of the plate 813 in FIG. 8). In other respects, this configuration has features equivalent to those of FIGS.

構成はまた、ビームの方向に沿って見られるように、メクラプレートが開口プレートの前(すなわち、上流)に配置されて逆転することができる。集光照射および放射散乱の望ましくない効果のために、構成は、最初のプレートでないメクラプレートが一般に好ましい。 The configuration can also be reversed with the mekra plate placed in front of (ie upstream) the aperture plate, as seen along the beam direction. Because of the undesired effects of focused illumination and radiation scattering, the configuration is generally preferred for non-initial plates.

図9は、開口プレート812とメクラプレート823との間で配置された第3のプレート824を備えた他の変形の構成820を示す。プレート824は、選択されたビームレットのみを通過させるが、他のビームレットを止める一方で、メクラプレート823はここで放射およびその可能な有害な効果と直接接触していない「ビーム選択プレート」として役に立つ。容易にそれ自身で置き換えられるビーム選択プレート824はしたがって、後者がロジック回路および電極の精巧な構造を含むので、好都合であるメクラプレートの寿命を長くするのを援助する。他の点においてこの構成は、図4から6および特に図8のそれと同等の特徴を有する。 FIG. 9 shows another alternative configuration 820 with a third plate 824 disposed between the aperture plate 812 and the mekura plate 823. Plate 824 passes only the selected beamlet, but stops other beamlets, while Mekura plate 823 is here as a “beam selection plate” that is not in direct contact with radiation and its possible harmful effects. Useful. The beam selection plate 824, which can be easily replaced by itself, thus helps to prolong the life of the mekra plate, which is advantageous because the latter includes elaborate structures of logic circuitry and electrodes. In other respects this arrangement has features equivalent to those of FIGS. 4 to 6 and in particular of FIG.

本発明は、開口の2つの織り交ざっているグリッドのみでなく、一般に適切ないずれの数での実現も可能にする。図10は、異なるサイズおよび形状の開口931、932、933を有する3つのグリッドを有する開口プレート832を示す。これらのグリッド間の変位dl2、dl3は、異なる方向に沿って、例えば光学系の対物面の直角XおよびY方向に沿ってもよい。変位のために使用される表記法は、他のセットによって第1のセットの開口を置き換えるベクトルである;例えば、dl2は第2のセット932によって第1のセットの開口931を置き換える移動を示す。ここで、第1のセットの位置は、参照として示される(任意に)。どの変位が実現するかにより、−すなわち、なし、dl2またはdl3−グリッド931、932、933の1つは上記の方法によって記載されるように作動する。 The present invention allows implementation in any generally suitable number, not just two interlaced grids of openings. FIG. 10 shows an aperture plate 832 having three grids with apertures 931, 932, 933 of different sizes and shapes. The displacements dl2, dl3 between these grids may be along different directions, for example along the right and left X and Y directions of the objective surface of the optical system. The notation used for displacement is a vector that replaces the first set of openings by another set; for example, dl2 indicates a movement that replaces the first set of openings 931 by the second set 932. Here, the position of the first set is indicated (optionally) as a reference. Depending on which displacement is achieved-ie none, one of dl2 or dl3-grids 931, 932, 933 operates as described by the above method.

図11は、好都合な他の本発明の態様、異なるサイズのパターン範囲の実施を示す。図11は、開口プレート842のパターン範囲の左上角を示す。開口941の第1のグリッドが例えば膜部の全ての範囲の上で延長する第1のパターン範囲pf1に適用される一方、開口942の第2のグリッドは、第2のパターン範囲pf2の延長の減少を有する。第2のパターン範囲の開口の合計は、(他のプレートの孔との対応を維持するように)グリッドの範囲内の開口の基本的な相互の配置が同じであるので、同様に減少する。より小さなグリッドの開口のサイズおよび形状は、より大きいグリッドのそれらと異なるまたは同様であってもよい。 FIG. 11 shows another advantageous embodiment of the invention, the implementation of different sized pattern ranges. FIG. 11 shows the upper left corner of the pattern range of the aperture plate 842. For example, the first grid of the opening 941 is applied to the first pattern range pf1 extending over the entire range of the film portion, while the second grid of the opening 942 is an extension of the second pattern range pf2. Has a decrease. The sum of the apertures in the second pattern area is similarly reduced because the basic mutual arrangement of the apertures in the grid area is the same (so as to maintain correspondence with the holes in the other plates). The size and shape of the smaller grid openings may be different or similar to those of the larger grid.

図12は、開口の位置が作像エラーを補正するためにグリッド内で小さな分量によって変えられるさらに好都合な態様を示す。細かい配置のこれらの分配はまた、異なるグリッドのために異なる方法で変化してもよい。例えば、1つのグリッドの開口951は、基本的な規則的な配置からほとんどおよび全く偏差を有さず、一方で他のグリッドの開口952は、細かい配置の導入、例えば、光学的投影系103の樽のようなゆがみを補正することができるピンクッションのようなゆがみを実現するそのような偏差を有する。細かい配置偏差(図12において誇張されて表される)の範囲は、PD装置の他のプレートのそれぞれの対応する孔のサイズによって限定される。電子光学的ひずみから生じる2nmのビーム変位の典型的な最悪の場合において、開口の中心は、減少係数が200とすると200x2=400nmによって変えられなければならない。この距離は、通常メクラプレート孔の直径の縁の範囲内である。もちろん、グリッドはまた、各々のグリッドの範囲内で異なるグリッド・サイズおよび/または異なるサイズ/開口の形状を有してもよい;しかし、適切であるならば、個々の場合において適切であるとみなされるように、グリッド・サイズおよび/または開口形状は同じである。   FIG. 12 shows a further advantageous way in which the position of the aperture can be varied by a small amount in the grid to correct the imaging error. These distributions of fine arrangements may also vary in different ways for different grids. For example, one grid opening 951 has little and no deviation from the basic regular arrangement, while the other grid opening 952 introduces a fine arrangement, eg, optical projection system 103. Having such a deviation to achieve pin cushion-like distortion that can correct barrel-like distortion. The range of fine placement deviations (represented exaggerated in FIG. 12) is limited by the size of the corresponding hole in each of the other plates of the PD device. In the typical worst case of 2 nm beam displacement resulting from electro-optic distortion, the center of the aperture must be changed by 200 × 2 = 400 nm, assuming a reduction factor of 200. This distance is usually within the edge of the diameter of the mekra plate hole. Of course, the grids may also have different grid sizes and / or different sizes / opening shapes within each grid; however, if appropriate, they are considered appropriate in each case. As such, the grid size and / or aperture shape is the same.

Claims (13)

粒子ビーム処理または点検装置(100)において用いられるマルチビーム・パターン定義装置(102)であって、荷電粒子のビーム(lp、bp)によって照射される、複数の開口を通じた該ビームの通過を可能にし、したがって対応する数のビームレットを形成するように適応する装置において、
−該開口が実現する開口アレイ手段(202、812、822、832、842、852)であって、少なくとも2つの開口のセット(221、222;931、932、933)を含み、該開口の各々のセットは該開口アレイ手段上に本質的に規則的に配置される複数の開口を含み、該セットの配置は少なくとも部分的に織り交ざり、異なるセットの該開口は、少なくとも該配置が織り交ざっている領域において共通の変位ベクトル(dl2、dl3)に対応する変位によって互いにオフセットする開口アレイ手段と;
−該開口によって形成されるビームレットの少なくとも部分集合の通過のために構成される複数の孔(210)を有する孔アレイ手段(201、813、824)であって、少なくとも該織り交ざる配置の領域に対応する領域において、該開口アレイ手段が本質的に規則的な配置で配置される複数の孔を含み、該配置は、該領域において該開口のセットの1つの配置に対応し、該他のセットの開口の開口に対応する場所で孔を欠いている孔アレイ手段と;
−該孔アレイ手段に関して該開口アレイ手段の相対位置を調整するように該開口アレイ手段と該孔アレイ手段のとうちの少なくとも1つを配置するための位置決め手段であって、少なくとも該配置の織り交ざる領域において該開口アレイ手段における該開口のセットの選択されたセットを該孔アレイ手段における該複数の孔と選択的に整列させるように構成される位置決め手段と;を含む、マルチビーム・パターン定義装置。
A multi-beam pattern definition device (102) used in a particle beam processing or inspection device (100) capable of passing the beam through a plurality of apertures illuminated by a beam of charged particles (lp, bp) In an apparatus adapted to form a corresponding number of beamlets
-An aperture array means (202, 812, 822, 832, 842, 852) realized by the apertures, comprising at least two sets of apertures (221, 222; 931, 932, 933), each of the apertures The set includes a plurality of apertures that are essentially regularly arranged on the aperture array means, the arrangement of the sets being at least partially interwoven, and the different sets of apertures being at least interlaced with the arrangement. Aperture array means that are offset from each other by displacements corresponding to a common displacement vector (dl2, dl3) in the region of interest;
-A hole array means (201, 813, 824) having a plurality of holes (210) configured for the passage of at least a subset of the beamlets formed by the openings, at least in the interlaced arrangement In the region corresponding to the region, the aperture array means comprises a plurality of holes arranged in an essentially regular arrangement, the arrangement corresponding to one arrangement of the set of openings in the region and the other Hole array means lacking holes at locations corresponding to the openings of the set of openings;
Positioning means for positioning at least one of the aperture array means and the hole array means so as to adjust the relative position of the aperture array means with respect to the hole array means, at least of the arrangement weaving Positioning means configured to selectively align a selected set of the set of openings in the aperture array means with the plurality of holes in the hole array means in an intersecting region; Definition device.
前記ビームレットの各々が前記ブランキング開口の1つを名目上の通路に沿って横断するように位置する複数のブランキング開口(230)を有する偏向アレイ手段(203、813、823)をさらに含み、該偏向アレイ手段は、複数の静電の偏向電極(232)を含み、それぞれがブランキング開口と関連し、作動している電圧が該それぞれの電極に適用される場合、その名目上の通路を離れて該ビームレットを偏向させるのに十分な量で該それぞれのブランキング開口を横断している該ビームレットを偏向させるように構成される、請求項1の装置。 Further comprising deflection array means (203, 813, 823) having a plurality of blanking apertures (230) positioned such that each of the beamlets traverses one of the blanking apertures along a nominal path. The deflection array means includes a plurality of electrostatic deflection electrodes (232), each associated with a blanking aperture, and when an operating voltage is applied to the respective electrode, the nominal path thereof The apparatus of claim 1, wherein the apparatus is configured to deflect the beamlets traversing the respective blanking aperture in an amount sufficient to deflect the beamlets away from each other. 前記偏向アレイ手段から分離している少なくとも1つの孔アレイ手段(201、824)を含む、請求項2の装置。 The apparatus of claim 2, comprising at least one hole array means (201, 824) separate from said deflection array means. 前記偏向アレイ手段(813)が孔アレイ手段を構成する、請求項2の装置。 The apparatus of claim 2 wherein said deflection array means (813) comprises a hole array means. 前記偏向電極(232)が前記入って来るビームから離れて向きを定められる前記偏向アレイ手段の側に位置する、請求項4の装置。 The apparatus of claim 4, wherein the deflection electrode (232) is located on a side of the deflection array means that is oriented away from the incoming beam. 前記セットの開口が、前記開口アレイ手段上の、異なるが重なり合う領域、好ましくは連続的に増加する領域で延長する、請求項1〜5のいずれか一項の装置。 6. A device according to any one of the preceding claims, wherein the sets of openings extend in different but overlapping areas, preferably continuously increasing areas, on the aperture array means. 各々のセットの前記開口は同等の形状およびサイズを有し、一方で、異なるセットの前記開口は、それらのサイズおよび形状のうちの少なくとも1つにおいて異なる、請求項1〜6のいずれか一項の装置。 The opening of each set has an equivalent shape and size, while the openings of different sets differ in at least one of their size and shape. Equipment. 前記開口アレイ手段および前記少なくとも1つの孔アレイ手段は、前記ビームに対して本質的に垂直に向きを定められ、前記ビームの前記通路に配置されるように設計される膜部を有するプレートのような装置として実現する、請求項1〜7のいずれか一項の装置。 The aperture array means and the at least one hole array means are like a plate having a membrane portion oriented to be essentially perpendicular to the beam and designed to be disposed in the passage of the beam. The apparatus according to claim 1, which is realized as a simple apparatus. 前記配置手段が前記開口アレイ手段のみの位置を調整するために構成される一方、前記孔アレイ手段は前記装置内で固定される、請求項1〜8のいずれか一項の装置。 9. Apparatus according to any one of the preceding claims, wherein the positioning means is configured to adjust the position of only the aperture array means, while the hole array means is fixed within the apparatus. 前記セットの開口の少なくとも1つの開口の位置は、細かい配置の変位によって矩形または斜めの格子の正確な位置から逸れ、該細かい配置の変位は前記装置と連動して作動する投影系の作像エラーを修正するように設計され、そこにおいてその細かい配置変位を含む該開口の各々の位置は、前記孔アレイ手段の対応する孔の前記開口アレイ手段上への投影によって定められる領域内に納まり、該投影は、該開口アレイ手段における該開口のそれぞれのセットが該孔アレイ手段の複数の孔と整列される状態の下で前記ビームの方向に沿っている、請求項1〜9のいずれか一項の装置。 The position of at least one of the apertures of the set deviates from the exact position of a rectangular or diagonal grid due to the fine arrangement displacement, which is an imaging error of the projection system that operates in conjunction with the apparatus. Wherein the position of each of the openings, including its fine displacement, is within a region defined by projection of the corresponding holes of the hole array means onto the opening array means, and Projection is along the direction of the beam under the condition that each set of apertures in the aperture array means is aligned with a plurality of holes in the aperture array means. Equipment. 前記開口アレイ手段は開口を有するいくつかの小区域を含み、前記位置決め手段は、該開口アレイ手段を該異なる小区域の方へ動かすのに十分なオフセットされた範囲に提供するように設計される、請求項1〜10のいずれか一項の装置。 The aperture array means includes a number of subareas having openings, and the positioning means is designed to provide an offset range sufficient to move the aperture array means toward the different subareas. The device according to claim 1. 請求項1〜11のいずれか一項に記載の装置における整列、すなわち、前記開口のセットの1つと、前記孔アレイ手段の前記孔との整列のための方法であって、荷電粒子のビームで該装置を照射するステップと、位置決めパラメータに関して、前記開口アレイ手段と該孔アレイ手段との相対的な位置決めを変化させる機能として該装置で伝えられる前記電流を測定するステップと、該送られた電流の最大値の該位置決めパラメータの値を決定するステップと、該位置決めパラメータの該値に従い該孔アレイ手段と関連して該開口アレイ手段の位置決めを調整するステップと、を含む方法。 12. A method for alignment in an apparatus according to any one of the preceding claims, i.e. alignment of one of the set of openings and the holes of the hole array means, with a beam of charged particles. Illuminating the device; measuring the current delivered by the device as a function of changing the relative positioning of the aperture array means and the hole array means with respect to positioning parameters; Determining the value of the positioning parameter at a maximum value of the positioning parameter and adjusting the positioning of the aperture array means relative to the hole array means in accordance with the value of the positioning parameter. 請求項1から10のいずれか一項に記載のマルチビーム・パターン定義装置(102)を有する粒子ビーム処理または点検装置(100)のマルチビーム書き込みのための方法であって、該マルチビーム・パターン定義装置を用いてターゲット上の表面領域に第1のパターンを書き込むステップであって、前記開口アレイ手段の開口の第1のセットは前記孔アレイ手段の前記孔と整列しているステップと、該開口アレイ手段の開口の第2のセットを該孔アレイ手段の該孔と整列させるように該開口アレイ手段の前記位置決めを調整するステップと、前記ターゲット上の前記表面領域上に第2のパターンを書き込むステップと、を含む方法。 A method for multi-beam writing of a particle beam processing or inspection device (100) comprising a multi-beam pattern definition device (102) according to any one of claims 1 to 10, comprising the multi-beam pattern. Writing a first pattern to a surface area on the target using a definition device, wherein the first set of openings of the aperture array means is aligned with the holes of the hole array means; Adjusting the positioning of the aperture array means to align a second set of apertures of the aperture array means with the holes of the aperture array means; and a second pattern on the surface area on the target A step of writing.
JP2010282083A 2010-02-22 2010-12-17 Pattern definition apparatus having a plurality of multi-beam arrays Active JP5688638B2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
EP10450027 2010-02-22
EP10450027.7 2010-02-22

Publications (2)

Publication Number Publication Date
JP2011171713A true JP2011171713A (en) 2011-09-01
JP5688638B2 JP5688638B2 (en) 2015-03-25

Family

ID=43736264

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010282083A Active JP5688638B2 (en) 2010-02-22 2010-12-17 Pattern definition apparatus having a plurality of multi-beam arrays

Country Status (3)

Country Link
US (1) US8546767B2 (en)
EP (1) EP2317535B1 (en)
JP (1) JP5688638B2 (en)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160111866A (en) * 2015-03-17 2016-09-27 아이엠에스 나노패브릭케이션 아게 Multi-beam writing of pattern areas of relaxed critical dimension
JP2017079259A (en) * 2015-10-20 2017-04-27 株式会社ニューフレアテクノロジー Support case and multi-charged particle beam drawing apparatus
JP2017216338A (en) * 2016-05-31 2017-12-07 株式会社ニューフレアテクノロジー Blanking device for multi-charged-particle beam, and multi-charged-particle beam irradiation device
JP2018206918A (en) * 2017-06-02 2018-12-27 株式会社ニューフレアテクノロジー Multi-charged particle beam lithography apparatus and multi-charged particle beam lithography method
US10211023B2 (en) 2016-12-28 2019-02-19 Nuflare Technology, Inc. Aperture set for multi-beam and multi-charged particle beam writing apparatus
JP2019121730A (en) * 2018-01-10 2019-07-22 株式会社ニューフレアテクノロジー Aperture alignment method and multi-charged particle beam drawing apparatus
KR20200033175A (en) * 2018-09-19 2020-03-27 가부시키가이샤 뉴플레어 테크놀로지 Semiconductor deivce
JP2020136289A (en) * 2019-02-12 2020-08-31 株式会社ニューフレアテクノロジー Multi-charged particle beam drawing apparatus and multi-charged particle beam drawing method

Families Citing this family (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2622626B1 (en) * 2010-09-28 2017-01-25 Applied Materials Israel Ltd. Particle-optical systems and arrangements and particle-optical components for such systems and arrangements
NL2006868C2 (en) * 2011-05-30 2012-12-03 Mapper Lithography Ip Bv Charged particle multi-beamlet apparatus.
NL2008174C2 (en) * 2012-01-24 2013-08-21 Mapper Lithography Ip Bv Device for spot size measurement at wafer level using a knife edge and a method for manufacturing such a device.
EP2757571B1 (en) * 2013-01-17 2017-09-20 IMS Nanofabrication AG High-voltage insulation device for charged-particle optical apparatus
JP2015023286A (en) 2013-07-17 2015-02-02 アイエムエス ナノファブリケーション アーゲー Pattern definition device having multiple blanking arrays
EP2830083B1 (en) 2013-07-25 2016-05-04 IMS Nanofabrication AG Method for charged-particle multi-beam exposure
US20150069260A1 (en) 2013-09-11 2015-03-12 Ims Nanofabrication Ag Charged-particle multi-beam apparatus having correction plate
EP2913838B1 (en) 2014-02-28 2018-09-19 IMS Nanofabrication GmbH Compensation of defective beamlets in a charged-particle multi-beam exposure tool
EP2937888B1 (en) 2014-04-25 2019-02-20 IMS Nanofabrication GmbH Multi-beam tool for cutting patterns
EP2937889B1 (en) 2014-04-25 2017-02-15 IMS Nanofabrication AG Multi-beam tool for cutting patterns
EP3358599B1 (en) 2014-05-30 2021-01-27 IMS Nanofabrication GmbH Compensation of dose inhomogeneity using row calibration
JP6890373B2 (en) 2014-07-10 2021-06-18 アイエムエス ナノファブリケーション ゲーエムベーハー Compensation for imaging deflection in particle beam lithography machines using a convolution kernel
EP2993684B1 (en) 2014-09-05 2017-03-08 IMS Nanofabrication AG Correction of short-range dislocations in a multi-beam writer
US9568907B2 (en) 2014-09-05 2017-02-14 Ims Nanofabrication Ag Correction of short-range dislocations in a multi-beam writer
JP6442295B2 (en) * 2015-01-19 2018-12-19 株式会社ニューフレアテクノロジー Method for measuring rotation angle of multi-charged particle beam image, method for adjusting rotation angle of multi-charged particle beam image, and multi-charged particle beam drawing apparatus
US10008364B2 (en) 2015-02-27 2018-06-26 Kla-Tencor Corporation Alignment of multi-beam patterning tool
US9653263B2 (en) * 2015-03-17 2017-05-16 Ims Nanofabrication Ag Multi-beam writing of pattern areas of relaxed critical dimension
EP3096342B1 (en) 2015-03-18 2017-09-20 IMS Nanofabrication AG Bi-directional double-pass multi-beam writing
EP3093869B1 (en) 2015-05-12 2018-10-03 IMS Nanofabrication GmbH Multi-beam writing using inclined exposure stripes
US10410831B2 (en) 2015-05-12 2019-09-10 Ims Nanofabrication Gmbh Multi-beam writing using inclined exposure stripes
US10395883B2 (en) * 2016-03-31 2019-08-27 Intel Corporation Aperture size modulation to enhance ebeam patterning resolution
US10325756B2 (en) 2016-06-13 2019-06-18 Ims Nanofabrication Gmbh Method for compensating pattern placement errors caused by variation of pattern exposure density in a multi-beam writer
JP6834817B2 (en) * 2016-08-08 2021-02-24 株式会社ニューフレアテクノロジー Aperture for multi-beam inspection, beam inspection device for multi-beam, and multi-charged particle beam drawing device
US10325757B2 (en) 2017-01-27 2019-06-18 Ims Nanofabrication Gmbh Advanced dose-level quantization of multibeam-writers
EP3355337B8 (en) 2017-01-27 2024-04-10 IMS Nanofabrication GmbH Advanced dose-level quantization for multibeam-writers
US10347460B2 (en) 2017-03-01 2019-07-09 Dongfang Jingyuan Electron Limited Patterned substrate imaging using multiple electron beams
JP6957998B2 (en) * 2017-06-07 2021-11-02 株式会社ニューフレアテクノロジー Multi-charged particle beam drawing device and multi-charged particle beam adjustment method
US10522329B2 (en) 2017-08-25 2019-12-31 Ims Nanofabrication Gmbh Dose-related feature reshaping in an exposure pattern to be exposed in a multi beam writing apparatus
US20190066972A1 (en) * 2017-08-29 2019-02-28 ICT Integrated Circuit Testing Gesellschaft für Halbleiterprüftechnik mbH Charged particle beam device, aperture arrangement for a charged particle beam device, and method for operating a charged particle beam device
US11569064B2 (en) 2017-09-18 2023-01-31 Ims Nanofabrication Gmbh Method for irradiating a target using restricted placement grids
US10651010B2 (en) 2018-01-09 2020-05-12 Ims Nanofabrication Gmbh Non-linear dose- and blur-dependent edge placement correction
US10840054B2 (en) 2018-01-30 2020-11-17 Ims Nanofabrication Gmbh Charged-particle source and method for cleaning a charged-particle source using back-sputtering
DE102018124223A1 (en) * 2018-10-01 2020-04-02 Carl Zeiss Microscopy Gmbh Multi-beam particle beam system
JP7275647B2 (en) * 2019-02-27 2023-05-18 株式会社ニューフレアテクノロジー Multi-beam aperture substrate set and multi-charged particle beam device
JP2020178055A (en) 2019-04-19 2020-10-29 株式会社ニューフレアテクノロジー Multi-charged particle beam lithography device
JP2020181902A (en) 2019-04-25 2020-11-05 株式会社ニューフレアテクノロジー Multi-charged particle beam lithography device
US11099482B2 (en) 2019-05-03 2021-08-24 Ims Nanofabrication Gmbh Adapting the duration of exposure slots in multi-beam writers
KR20210099516A (en) 2020-02-03 2021-08-12 아이엠에스 나노패브릭케이션 게엠베하 Correction of blur variation in a multi―beam writer
CN115210845A (en) * 2020-03-05 2022-10-18 Asml荷兰有限公司 Beam array geometry optimizer for multi-beam detection system
KR20210132599A (en) 2020-04-24 2021-11-04 아이엠에스 나노패브릭케이션 게엠베하 Charged­particle source
EP3982392A1 (en) * 2020-10-08 2022-04-13 ASML Netherlands B.V. Multi-beam charged particle column
CN116325069A (en) * 2020-09-03 2023-06-23 Asml荷兰有限公司 Multiple beam charged particle column
JP7455720B2 (en) 2020-09-29 2024-03-26 株式会社ニューフレアテクノロジー Multi-charged particle beam irradiation device and multi-charged particle beam irradiation method
US20230052445A1 (en) 2021-08-12 2023-02-16 Ims Nanofabrication Gmbh Beam Pattern Device Having Beam Absorber Structure
JP2023165626A (en) 2022-05-04 2023-11-16 アイエムエス ナノファブリケーション ゲーエムベーハー Multi-beam pattern definition device

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0817698A (en) * 1994-06-24 1996-01-19 Hitachi Ltd Electron beam lithography device
JP2002118060A (en) * 2000-07-27 2002-04-19 Toshiba Corp Charged particle beam projection aligner, charged particle beam exposure method, exposure data creation method, computer-readable recording medium with program for creating exposure data stored, and computer with exposure data stored therein
JP2005136409A (en) * 2003-10-28 2005-05-26 Ims Nanofabrication Gmbh Pattern definition device for maskless particle beam exposure system
JP2006013387A (en) * 2004-06-29 2006-01-12 Canon Inc Charged particle beam exposure device and adjusting method of charged particle beam exposure device
US20060108531A1 (en) * 2001-07-02 2006-05-25 Lo Chiwoei W Method and apparatus for multiple charged particle beams
US20060131698A1 (en) * 2004-12-20 2006-06-22 Jeong Jin W Wafer-scale microcolumn array using low temperature co-fired ceramic substrate
JP2010041055A (en) * 2008-08-07 2010-02-18 Ims Nanofabrication Ag Correction of irradiation nonuniformity and image distortion

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6768125B2 (en) 2002-01-17 2004-07-27 Ims Nanofabrication, Gmbh Maskless particle-beam system for exposing a pattern on a substrate
KR101051370B1 (en) * 2003-09-05 2011-07-22 어플라이드 머티리얼즈 이스라엘 리미티드 Particle Light Systems and Devices and Particle Light Components for Such Systems and Devices
WO2006053359A1 (en) * 2004-11-17 2006-05-26 Ims Nanofabrication Gmbh Pattern lock system for maskless particle-beam exposure apparatus
US7781748B2 (en) 2006-04-03 2010-08-24 Ims Nanofabrication Ag Particle-beam exposure apparatus with overall-modulation of a patterned beam
NL2001369C2 (en) 2007-03-29 2010-06-14 Ims Nanofabrication Ag METHOD FOR MASKLESS PARTICLE BEAMLIGHTING
EP2622626B1 (en) * 2010-09-28 2017-01-25 Applied Materials Israel Ltd. Particle-optical systems and arrangements and particle-optical components for such systems and arrangements

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0817698A (en) * 1994-06-24 1996-01-19 Hitachi Ltd Electron beam lithography device
JP2002118060A (en) * 2000-07-27 2002-04-19 Toshiba Corp Charged particle beam projection aligner, charged particle beam exposure method, exposure data creation method, computer-readable recording medium with program for creating exposure data stored, and computer with exposure data stored therein
US20060108531A1 (en) * 2001-07-02 2006-05-25 Lo Chiwoei W Method and apparatus for multiple charged particle beams
JP2005136409A (en) * 2003-10-28 2005-05-26 Ims Nanofabrication Gmbh Pattern definition device for maskless particle beam exposure system
JP2006013387A (en) * 2004-06-29 2006-01-12 Canon Inc Charged particle beam exposure device and adjusting method of charged particle beam exposure device
US20060131698A1 (en) * 2004-12-20 2006-06-22 Jeong Jin W Wafer-scale microcolumn array using low temperature co-fired ceramic substrate
JP2010041055A (en) * 2008-08-07 2010-02-18 Ims Nanofabrication Ag Correction of irradiation nonuniformity and image distortion

Cited By (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160111866A (en) * 2015-03-17 2016-09-27 아이엠에스 나노패브릭케이션 아게 Multi-beam writing of pattern areas of relaxed critical dimension
JP2016174152A (en) * 2015-03-17 2016-09-29 アイエムエス ナノファブリケーション アーゲー Multi-beam writing of pattern area of relaxed critical dimension
KR102302015B1 (en) * 2015-03-17 2021-09-15 아이엠에스 나노패브릭케이션 게엠베하 Multi-beam writing of pattern areas of relaxed critical dimension
JP2017079259A (en) * 2015-10-20 2017-04-27 株式会社ニューフレアテクノロジー Support case and multi-charged particle beam drawing apparatus
KR20170046072A (en) * 2015-10-20 2017-04-28 가부시키가이샤 뉴플레어 테크놀로지 Supporting case and multi-charged particle beam writing apparatus
US9966229B2 (en) 2015-10-20 2018-05-08 Nuflare Technology, Inc. Supporting case and multi charged particle beam drawing apparatus
KR101883560B1 (en) * 2015-10-20 2018-07-30 가부시키가이샤 뉴플레어 테크놀로지 Supporting case and multi-charged particle beam writing apparatus
KR101943201B1 (en) * 2016-05-31 2019-01-28 가부시키가이샤 뉴플레어 테크놀로지 Multi charged particle beam blanking apparatus and multi charged particle beam irradiation apparatus
US10224171B2 (en) 2016-05-31 2019-03-05 Nuflare Technology, Inc. Blanking device for multi charged particle beams, and multi charged particle beam irradiation apparatus
JP2017216338A (en) * 2016-05-31 2017-12-07 株式会社ニューフレアテクノロジー Blanking device for multi-charged-particle beam, and multi-charged-particle beam irradiation device
US10211023B2 (en) 2016-12-28 2019-02-19 Nuflare Technology, Inc. Aperture set for multi-beam and multi-charged particle beam writing apparatus
JP2018206918A (en) * 2017-06-02 2018-12-27 株式会社ニューフレアテクノロジー Multi-charged particle beam lithography apparatus and multi-charged particle beam lithography method
US10388488B2 (en) 2017-06-02 2019-08-20 Nuflare Technology, Inc. Multi charged particle beam drawing apparatus and multi charged particle beam drawing method
JP2019121730A (en) * 2018-01-10 2019-07-22 株式会社ニューフレアテクノロジー Aperture alignment method and multi-charged particle beam drawing apparatus
KR20200033175A (en) * 2018-09-19 2020-03-27 가부시키가이샤 뉴플레어 테크놀로지 Semiconductor deivce
KR102303434B1 (en) 2018-09-19 2021-09-23 가부시키가이샤 뉴플레어 테크놀로지 Semiconductor deivce
JP2020136289A (en) * 2019-02-12 2020-08-31 株式会社ニューフレアテクノロジー Multi-charged particle beam drawing apparatus and multi-charged particle beam drawing method
JP7189794B2 (en) 2019-02-12 2022-12-14 株式会社ニューフレアテクノロジー Multi-charged particle beam writing apparatus and multi-charged particle beam writing method

Also Published As

Publication number Publication date
EP2317535A3 (en) 2014-07-16
JP5688638B2 (en) 2015-03-25
EP2317535B1 (en) 2015-03-25
US20110204253A1 (en) 2011-08-25
US8546767B2 (en) 2013-10-01
EP2317535A2 (en) 2011-05-04

Similar Documents

Publication Publication Date Title
JP5688638B2 (en) Pattern definition apparatus having a plurality of multi-beam arrays
US7772574B2 (en) Pattern lock system for particle-beam exposure apparatus
US9099277B2 (en) Pattern definition device having multiple blanking arrays
US8258488B2 (en) Compensation of dose inhomogeneity and image distortion
JP4995261B2 (en) Particle beam exposure system with total modulation of patterned beam
JP4858745B2 (en) A new pattern definition method for particle beam processing
EP2854154B1 (en) Charged-particle multi-beam apparatus having correction plate
US7084411B2 (en) Pattern-definition device for maskless particle-beam exposure apparatus
JP5372714B2 (en) Method for maskless particle beam exposure
US20150028230A1 (en) Method for charged-particle multi-beam exposure
JP6239595B2 (en) Method for measuring beam position in a multi beam exposure apparatus and method for measuring the distance between two beam beams
US7763851B2 (en) Particle-beam apparatus with improved wien-type filter
KR102468349B1 (en) Aperture substrate set for multi-beam and multi-charged particle beam writing apparatus
US6894291B2 (en) Apparatus and methods for blocking highly scattered charged particles in a patterned beam in a charged-particle-beam microlithography system
US20230052445A1 (en) Beam Pattern Device Having Beam Absorber Structure
US20230360880A1 (en) Multi-Beam Pattern Definition Device

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20130919

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20131127

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20140410

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140527

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140718

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20141216

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20150115

R150 Certificate of patent or registration of utility model

Ref document number: 5688638

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350