JP2011054872A - Semiconductor device and method of manufacturing the same - Google Patents

Semiconductor device and method of manufacturing the same Download PDF

Info

Publication number
JP2011054872A
JP2011054872A JP2009204490A JP2009204490A JP2011054872A JP 2011054872 A JP2011054872 A JP 2011054872A JP 2009204490 A JP2009204490 A JP 2009204490A JP 2009204490 A JP2009204490 A JP 2009204490A JP 2011054872 A JP2011054872 A JP 2011054872A
Authority
JP
Japan
Prior art keywords
film
semiconductor device
insulating film
dielectric constant
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2009204490A
Other languages
Japanese (ja)
Inventor
Hiroshi Nakagawa
博 中川
Jun Suzuki
純 鈴木
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Corp
Original Assignee
Panasonic Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Panasonic Corp filed Critical Panasonic Corp
Priority to JP2009204490A priority Critical patent/JP2011054872A/en
Priority to PCT/JP2010/002640 priority patent/WO2011027487A1/en
Publication of JP2011054872A publication Critical patent/JP2011054872A/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02192Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing at least one rare earth metal element, e.g. oxides of lanthanides, scandium or yttrium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Composite Materials (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To provide a semiconductor device that strikes a balance between control of a work function and thinned EOT, the device using a metal electrode/High-k film structure as a gate structure. <P>SOLUTION: On an area where an n-channel MIS transistor is formed in a semiconductor substrate 101, there are sequentially formed a first high-dielectric constant insulating film 202, an aluminum-containing film 203, a lantern-containing film 204, and a second high-dielectric constant insulating film 205. After that, a gate electrode is formed. <P>COPYRIGHT: (C)2011,JPO&INPIT

Description

本明細書で開示される技術は、半導体装置に関し、詳細には、高誘電率ゲート絶縁膜を有する半導体装置及びその製造方法に関する。   The technology disclosed in this specification relates to a semiconductor device, and more particularly, to a semiconductor device having a high dielectric constant gate insulating film and a manufacturing method thereof.

MOSFET(Metal Oxide Semiconductor Field Effect Transistor)の高速化に伴い、電界一定のスケーリングのためにトランジスタの微細化が進行している。MOSFETには、電子の移動によって電流のオン、オフを制御しているnチャネル型MOSFET(以下「NMOS」と略記する)と、正孔の移動によって電流のオン、オフを制御しているpチャネル型MOSFET(以下「PMOS」と略記する)の2つのトランジスタがある。MOSFETの性能は、電流駆動能力Gmで表すことができ、移動度μと、ゲート幅Wと、ゲート電極、ゲート絶縁膜及びシリコン基板からなるキャパシタの静電容量(ゲート容量)Coxとに比例する一方、ゲート長Lに反比例する。そこで、MOSFETの高速化は、シリコン酸化膜(SiO2 )及びシリコン酸窒化膜(SiON)などで構成されているゲート絶縁膜の薄膜化、及びポリシリコンなどで構成されているゲート電極の長さ(ゲート長)の縮小によって達成されている。 As MOSFETs (Metal Oxide Semiconductor Field Effect Transistors) increase in speed, miniaturization of transistors is progressing for scaling of a constant electric field. MOSFETs include an n-channel MOSFET (hereinafter abbreviated as “NMOS”) that controls current on / off by electron movement, and a p-channel that controls current on / off by hole movement. There are two transistors of type MOSFET (hereinafter abbreviated as “PMOS”). The performance of the MOSFET can be expressed by the current driving capability Gm, and is proportional to the mobility μ, the gate width W, and the capacitance (gate capacitance) Cox of the capacitor composed of the gate electrode, the gate insulating film, and the silicon substrate. On the other hand, it is inversely proportional to the gate length L. Therefore, the speeding up of the MOSFET is reduced by reducing the thickness of the gate insulating film composed of silicon oxide film (SiO 2 ) and silicon oxynitride film (SiON), and the length of the gate electrode composed of polysilicon. This is achieved by reducing the gate length.

しかし、MOSFETの高性能化を実現するためには以下の様な課題が存在する。   However, there are the following problems in realizing high performance of the MOSFET.

まず、ゲート絶縁膜の厚さが2nm以下まで薄膜化した場合、直接トンネルリーク電流が増加してゲート電圧印加時の絶縁耐性が著しく劣化するため、MOSFETの消費電力が増加するので、高性能化及び低消費電力化の達成が困難になる。そこで、ゲート容量Coxが比誘電率(ε)に比例し、ゲート絶縁膜の厚さ(d)に反比例するという関係(Cox=ε0・ε・(S/d)(ε0:真空の比誘電率、S:ゲート面積))に着目して、ゲート絶縁膜材料として、従来のシリコン酸化膜(ε:3.9)及びシリコン酸窒化膜(ε:3.9〜7)よりも比誘電率の大きい高誘電率絶縁膜(High−k膜)を用いることにより、実効ゲート容量を維持しつつ、物理的膜厚を厚くして直接トンネルリーク電流を抑制することが行われている。High−k膜の候補としては、ハフニウム酸化膜(HfO2 )、ジルコニウム酸化膜(ZrO2 )、アルミナ(Al2 3 )、それらのシリケート及びアルミネート並びに希土類酸化物等が注目されている。これらの候補のうちHfO2 膜及びHfSiO膜は、比誘電率が比較的高く、バンドギャップが5eV以上あり、シリコン基板に対する電子障壁高さが高いことから、次世代の高誘電率ゲート絶縁膜として最も有力である。 First, when the thickness of the gate insulating film is reduced to 2 nm or less, the tunnel leakage current directly increases and the insulation resistance when the gate voltage is applied significantly deteriorates, so the power consumption of the MOSFET increases, resulting in higher performance. In addition, it is difficult to achieve low power consumption. Therefore, the relationship that the gate capacitance Cox is proportional to the relative permittivity (ε) and inversely proportional to the thickness (d) of the gate insulating film (Cox = ε0 · ε · (S / d) (ε0: relative permittivity of vacuum) , S: gate area)), the relative dielectric constant of the gate insulating film material is higher than that of the conventional silicon oxide film (ε: 3.9) and silicon oxynitride film (ε: 3.9-7). By using a large high dielectric constant insulating film (High-k film), a tunnel leakage current is directly suppressed by increasing a physical film thickness while maintaining an effective gate capacitance. As candidates for the High-k film, a hafnium oxide film (HfO 2 ), a zirconium oxide film (ZrO 2 ), alumina (Al 2 O 3 ), silicates and aluminates thereof, rare earth oxides, and the like are attracting attention. Among these candidates, the HfO 2 film and the HfSiO film have a relatively high relative dielectric constant, a band gap of 5 eV or more, and a high electron barrier height with respect to the silicon substrate. The most influential.

次に、ゲート電極として従来のポリシリコン電極を使用した場合には空乏層の影響が顕在化するため、ゲート絶縁膜を薄膜化しても、ポリシリコン電極の空乏層容量があるために、EOT(Equivalent Oxide Thickness)薄膜化を効率的に行うことができない。一方、ポリシリコン電極の仕事関数は、ホウ素やリンなどの不純物をイオン注入して熱処理により活性化することによって制御可能であった。具体的には、ポリシリコン電極/SiO2 ゲート絶縁膜構造においてポリシリコンにホウ素をイオン注入することによって、仕事関数をノンドープ状態の4.65eVから5.15eVまで増大させることができるので、NMOS及びPMOSの両方のしきい値電圧の制御が可能であった。しかし、ポリシリコン電極/High−kゲート絶縁膜構造においては、フェルミレベルピニングの影響により、特にPMOSの実効仕事関数が低下することによって閾値電圧が上昇する結果、低電圧動作が困難になる。そこで、ゲート電極材料として、ポリシリコン電極から、空乏層の影響が無視でき且つフェルミレベルピニングの影響も小さいメタル電極への置換が図られている。メタルゲート電極材料の候補としては、仕事関数値及びドライエッチングやウェットエッチングなどの加工特性等を考慮して、チタンやタンタルの窒化物が検討されている。 Next, when a conventional polysilicon electrode is used as the gate electrode, the influence of the depletion layer becomes obvious. Therefore, even if the gate insulating film is thinned, the polysilicon electrode has a depletion layer capacitance, so that EOT ( (Equivalent Oxide Thickness) Thinning cannot be performed efficiently. On the other hand, the work function of the polysilicon electrode was controllable by ion implantation of impurities such as boron and phosphorus and activation by heat treatment. Specifically, by implanting boron into polysilicon in a polysilicon electrode / SiO 2 gate insulating film structure, the work function can be increased from 4.65 eV to 5.15 eV in a non-doped state, so that NMOS and Control of both threshold voltages of PMOS was possible. However, in the polysilicon electrode / High-k gate insulating film structure, the threshold voltage rises due to the lowering of the effective work function of the PMOS due to the influence of Fermi level pinning, and the low voltage operation becomes difficult. Therefore, as a gate electrode material, replacement of a polysilicon electrode with a metal electrode that can ignore the influence of the depletion layer and has little influence of Fermi level pinning is being attempted. As candidates for metal gate electrode materials, nitrides of titanium and tantalum have been studied in consideration of work function values and processing characteristics such as dry etching and wet etching.

尚、メタルゲート電極を用いた場合、当該メタルゲート電極に含まれる金属固有の仕事関数が支配的になるため、イオン注入による仕事関数の制御は難しい。そこで、NMOS、PMOSのそれぞれについて所望の閾値電圧に制御するために、メタル電極/High−k ゲート絶縁膜界面にLa2 3 、Al2 3 及びMgOなどのキャップ(Cap)層を挿入することにより、仕事関数を制御することが検討されている。このように、次世代のゲート構造としては、メタル電極/Cap層/High−k膜構造が有力となっている(例えば特許文献1参照)。 When a metal gate electrode is used, the work function inherent to the metal contained in the metal gate electrode becomes dominant, so that it is difficult to control the work function by ion implantation. Therefore, in order to control each of the NMOS and PMOS to a desired threshold voltage, the metal electrode / High-k It has been studied to control the work function by inserting a cap layer such as La 2 O 3 , Al 2 O 3 and MgO at the gate insulating film interface. Thus, as a next-generation gate structure, a metal electrode / Cap layer / High-k film structure is dominant (see, for example, Patent Document 1).

特開2007−324594号公報JP 2007-324594 A

前述のように、次世代のゲート構造として、メタル電極/Cap層/High−k膜構造が有力となっている中、現在、High−k膜として、熱的安定性が非常に良いHfSiO膜(HfSiON膜を含む:以下同じ)、メタル電極として、ゲート加工が容易なTiN膜を使用したTiN/HfSiON構造が検討されている。   As described above, a metal electrode / Cap layer / High-k film structure has become a promising next-generation gate structure. Currently, as a High-k film, an HfSiO film having very good thermal stability ( A TiN / HfSiON structure using a TiN film that can be easily gated as a metal electrode has been studied.

ところで、低電圧動作を実現するためには、PMOS及びNMOSのいずれにおいてもバンド端の実効仕事関数(eWF:effective work function)が必要であり、例えば、NMOSではeWF=4.2eV程度以下、PMOSではeWF=5.0eV程度以上を達成することが望ましい。そこで、実効仕事関数を制御するために、メタル電極/Cap層/High−k膜構造が検討されている。具体的には、PMOSにおいては、メタル電極/High−k膜界面にCap層としてAl2 3 膜を挿入することにより、実効仕事関数の制御が行われている。また、NMOSにおいては、メタル電極/High−k膜界面にCap層としてLa2 3 膜を挿入したり、又は当該La2 3 膜の挿入後に熱処理を行うことにより、High−k膜中にLa原子を拡散させ、それによって実効仕事関数の制御が行われている。 By the way, in order to realize a low voltage operation, an effective work function (eWF) at the band edge is required in both PMOS and NMOS. For example, in NMOS, eWF = about 4.2 eV or less, PMOS Then, it is desirable to achieve eWF = about 5.0 eV or more. Therefore, in order to control the effective work function, a metal electrode / Cap layer / High-k film structure has been studied. Specifically, in the PMOS, the effective work function is controlled by inserting an Al 2 O 3 film as a Cap layer at the metal electrode / High-k film interface. Further, in NMOS, a La 2 O 3 film is inserted as a Cap layer at the metal electrode / High-k film interface, or heat treatment is performed after the La 2 O 3 film is inserted into the High-k film. La atoms are diffused, thereby controlling the effective work function.

しかし、High−k膜としてHfSiON膜を使用した場合、High−k膜の比誘電率が13程度までと比較的低いため、トランジスタ性能を向上させるためには、さらなるEOT薄膜化が必要となっている。そこで、High−kゲート絶縁膜として、HfSiO膜の代わりに、比誘電率が25程度までと高いHfO2 膜が検討されている。 However, when an HfSiON film is used as the High-k film, the relative dielectric constant of the High-k film is relatively low, up to about 13, so that further EOT thinning is necessary to improve the transistor performance. Yes. Therefore, as a high-k gate insulating film, an HfO 2 film having a high relative dielectric constant of up to about 25 is being considered instead of the HfSiO film.

ところが、HfO2 膜を使用した場合、フェルミレベルピニングやHfO2 膜の固定電荷の影響がHfSiO膜よりも大きくなるため、HfSiO膜と比較すると、実効仕事関数の制御がより困難になる。具体的には、PMOS用のゲート構造として、HfO2 膜上にCap層としてAl2 3 膜を堆積する従来技術では、eWF=4.8eV程度で飽和してしまい、それ以上のeWFの向上は困難である。一方、NMOS用のゲート構造として、メタル電極/High−k膜界面にLa2 3 膜を挿入した後に熱処理を行う従来技術では、La原子がHfO2 膜中を拡散することが難しいため、従来技術の熱処理(600℃程度)によってeWFを4.3eV程度よりも小さくすることは困難である。その他、NMOSでは、ゲート絶縁膜とシリコン基板との界面までLa原子が拡散すると、チャネル移動度が劣化してしまうという問題がある。 However, when the HfO 2 film is used, the effect of the Fermi level pinning and the fixed charge of the HfO 2 film is larger than that of the HfSiO film, so that the effective work function is more difficult to control as compared with the HfSiO film. Specifically, in the conventional technique in which an Al 2 O 3 film is deposited as a Cap layer on an HfO 2 film as a gate structure for PMOS, eWF is saturated at about 4.8 eV, and the eWF is further improved. It is difficult. On the other hand, in the conventional technique in which heat treatment is performed after inserting a La 2 O 3 film at the metal electrode / High-k film interface as a gate structure for NMOS, it is difficult for La atoms to diffuse in the HfO 2 film. It is difficult to make eWF smaller than about 4.3 eV by the heat treatment of technology (about 600 ° C.). In addition, NMOS has a problem that channel mobility deteriorates when La atoms diffuse to the interface between the gate insulating film and the silicon substrate.

また、HfO2 膜を使用した場合、ゲート絶縁膜中を酸素原子が容易に透過してしまうため、膜堆積工程及び拡散工程(不純物活性化アニール工程)の熱処理によりHfO2 膜/シリコン基板界面に例えばSiO2 膜のような低誘電率層が形成されてしまい、EOT薄膜化が困難になってしまう。 In addition, when an HfO 2 film is used, oxygen atoms easily permeate through the gate insulating film, so that the HfO 2 film / silicon substrate interface is formed by heat treatment in the film deposition process and diffusion process (impurity activation annealing process). For example, a low dielectric constant layer such as a SiO 2 film is formed, making it difficult to reduce the EOT film thickness.

前記に鑑み、本発明は、ゲート構造としてメタル電極/High−k膜構造を用いた半導体装置において、仕事関数の制御とEOTの薄膜化とを両立させることを目的とする。   In view of the above, an object of the present invention is to achieve both work function control and EOT thinning in a semiconductor device using a metal electrode / high-k film structure as a gate structure.

前記の目的を達成するために、本発明に係る半導体装置は、半導体基板上に第1のゲート絶縁膜を介して形成された第1のゲート電極を有するnチャネルMISトランジスタを備え、前記第1のゲート絶縁膜は、ランタン及びアルミニウムを含む第1の高誘電率絶縁膜を有する。   In order to achieve the above object, a semiconductor device according to the present invention includes an n-channel MIS transistor having a first gate electrode formed on a semiconductor substrate via a first gate insulating film, The gate insulating film includes a first high dielectric constant insulating film containing lanthanum and aluminum.

本発明に係る半導体装置によると、nチャネルMISトランジスタのHigh−kゲート絶縁膜がランタン(La)及びアルミニウム(Al)を含むため、High−kゲート絶縁膜中におけるLa分布及びAl分布を適切に制御することにより、従来の半導体装置と比較して、EOTの増大を抑制しつつ実効仕事関数の制御を容易に行うことができる。また、原子半径の大きいLa原子の半導体基板側への拡散を、原子半径の小さいAl原子によって抑制することができるため、チャネル移動度の劣化を抑制することができる。従って、nチャネルMISトランジスタの高機能化及び低消費電力化を実現することができる。   According to the semiconductor device of the present invention, since the High-k gate insulating film of the n-channel MIS transistor contains lanthanum (La) and aluminum (Al), the La distribution and the Al distribution in the High-k gate insulating film are appropriately set. By controlling, it is possible to easily control the effective work function while suppressing an increase in EOT as compared with a conventional semiconductor device. In addition, since diffusion of La atoms having a large atomic radius to the semiconductor substrate side can be suppressed by Al atoms having a small atomic radius, deterioration in channel mobility can be suppressed. Therefore, it is possible to realize high functionality and low power consumption of the n-channel MIS transistor.

本発明に係る半導体装置において、前記第1の高誘電率絶縁膜におけるランタン濃度のピーク位置は、前記第1の高誘電率絶縁膜におけるアルミニウム濃度のピーク位置よりも前記第1のゲート電極に近くてもよい。このようにすると、原子半径の大きいLa原子の半導体基板側への拡散を、原子半径の小さいAl原子によって確実に抑制することができるため、チャネル移動度の劣化をより一層抑制することができる。   In the semiconductor device according to the present invention, the peak position of the lanthanum concentration in the first high dielectric constant insulating film is closer to the first gate electrode than the peak position of the aluminum concentration in the first high dielectric constant insulating film. May be. In this way, the diffusion of La atoms having a large atomic radius to the semiconductor substrate side can be reliably suppressed by Al atoms having a small atomic radius, so that the deterioration of channel mobility can be further suppressed.

本発明に係る半導体装置において、前記第1のゲート絶縁膜は、前記第1の高誘電率絶縁膜と前記半導体基板との間に形成された界面層を有していてもよい。   In the semiconductor device according to the present invention, the first gate insulating film may have an interface layer formed between the first high dielectric constant insulating film and the semiconductor substrate.

本発明に係る半導体装置において、前記第1の高誘電率絶縁膜は、ハフニウム及びジルコニウムの少なくとも一方を含んでいてもよい。   In the semiconductor device according to the present invention, the first high dielectric constant insulating film may include at least one of hafnium and zirconium.

本発明に係る半導体装置において、前記第1の高誘電率絶縁膜は、ランタンに代えてマグネシウムを含んでいてもよい。   In the semiconductor device according to the present invention, the first high dielectric constant insulating film may contain magnesium instead of lanthanum.

本発明に係る半導体装置において、前記第1の高誘電率絶縁膜は、アルミニウムに代えてイットリウムを含んでいてもよい。   In the semiconductor device according to the present invention, the first high dielectric constant insulating film may contain yttrium instead of aluminum.

本発明に係る半導体装置において、前記第1のゲート電極は、前記第1の高誘電率絶縁膜と接し且つ窒化チタン又は窒化タンタルからなる層を有していてもよい。この場合、第1の高誘電率絶縁膜中にチタン又はタンタルが拡散してもよい。   In the semiconductor device according to the present invention, the first gate electrode may have a layer made of titanium nitride or tantalum nitride in contact with the first high dielectric constant insulating film. In this case, titanium or tantalum may diffuse into the first high dielectric constant insulating film.

本発明に係る半導体装置において、前記半導体基板における少なくとも前記第1のゲート絶縁膜と接する部分はシリコン、ゲルマニウム又はシリコンゲルマニウムから構成されていてもよい。この場合、第1の高誘電率絶縁膜中にシリコン又はゲルマニウムが拡散してもよい。   In the semiconductor device according to the present invention, at least a portion of the semiconductor substrate in contact with the first gate insulating film may be made of silicon, germanium, or silicon germanium. In this case, silicon or germanium may diffuse into the first high dielectric constant insulating film.

本発明に係る半導体装置において、前記半導体基板上に第2のゲート絶縁膜を介して形成された第2のゲート電極を有するpチャネルMISトランジスタをさらに備え、前記第2のゲート絶縁膜は、アルミニウムを含む第2の高誘電率絶縁膜を有していてもよい。このようにすると、pチャネルMISトランジスタのHigh−kゲート絶縁膜がアルミニウム(Al)を含むため、High−kゲート絶縁膜中におけるAl分布を適切に制御することにより、従来の半導体装置と比較して、EOTの増大を抑制しつつ実効仕事関数の制御を容易に行うことができる。従って、pチャネルMISトランジスタの高機能化及び低消費電力化を実現することができる。尚、第2の高誘電率絶縁膜は実質的にランタンを含まない。また、前記第1のゲート絶縁膜の比誘電率は前記第2のゲート絶縁膜の比誘電率よりも大きくてもよい。   The semiconductor device according to the present invention further includes a p-channel MIS transistor having a second gate electrode formed on the semiconductor substrate via a second gate insulating film, wherein the second gate insulating film is made of aluminum. A second high dielectric constant insulating film may be included. In this case, since the high-k gate insulating film of the p-channel MIS transistor contains aluminum (Al), the Al distribution in the high-k gate insulating film is appropriately controlled, so that it can be compared with the conventional semiconductor device. Thus, it is possible to easily control the effective work function while suppressing an increase in EOT. Therefore, it is possible to realize high functionality and low power consumption of the p-channel MIS transistor. The second high dielectric constant insulating film does not substantially contain lanthanum. The relative dielectric constant of the first gate insulating film may be larger than the relative dielectric constant of the second gate insulating film.

また、本発明に係る半導体装置の製造方法は、半導体基板におけるnチャネルMISトランジスタ形成領域の上に第1のゲート絶縁膜を形成する工程(a)と、前記第1のゲート絶縁膜の上に第1のゲート電極を形成する工程(b)とを備え、前記工程(a)は、前記第1のゲート絶縁膜として、第1の高誘電率絶縁層、アルミニウム含有層、ランタン含有層及び第2の高誘電率絶縁層を順次形成する工程を含む。   According to another aspect of the present invention, there is provided a method of manufacturing a semiconductor device comprising: a step (a) of forming a first gate insulating film on an n-channel MIS transistor formation region in a semiconductor substrate; A step (b) of forming a first gate electrode, wherein the step (a) includes a first high dielectric constant insulating layer, an aluminum-containing layer, a lanthanum-containing layer, and a first gate insulating film. And a step of sequentially forming a high dielectric constant insulating layer.

本発明に係る半導体装置の製造方法によると、nチャネルMISトランジスタのゲート絶縁膜として、第1の高誘電率絶縁層、アルミニウム含有層、ランタン含有層及び第2の高誘電率絶縁層を順次形成する。このため、ゲート絶縁膜中におけるLa分布及びAl分布を適切に制御することにより、従来の半導体装置と比較して、EOTの増大を抑制しつつ実効仕事関数の制御を容易に行うことができる。具体的には、ランタン含有層を上下から高誘電率絶縁層によって挟みこむため、ランタン(La)原子が高誘電率絶縁層中を拡散しやすくなるので、実効仕事関数の制御が容易になる。また、第2の高誘電率絶縁層がアルミニウム含有層及びランタン含有層の上側に形成されているため、第2の高誘電率絶縁層中の酸素原子がゲート絶縁膜中を基板方向に透過することを防止できるので、ゲート絶縁膜/基板界面に例えばSiO2 膜のような低誘電率層が形成される事態を回避でき、それにより、EOTの増大を抑制することができる。さらに、ランタン含有層の下側にアルミニウム含有層を形成しているため、原子半径の大きいLa原子の半導体基板側への拡散を、原子半径の小さいアルミニウム(Al)原子によって抑制することができるため、チャネル移動度の劣化を抑制することができる。従って、nチャネルMISトランジスタの高機能化及び低消費電力化を実現することができる。 According to the method for manufacturing a semiconductor device of the present invention, a first high dielectric constant insulating layer, an aluminum-containing layer, a lanthanum-containing layer, and a second high dielectric constant insulating layer are sequentially formed as a gate insulating film of an n-channel MIS transistor. To do. For this reason, by appropriately controlling the La distribution and the Al distribution in the gate insulating film, it is possible to easily control the effective work function while suppressing an increase in EOT as compared with the conventional semiconductor device. Specifically, since the lanthanum-containing layer is sandwiched between the high dielectric constant insulating layers from above and below, lanthanum (La) atoms are easily diffused in the high dielectric constant insulating layer, so that the effective work function can be easily controlled. In addition, since the second high dielectric constant insulating layer is formed above the aluminum-containing layer and the lanthanum-containing layer, oxygen atoms in the second high dielectric constant insulating layer are transmitted through the gate insulating film toward the substrate. Since this can be prevented, a situation in which a low dielectric constant layer such as a SiO 2 film is formed at the gate insulating film / substrate interface can be avoided, thereby suppressing an increase in EOT. Furthermore, since the aluminum-containing layer is formed below the lanthanum-containing layer, diffusion of La atoms having a large atomic radius to the semiconductor substrate side can be suppressed by aluminum (Al) atoms having a small atomic radius. Therefore, deterioration of channel mobility can be suppressed. Therefore, it is possible to realize high functionality and low power consumption of the n-channel MIS transistor.

尚、アルミニウム含有層及びランタン含有層にそれぞれ含まれるAl原子及びLa原子は、その後の熱処理で上下の高誘電率絶縁層中に拡散する結果、デバイスの最終構造においてはゲート絶縁膜(High−kゲート絶縁膜)中にはアルミニウム含有層及びランタン含有層は残らない。すなわち、nチャネルMISトランジスタのゲート絶縁膜として、膜中にアルミニウム及びランタンの分布を持つHigh−k膜が形成される。   Note that Al atoms and La atoms contained in the aluminum-containing layer and the lanthanum-containing layer respectively diffuse into the upper and lower high dielectric constant insulating layers by the subsequent heat treatment. As a result, in the final structure of the device, a gate insulating film (High-k The aluminum-containing layer and the lanthanum-containing layer do not remain in the gate insulating film. That is, as a gate insulating film of the n-channel MIS transistor, a high-k film having aluminum and lanthanum distribution is formed in the film.

本発明に係る半導体装置の製造方法において、前記工程(a)は、前記半導体基板におけるpチャネルMISトランジスタ形成領域の上に第2のゲート絶縁膜を形成する工程を含み、前記工程(b)は、前記第2のゲート絶縁膜の上に第2のゲート電極を形成する工程を含み、前記工程(a)は、前記第2のゲート絶縁膜として、前記第1の高誘電率絶縁層、前記アルミニウム含有層及び前記第2の高誘電率絶縁層を順次形成する工程を含んでいてもよい。ここで、ランタン含有層の形成は行わない。このようにすると、nチャネルMISトランジスタのゲート絶縁膜中におけるAl分布を適切に制御することにより、従来の半導体装置と比較して、EOTの増大を抑制しつつ実効仕事関数の制御を容易に行うことができる。具体的には、アルミニウム含有層を上下から高誘電率絶縁層によって挟みこむため、アルミニウム(Al)原子が高誘電率絶縁層中を拡散しやすくなるので、実効仕事関数の制御が容易になる。また、第2の高誘電率絶縁層がアルミニウム含有層の上側に形成されているため、第2の高誘電率絶縁層中の酸素原子がゲート絶縁膜中を基板方向に透過することを防止できるので、ゲート絶縁膜/基板界面に例えばSiO2 膜のような低誘電率層が形成される事態を回避でき、それにより、EOTの増大を抑制することができる。従って、pチャネルMISトランジスタの高機能化及び低消費電力化を実現することができる。 In the method for manufacturing a semiconductor device according to the present invention, the step (a) includes a step of forming a second gate insulating film on the p-channel MIS transistor formation region in the semiconductor substrate, and the step (b) , Forming a second gate electrode on the second gate insulating film, wherein the step (a) includes forming the first high dielectric constant insulating layer as the second gate insulating film, A step of sequentially forming an aluminum-containing layer and the second high dielectric constant insulating layer may be included. Here, the lanthanum-containing layer is not formed. In this way, by appropriately controlling the Al distribution in the gate insulating film of the n-channel MIS transistor, it is possible to easily control the effective work function while suppressing an increase in EOT as compared with the conventional semiconductor device. be able to. Specifically, since the aluminum-containing layer is sandwiched between the high dielectric constant insulating layers from above and below, aluminum (Al) atoms are easily diffused in the high dielectric constant insulating layer, so that the effective work function can be easily controlled. In addition, since the second high dielectric constant insulating layer is formed on the upper side of the aluminum-containing layer, oxygen atoms in the second high dielectric constant insulating layer can be prevented from passing through the gate insulating film in the substrate direction. Therefore, it is possible to avoid a situation in which a low dielectric constant layer such as a SiO 2 film is formed at the gate insulating film / substrate interface, thereby suppressing an increase in EOT. Therefore, it is possible to realize high functionality and low power consumption of the p-channel MIS transistor.

尚、アルミニウム含有層に含まれるAl原子は、その後の熱処理で上下の高誘電率絶縁層中に拡散する結果、デバイスの最終構造においてはゲート絶縁膜(High−kゲート絶縁膜)中にアルミニウム含有層は残らない。すなわち、pチャネルMISトランジスタのゲート絶縁膜として、膜中にアルミニウムの分布を持つHigh−k膜が形成される。   Al atoms contained in the aluminum-containing layer diffuse into the upper and lower high dielectric constant insulating layers in the subsequent heat treatment, and as a result, in the final structure of the device, the gate insulating film (High-k gate insulating film) contains aluminum. There is no layer left. That is, as a gate insulating film of the p-channel MIS transistor, a high-k film having aluminum distribution is formed in the film.

本発明に係る半導体装置の製造方法において、前記工程(a)は、前記第1の高誘電率絶縁層を形成する前に、前記半導体基板上に界面層を形成する工程を含んでいてもよい。   In the method for manufacturing a semiconductor device according to the present invention, the step (a) may include a step of forming an interface layer on the semiconductor substrate before forming the first high dielectric constant insulating layer. .

本発明に係る半導体装置の製造方法において、前記工程(a)は、前記ランタン含有層を形成した後に、600℃以上で且つ700℃以下の温度で熱処理を行う工程を含んでいてもよい。このようにすると、La原子が高誘電率絶縁層中をより拡散しやすくなるので、実効仕事関数の制御がより一層容易になる。   In the method for manufacturing a semiconductor device according to the present invention, the step (a) may include a step of performing a heat treatment at a temperature of 600 ° C. or higher and 700 ° C. or lower after forming the lanthanum-containing layer. In this case, La atoms are more easily diffused in the high dielectric constant insulating layer, so that the effective work function can be more easily controlled.

本発明に係る半導体装置の製造方法において、前記工程(a)において、前記第2の高誘電率絶縁層を前記ランタン含有層よりも先に形成してもよい。この場合、前記工程(a)が、前記ランタン含有層を形成した後に、700℃以上で且つ1000℃以下の温度で熱処理を行う工程を含むと、La原子が高誘電率絶縁層中をより拡散しやすくなるので、実効仕事関数の制御がより一層容易になる。   In the method of manufacturing a semiconductor device according to the present invention, in the step (a), the second high dielectric constant insulating layer may be formed before the lanthanum-containing layer. In this case, when the step (a) includes a step of performing a heat treatment at a temperature of 700 ° C. or more and 1000 ° C. or less after forming the lanthanum-containing layer, La atoms diffuse more in the high dielectric constant insulating layer. This makes it easier to control the effective work function.

本発明に係る半導体装置の製造方法において、前記第1の高誘電率絶縁層及び前記第2の高誘電率絶縁層は、ハフニウムを含む第1のガスと酸素を含む第1の酸化剤とを用いて形成されてもよい。この場合、前記第1のガスは、TDMAHf(テトラジメチルアミノハフニウム)、HfCl4 (四塩化ハフニウム)、TEMAHf(テトラキスエチルメチルアミノハフニウム)及びHf(MMP)4 (テトラキス(1−メトキシ−2−メチル−2−プロポキシ)ハフニウム)の中から選ばれる少なくとも1種類の物質を含み、前記第1の酸化剤は、H2 O、O2 及びO3 の中から選ばれる少なくとも1種類の物質を含んでいてもよい。 In the method for manufacturing a semiconductor device according to the present invention, the first high dielectric constant insulating layer and the second high dielectric constant insulating layer include a first gas containing hafnium and a first oxidizing agent containing oxygen. May be formed. In this case, the first gas, TDMAHf (tetradimethylaminotitanium hafnium), HfCl 4 (hafnium tetrachloride), TEMAHf (tetrakis ethylmethylamino hafnium) and Hf (MMP) 4 (tetrakis (l-methoxy-2-methyl -2-propoxy) hafnium) containing at least one substance selected from the group consisting of H 2 O, O 2 and O 3. May be.

本発明によれば、ゲート構造としてメタル電極/High−k膜構造を用いた半導体装置において、仕事関数の制御とEOTの薄膜化とを両立させることができるので、トランジスタの高機能化及び低消費電力化を実現することができる。   According to the present invention, in a semiconductor device using a metal electrode / high-k film structure as a gate structure, it is possible to achieve both work function control and EOT thinning. Electricity can be realized.

図1は、本発明の第1の実施形態に係る半導体装置の概略構成の断面図である。FIG. 1 is a sectional view of a schematic configuration of a semiconductor device according to a first embodiment of the present invention. 図2は、本発明の第1の実施形態に係る半導体装置のうちNMOSのゲート構造の形成方法の各工程を示す断面図である。FIG. 2 is a cross-sectional view showing each step of a method for forming an NMOS gate structure in the semiconductor device according to the first embodiment of the present invention. 図3(a)〜(c)は、ゲート絶縁膜構造におけるLa含有層の形成位置を変化させた場合の断面構成を示す図である。FIGS. 3A to 3C are diagrams showing a cross-sectional configuration in the case where the formation position of the La-containing layer in the gate insulating film structure is changed. 図4は、図3(a)〜(c)に示すゲート絶縁膜構造のそれぞれについて、EOTとeWFとの関係を調べた結果を示す図である。FIG. 4 is a diagram showing the results of examining the relationship between EOT and eWF for each of the gate insulating film structures shown in FIGS. 図5(a)及び(b)は、ゲート絶縁膜構造におけるAl含有層の形成位置を変化させた場合の断面構成を示す図である。FIGS. 5A and 5B are diagrams showing a cross-sectional configuration in the case where the formation position of the Al-containing layer in the gate insulating film structure is changed. 図6は、図5(a)及び(b)に示すゲート絶縁膜構造のそれぞれについて、EOTとeWFとの関係を調べた結果を示す図である。FIG. 6 is a diagram showing the results of examining the relationship between EOT and eWF for each of the gate insulating film structures shown in FIGS. 5 (a) and 5 (b). 図7(a)〜(f)は、本発明の第1の実施形態に係る半導体装置の製造方法の各工程を示す断面図である。7A to 7F are cross-sectional views showing respective steps of the method for manufacturing the semiconductor device according to the first embodiment of the present invention. 図8(a)〜(e)は、本発明の第1の実施形態に係る半導体装置の製造方法の各工程を示す断面図である。FIGS. 8A to 8E are cross-sectional views showing respective steps of the method for manufacturing the semiconductor device according to the first embodiment of the present invention. 図9(a)〜(f)は、本発明の第1の実施形態の変形例に係る半導体装置の製造方法の各工程を示す断面図である。FIGS. 9A to 9F are cross-sectional views showing respective steps of a method for manufacturing a semiconductor device according to a modification of the first embodiment of the present invention. 図10(a)〜(e)は、本発明の第1の実施形態の変形例に係る半導体装置の製造方法の各工程を示す断面図である。FIGS. 10A to 10E are cross-sectional views showing respective steps of a method for manufacturing a semiconductor device according to a modification of the first embodiment of the present invention.

(第1の実施形態)
以下、本発明の第1の実施形態に係る半導体装置及びその製造方法について、図面を参照しながら説明する。
(First embodiment)
Hereinafter, a semiconductor device and a manufacturing method thereof according to a first embodiment of the present invention will be described with reference to the drawings.

図1は、本発明の第1の実施形態に係る半導体装置、具体的には、NMOSとPMOSとを有する相補型MOSFET(以下「CMOS」と略記する)を備えた半導体装置の概略構成の断面図である。   1 is a cross-sectional view of a schematic configuration of a semiconductor device according to a first embodiment of the present invention, specifically, a semiconductor device including a complementary MOSFET (hereinafter abbreviated as “CMOS”) having an NMOS and a PMOS. FIG.

図1に示すように、本実施形態の半導体装置においては、例えばシリコン、ゲルマニウム又はシリコンゲルマニウム等からなる半導体基板101の上部にn型ウェル領域102及びp型ウェル領域103が設けられており、n型ウェル領域102上にはPMOS105が設けられており、p型ウェル領域103上にはNMOS106が設けられている。n型ウェル領域102及びp型ウェル領域103はそれぞれ、例えばシリコン酸化膜等から構成された素子分離層104に囲まれており、これにより、n型ウェル領域102とp型ウェル領域103とは互いに電気的に分離されている。素子分離層104は、例えばSTI(Shallow Trench Isolation)構造を有している。   As shown in FIG. 1, in the semiconductor device of the present embodiment, an n-type well region 102 and a p-type well region 103 are provided on a semiconductor substrate 101 made of, for example, silicon, germanium, silicon germanium, or the like. A PMOS 105 is provided on the type well region 102, and an NMOS 106 is provided on the p type well region 103. Each of the n-type well region 102 and the p-type well region 103 is surrounded by an element isolation layer 104 made of, for example, a silicon oxide film, so that the n-type well region 102 and the p-type well region 103 are mutually connected. Electrically separated. The element isolation layer 104 has, for example, an STI (Shallow Trench Isolation) structure.

NMOS106が設けられるp型ウェル領域103上には、High−k材料からなる第1のゲート絶縁膜115を介して、例えばTiN膜又はTaN膜等の金属含有層から構成された第1のメタル電極膜116が形成されている。本実施形態では、第1のゲート絶縁膜115は、例えば、ランタン及びアルミニウムを含有するハフニウム酸化膜(High−k膜)を有している。ここで、当該High−k膜と半導体基板101との間に界面層(第1のゲート絶縁膜115の一部となる)が形成されていてもよい。また、当該High−k膜は、ハフニウムに代えて、又はハフニウムと共に、例えばジルコニウム等の他のHigh−k材料を含んでいてもよい。また、当該High−k膜は、ランタンに代えてマグネシウムを含んでいてもよい。また、当該High−k膜は、アルミニウムに代えてイットリウムを含んでいてもよい。   On the p-type well region 103 where the NMOS 106 is provided, a first metal electrode composed of a metal-containing layer such as a TiN film or a TaN film, for example, via a first gate insulating film 115 made of a High-k material. A film 116 is formed. In the present embodiment, the first gate insulating film 115 includes, for example, a hafnium oxide film (High-k film) containing lanthanum and aluminum. Here, an interface layer (which becomes a part of the first gate insulating film 115) may be formed between the high-k film and the semiconductor substrate 101. Further, the High-k film may include other High-k materials such as zirconium, for example, instead of or together with hafnium. The High-k film may contain magnesium instead of lanthanum. Further, the High-k film may contain yttrium instead of aluminum.

また、図1に示すように、第1のメタル電極膜116上には、例えばリンやヒ素などのn型不純物を含むポリシリコンからなる第1のポリシリコン電極膜117が形成されている。すなわち、NMOS106のゲート電極は、第1のメタル電極膜116と第1のポリシリコン電極膜117とから構成されている。ここで、このNMOS106のゲート電極の側面上には、例えばシリコン酸化膜やシリコン窒化膜等から構成された第1のサイドウォールスペーサ118が形成されている。   As shown in FIG. 1, a first polysilicon electrode film 117 made of polysilicon containing an n-type impurity such as phosphorus or arsenic is formed on the first metal electrode film 116. That is, the gate electrode of the NMOS 106 is composed of the first metal electrode film 116 and the first polysilicon electrode film 117. Here, on the side surface of the gate electrode of the NMOS 106, a first sidewall spacer 118 made of, for example, a silicon oxide film or a silicon nitride film is formed.

また、p型ウェル領域103のうち第1のサイドウォールスペーサ118の直下部分には、n型不純物を含むn型エクステンション層114が形成されている。さらに、p型ウェル領域103のうち第1のメタル電極膜116及び第1のポリシリコン電極膜117から見て第1のサイドウォールスペーサ118の両側方に位置する領域には、n型エクステンション層114よりも高濃度のn型不純物を含むn型ソース・ドレイン領域113がn型エクステンション層114に接するように形成されている。   Further, an n-type extension layer 114 containing an n-type impurity is formed in the p-type well region 103 immediately below the first sidewall spacer 118. Further, in the region located on both sides of the first sidewall spacer 118 when viewed from the first metal electrode film 116 and the first polysilicon electrode film 117 in the p-type well region 103, the n-type extension layer 114. An n-type source / drain region 113 containing an n-type impurity at a higher concentration is formed in contact with the n-type extension layer 114.

尚、図示は省略しているが、n型ソース・ドレイン領域113の表面部及び第1のポリシリコン電極膜117の表面部にはそれぞれ、例えばニッケルシリサイド(NiSi)又はニッケル白金シリサイド(NiPtSi)などから構成されたシリサイド層が形成されていてもよい。また、n型ソース・ドレイン領域113及びn型エクステンション層114は、例えば炭素(C)を1atomic%〜3atomic%程度含んだカーボンドープSiエピタキシャル層に設けられていてもよい。   Although not shown, the surface portion of the n-type source / drain region 113 and the surface portion of the first polysilicon electrode film 117 are, for example, nickel silicide (NiSi) or nickel platinum silicide (NiPtSi). A silicide layer made of may be formed. The n-type source / drain region 113 and the n-type extension layer 114 may be provided in a carbon-doped Si epitaxial layer containing, for example, about 1 atomic% to 3 atomic% of carbon (C).

一方、図1に示すように、PMOS105が設けられるn型ウェル領域102上には、High−k材料からなる第2のゲート絶縁膜109を介して、例えばTiN膜又はTaN膜等の金属含有層から構成された第2のメタル電極膜110が形成されている。本実施形態では、第2のゲート絶縁膜109は、例えば、アルミニウムを含有するハフニウム酸化膜(High−k膜)を有している。ここで、当該High−k膜の比誘電率と比較して、NMOS106の第1のゲート絶縁膜115を構成するHigh−k膜の比誘電率の方が大きくてもよい。また、当該High−k膜と半導体基板101との間に界面層(第2のゲート絶縁膜109の一部となる)が形成されていてもよい。また、当該High−k膜は、ハフニウムに代えて、又はハフニウムと共に、例えばジルコニウム等の他のHigh−k材料を含んでいてもよい。また、当該High−k膜は、アルミニウムに代えてイットリウムを含んでいてもよい。   On the other hand, as shown in FIG. 1, a metal-containing layer such as a TiN film or a TaN film is formed on the n-type well region 102 where the PMOS 105 is provided via a second gate insulating film 109 made of a high-k material. A second metal electrode film 110 composed of is formed. In the present embodiment, the second gate insulating film 109 has, for example, a hafnium oxide film (High-k film) containing aluminum. Here, the relative dielectric constant of the High-k film constituting the first gate insulating film 115 of the NMOS 106 may be larger than the relative dielectric constant of the High-k film. Further, an interface layer (which becomes a part of the second gate insulating film 109) may be formed between the high-k film and the semiconductor substrate 101. Further, the High-k film may include other High-k materials such as zirconium, for example, instead of or together with hafnium. Further, the High-k film may contain yttrium instead of aluminum.

また、第2のメタル電極膜110上には、例えばボロンなどのp型不純物を含むポリシリコンからなる第2のポリシリコン電極膜111が形成されている。すなわち、PMOS105のゲート電極は、第2のメタル電極膜110と第2のポリシリコン電極膜111とから構成されている。ここで、このPMOS105のゲート電極の側面上には、例えばシリコン酸化膜やシリコン窒化膜等から構成された第2のサイドウォールスペーサ112が形成されている。   On the second metal electrode film 110, a second polysilicon electrode film 111 made of polysilicon containing a p-type impurity such as boron is formed. That is, the gate electrode of the PMOS 105 is composed of the second metal electrode film 110 and the second polysilicon electrode film 111. Here, a second sidewall spacer 112 made of, for example, a silicon oxide film or a silicon nitride film is formed on the side surface of the gate electrode of the PMOS 105.

また、n型ウェル領域102のうち第2のサイドウォールスペーサ112の直下部分には、p型不純物を含むp型エクステンション層108が形成されている。さらに、n型ウェル領域102のうち第2のメタル電極膜110及び第2のポリシリコン電極膜111から見て第2のサイドウォールスペーサ112の両側方に位置する領域には、p型エクステンション層108よりも高濃度のp型不純物を含むp型ソース・ドレイン領域107がp型エクステンション層108に接するように形成されている。   A p-type extension layer 108 containing a p-type impurity is formed in the n-type well region 102 immediately below the second sidewall spacer 112. Further, in the n-type well region 102, a region located on both sides of the second sidewall spacer 112 when viewed from the second metal electrode film 110 and the second polysilicon electrode film 111 is formed in the p-type extension layer 108. A p-type source / drain region 107 containing a higher concentration of p-type impurities is formed in contact with the p-type extension layer 108.

尚、図示は省略しているが、p型ソース・ドレイン領域107の表面部及び第2のポリシリコン電極膜111の表面部にはそれぞれ、例えばニッケルシリサイド(NiSi)又はニッケル白金シリサイド(NiPtSi)などから構成されたシリサイド層が形成されていてもよい。また、p型ソース・ドレイン領域107及びp型エクステンション層108は、例えばゲルマニウム(Ge)を10atomic%〜40atomic%程度含んだSiGeエピタキシャル層が設けられていてもよい。   Although not shown in the drawings, the surface portion of the p-type source / drain region 107 and the surface portion of the second polysilicon electrode film 111 are each made of nickel silicide (NiSi) or nickel platinum silicide (NiPtSi), for example. A silicide layer made of may be formed. The p-type source / drain region 107 and the p-type extension layer 108 may be provided with a SiGe epitaxial layer containing, for example, about 10 atomic% to 40 atomic% of germanium (Ge).

また、本実施形態において、NMOSとPMOSとを有するCMOSを備えた半導体装置を対象としたが、これに代えて、本実施形態のNMOS又はPMOSのいずれか一方を備えた半導体装置を対象としてもよい。また、NMOSに代えて、実質的に同じゲート構造を持つnチャネルMIS(Metal Insulator Semiconductor)トランジスタを対象としてもよい。また、PMOSに代えて、実質的に同じゲート構造を持つpチャネルMISトランジスタを対象としてもよい。   Further, in the present embodiment, the semiconductor device including the CMOS having the NMOS and the PMOS is targeted, but instead, the semiconductor device including either the NMOS or the PMOS of the present embodiment is also targeted. Good. Further, an n-channel MIS (Metal Insulator Semiconductor) transistor having substantially the same gate structure may be used instead of the NMOS. Further, a p-channel MIS transistor having substantially the same gate structure may be used instead of the PMOS.

以下、図1に示す本実施形態の半導体装置のうちNMOS106のゲート構造の形成方法について、図2(a)〜(f)を参照しながら説明する。   Hereinafter, a method for forming the gate structure of the NMOS 106 in the semiconductor device of this embodiment shown in FIG. 1 will be described with reference to FIGS.

まず、図2(a)に示すように、半導体基板101(NMOS106のp型ウェル領域103)上に、例えば膜厚1.5nm以下(具体的には膜厚0.9nm)のシリコン酸化膜(SiO2 )又はシリコン酸窒化膜(SiON)からなる界面層201を形成する。この時、界面層201の形成を、例えばO2 、N2 O又はNO等のガスを用いて処理温度700℃〜1000℃の範囲で行うことが好ましい。また、SiO2 膜を形成する場合には、SiO2 膜中に、Si−O結合の他にSi−H結合があってもよい。また、SiON膜を形成する際には、窒素含有プラズマ照射により窒化処理を行い、その後、膜緻密化のために例えば800℃〜1100℃の温度範囲において酸素又は窒素雰囲気中で高温熱処理を行うことが望ましい。 First, as shown in FIG. 2A, a silicon oxide film (for example, a film thickness of 1.5 nm or less (specifically, a film thickness of 0.9 nm) is formed on a semiconductor substrate 101 (p-type well region 103 of the NMOS 106). An interface layer 201 made of SiO 2 ) or a silicon oxynitride film (SiON) is formed. At this time, it is preferable to form the interface layer 201 at a processing temperature of 700 ° C. to 1000 ° C. using a gas such as O 2 , N 2 O, or NO. In the case of forming the SiO 2 film, in the SiO 2 film, it may be a Si-H bonds in addition to the SiO bond. Further, when forming the SiON film, nitriding treatment is performed by nitrogen-containing plasma irradiation, and then high-temperature heat treatment is performed in an oxygen or nitrogen atmosphere in a temperature range of, for example, 800 ° C. to 1100 ° C. for film densification. Is desirable.

次に、図2(b)に示すように、界面層201上に、例えば膜厚2nm程度以下の第1のHfO2 膜202を形成する。第1のHfO2 膜202の成膜方法としては、例えば、Hf金属材料含有ガスと酸化剤とを交互に繰り返し供給することによって原子レベルでのHfO2 成膜を可能とする原子層堆積(ALD:Atomic Layer Deposition)法を用いてもよい。この時、前記Hf金属材料含有ガスとしては、TDMAHf(テトラジメチルアミノハフニウム)、HfCl4 (四塩化ハフニウム)、TEMAHf(テトラキスエチルメチルアミノハフニウム)及びHf(MMP)4 (テトラキス(1−メトキシ−2−メチル−2−プロポキシ)ハフニウム)の中から選ばれる少なくとも1種類の物質を含むことが好ましい。また、前記酸化剤としては、水(H2 O)、酸素(O2 )及びオゾン(O3 )の中から選ばれる少なくとも1種類の物質を含むことが好ましい。 Next, as shown in FIG. 2B, a first HfO 2 film 202 having a film thickness of, for example, about 2 nm or less is formed on the interface layer 201. As a method for forming the first HfO 2 film 202, for example, atomic layer deposition (ALD) that enables HfO 2 film formation at the atomic level by alternately supplying a gas containing Hf metal material and an oxidant alternately. : Atomic Layer Deposition) method may be used. At this time, as the Hf metal material containing gas, TDMAHf (tetradimethylaminotitanium hafnium), HfCl 4 (hafnium tetrachloride), TEMAHf (tetrakis ethylmethylamino hafnium) and Hf (MMP) 4 (tetrakis (1- methoxy-2 It is preferable to include at least one substance selected from -methyl-2-propoxy) hafnium). The oxidizing agent preferably contains at least one substance selected from water (H 2 O), oxygen (O 2 ) and ozone (O 3 ).

次に、図2(c)に示すように、第1のHfO2 膜202上に、例えばAl酸化物(AlOx)又はアルミニウム(Al)からなる膜厚1nm程度以下のAl含有層203を形成する。続いて、図2(d)に示すように、Al含有層203上に、例えばLa酸化物(LaOx)又はランタン(La)からなる膜厚2nm程度以下のLa含有層204を形成する。本実施形態では、La含有層204の形成後に、熱処理によってLa含有層204中のLa原子を基板側へ拡散させる工程を行ってもよい。この場合、熱処理温度は600℃〜1000℃程度までの温度であることが好ましい。また、熱処理によってLa原子を拡散させた後に、例えばHClを主成分とする化学溶液を用いた洗浄により、余剰なLa含有層204を除去する工程を行ってもよい。 Next, as shown in FIG. 2C, an Al-containing layer 203 made of, for example, Al oxide (AlOx) or aluminum (Al) and having a thickness of about 1 nm or less is formed on the first HfO 2 film 202. . Subsequently, as shown in FIG. 2D, a La-containing layer 204 made of, for example, La oxide (LaOx) or lanthanum (La) and having a thickness of about 2 nm or less is formed on the Al-containing layer 203. In the present embodiment, after the formation of the La-containing layer 204, a step of diffusing La atoms in the La-containing layer 204 to the substrate side by heat treatment may be performed. In this case, the heat treatment temperature is preferably about 600 ° C to 1000 ° C. In addition, after diffusing La atoms by heat treatment, a process of removing the excess La-containing layer 204 may be performed by cleaning using, for example, a chemical solution mainly containing HCl.

次に、図2(e)に示すように、La含有層204上に、例えば膜厚2nm程度以下の第2のHfO2 膜205を形成する。第2のHfO2 膜205の成膜方法としては、第1のHfO2 膜202の成膜方法と同様の方法を用いてもよい。その後、図2(f)に示すように、第2のHfO2 膜205上に、仕事関数決定用の金属材料、例えばTiNからなる第1のメタル電極膜116を形成した後、第1のメタル電極膜116上に、例えばリンやヒ素などのn型不純物を含む第1のポリシリコン電極膜117を形成する。ここで、第1のメタル電極膜116の材料として、TiNの他に、例えばTiAlN、TaN、TaC又はTaCN等を用いてもよい。また、第1のポリシリコン電極膜117に代えて、n型不純物を含む他の半導体膜を形成してもよい。 Next, as shown in FIG. 2E, a second HfO 2 film 205 having a thickness of about 2 nm or less is formed on the La-containing layer 204, for example. As a method for forming the second HfO 2 film 205, a method similar to the method for forming the first HfO 2 film 202 may be used. After that, as shown in FIG. 2F, a first metal electrode film 116 made of a work function determining metal material, for example, TiN is formed on the second HfO 2 film 205, and then the first metal is formed. A first polysilicon electrode film 117 containing an n-type impurity such as phosphorus or arsenic is formed on the electrode film 116. Here, as the material of the first metal electrode film 116, for example, TiAlN, TaN, TaC, or TaCN may be used in addition to TiN. Further, instead of the first polysilicon electrode film 117, another semiconductor film containing an n-type impurity may be formed.

本実施形態の特徴は、NMOS106の第1のゲート絶縁膜115(図1参照)として、第1のHfO2 膜202、Al含有層203、La含有層204及び第2のHfO2 膜205を順次形成することである。すなわち、Al含有層203及びLa含有層204が第1のHfO2 膜202及び第2のHfO2 膜205によって挟まれた構造を形成する。このため、Al含有層203及びLa含有層204にそれぞれ含まれるAl原子及びLa原子は、その後の熱処理で第1のHfO2 膜202及び第2のHfO2 膜205中に拡散する結果、デバイスの最終構造においては第1のゲート絶縁膜115(正確には界面層201を除くHigh−k膜部分)中にAl含有層203及びLa含有層204は残らない。すなわち、NMOS106の第1のゲート絶縁膜115として、膜中にアルミニウム及びランタンの分布(濃度プロファイル)を持つハフニウム含有High−k膜が形成される。ここで、本実施形態のように、Al含有層203上にLa含有層204を形成した場合、第1のゲート絶縁膜115(ハフニウム含有High−k膜)中において、ランタン濃度のピーク位置は、アルミニウム濃度のピーク位置よりもゲート電極(第1のメタル電極膜116)に近くなる。 A feature of the present embodiment is that a first HfO 2 film 202, an Al-containing layer 203, a La-containing layer 204, and a second HfO 2 film 205 are sequentially formed as a first gate insulating film 115 (see FIG. 1) of the NMOS 106. Is to form. That is, a structure in which the Al-containing layer 203 and the La-containing layer 204 are sandwiched between the first HfO 2 film 202 and the second HfO 2 film 205 is formed. For this reason, Al atoms and La atoms respectively contained in the Al-containing layer 203 and the La-containing layer 204 are diffused into the first HfO 2 film 202 and the second HfO 2 film 205 in the subsequent heat treatment. In the final structure, the Al-containing layer 203 and the La-containing layer 204 do not remain in the first gate insulating film 115 (more precisely, the High-k film portion excluding the interface layer 201). That is, as the first gate insulating film 115 of the NMOS 106, a hafnium-containing high-k film having aluminum and lanthanum distribution (concentration profile) is formed in the film. Here, when the La-containing layer 204 is formed on the Al-containing layer 203 as in this embodiment, the peak position of the lanthanum concentration in the first gate insulating film 115 (hafnium-containing High-k film) is It is closer to the gate electrode (first metal electrode film 116) than the peak position of the aluminum concentration.

本実施形態によると、NMOS106の第1のゲート絶縁膜115中におけるLa分布及びAl分布を適切に制御することにより、従来の半導体装置と比較して、EOTの増大を抑制しつつ実効仕事関数の制御を容易に行うことができる。具体的には、La含有層を上下からHfO2 膜202及び205によって挟みこむため、La原子がHfO2 膜202及び205中を拡散しやすくなるので、実効仕事関数の制御が容易になる。また、第2のHfO2 膜205がAl含有層203及びLa含有層204の上側に形成されているため、第2のHfO2 膜205中の酸素原子がゲート絶縁膜中を基板方向に透過することを防止できるので、ゲート絶縁膜/基板界面に例えばSiO2 膜のような低誘電率層が形成される事態を回避でき、それにより、EOTの増大を抑制することができる。さらに、La含有層204の下側にAl含有層203を形成しているため、原子半径の大きいLa原子の基板側への拡散を、原子半径の小さいAl原子によって抑制することができるため、チャネル移動度の劣化を抑制することができる。従って、NMOS106の高機能化及び低消費電力化を実現することができる。 According to the present embodiment, by appropriately controlling the La distribution and the Al distribution in the first gate insulating film 115 of the NMOS 106, the effective work function is suppressed while suppressing an increase in EOT as compared with the conventional semiconductor device. Control can be easily performed. Specifically, since the La-containing layer is sandwiched between the HfO 2 films 202 and 205 from above and below, La atoms are easily diffused in the HfO 2 films 202 and 205, so that the effective work function can be easily controlled. Further, since the second HfO 2 film 205 is formed above the Al-containing layer 203 and the La-containing layer 204, oxygen atoms in the second HfO 2 film 205 are transmitted through the gate insulating film in the substrate direction. Since this can be prevented, a situation in which a low dielectric constant layer such as a SiO 2 film is formed at the gate insulating film / substrate interface can be avoided, thereby suppressing an increase in EOT. Furthermore, since the Al-containing layer 203 is formed below the La-containing layer 204, diffusion of La atoms having a large atomic radius to the substrate side can be suppressed by Al atoms having a small atomic radius. Mobility deterioration can be suppressed. Therefore, higher functionality and lower power consumption of the NMOS 106 can be realized.

尚、本実施形態において、第1のHfO2 膜202及び第2のHfO2 膜205に代えて、他のハフニウム含有絶縁層、例えばHfSiO膜又はHfSiON膜等を形成してもよい。 In the present embodiment, instead of the first HfO 2 film 202 and the second HfO 2 film 205, another hafnium-containing insulating layer such as an HfSiO film or an HfSiON film may be formed.

以上に説明したように、本実施形態は、HfO2 膜中でのAl含有層及びLa含有層の形成位置を調節することにより、EOTの増大を抑制しつつ実効仕事関数の制御を容易に行うものであるが、以下、比較例と対比しながら、本実施形態の効果について詳細に説明する。 As described above, the present embodiment easily controls the effective work function while suppressing the increase in EOT by adjusting the formation positions of the Al-containing layer and the La-containing layer in the HfO 2 film. However, the effects of the present embodiment will be described in detail below in comparison with the comparative example.

図3(a)〜(c)は、ゲート絶縁膜構造におけるLa含有層の形成位置を変化させた場合の断面構成を示している。   3A to 3C show cross-sectional configurations when the position where the La-containing layer is formed in the gate insulating film structure is changed.

まず、図3(a)に示す構造(第1比較例)は、以下のようにして形成される。まず、半導体基板101上に、膜厚1.5nm以下の界面層201(例えば膜厚0.9nmのSiO2 膜)を形成した後、界面層201上に、膜厚4nm以下のHfO2 膜202(例えば膜厚2.0nmのHfO2 膜)を形成する。その後、HfO2 膜202上に、膜厚2nm以下のLa含有層204(例えば膜厚2.0nmのLa2 3 膜)を形成した後、600℃〜700℃の熱処理を行ってLa含有層204中のLa原子をHfO2 膜202中に拡散させる。尚、La原子の拡散後には、余剰なLa含有層204を、HClを主成分とする化学溶液を用いた洗浄により除去する。 First, the structure (first comparative example) shown in FIG. 3A is formed as follows. First, an interface layer 201 having a thickness of 1.5 nm or less (for example, a SiO 2 film having a thickness of 0.9 nm) is formed on the semiconductor substrate 101, and then an HfO 2 film 202 having a thickness of 4 nm or less is formed on the interface layer 201. (For example, an HfO 2 film having a thickness of 2.0 nm) is formed. Thereafter, a La-containing layer 204 having a thickness of 2 nm or less (for example, a La 2 O 3 film having a thickness of 2.0 nm) is formed on the HfO 2 film 202, and then a heat treatment at 600 ° C. to 700 ° C. is performed to form the La-containing layer. La atoms in 204 are diffused into the HfO 2 film 202. After the diffusion of La atoms, the excessive La-containing layer 204 is removed by cleaning with a chemical solution containing HCl as a main component.

次に、図3(b)に示す構造(第2比較例)は、基本的に図3(a)に示す構造(第1比較例)と同様の構造であるが、図3(b)に示す構造の形成方法において、La含有層204の形成後の熱処理温度が700℃〜1000℃の高温熱処理、例えば800℃の熱処理である点が異なっている。   Next, the structure shown in FIG. 3B (second comparative example) is basically the same structure as the structure shown in FIG. 3A (first comparative example). The method of forming the structure shown is different in that the heat treatment temperature after the formation of the La-containing layer 204 is a high temperature heat treatment at 700 ° C. to 1000 ° C., for example, a heat treatment at 800 ° C.

次に、図3(c)に示す構造(本実施形態のNMOS106のゲート絶縁膜構造と対応(但し、各比較例との対比のため、Al含有層は形成していない))は、以下のようにして形成される。まず、半導体基板101上に、膜厚1.5nm以下の界面層201(例えば膜厚0.9nmのSiO2 膜)を形成した後、界面層201上に、膜厚2nm以下の第1のHfO2 膜202(例えば膜厚1.0nmのHfO2 膜)を形成し、その後、第1のHfO2 膜202上に、膜厚1.0nm以下のLa含有層204(例えば膜厚0.5nmのLa2 3 膜)を形成した後、La含有層204上に、膜厚2nm以下の第2のHfO2 膜205(例えば膜厚1.0nmのHfO2 膜)を形成する。 Next, the structure shown in FIG. 3C (corresponding to the gate insulating film structure of the NMOS 106 of this embodiment (however, for comparison with each comparative example, an Al-containing layer is not formed)) is as follows. Thus formed. First, an interface layer 201 having a thickness of 1.5 nm or less (for example, a SiO 2 film having a thickness of 0.9 nm) is formed on the semiconductor substrate 101, and then a first HfO having a thickness of 2 nm or less is formed on the interface layer 201. Two films 202 (for example, a 1.0 nm-thickness HfO 2 film) are formed, and then a La-containing layer 204 (for example, a 0.5 nm-thickness film having a thickness of 0.5 nm) is formed on the first HfO 2 film 202 (La 2 O 3 film) is formed, and then a second HfO 2 film 205 (for example, a 1.0 nm-thick HfO 2 film) having a thickness of 2 nm or less is formed on the La-containing layer 204.

図4は、図3(a)〜(c)に示す、HfO2 膜とLa含有層とが積層された3つのゲート絶縁膜構造のそれぞれについて、シリコン酸化膜換算膜厚(EOT)と実効仕事関数(eWF)との関係を調べた結果を示す図である。NMOSとしてLa含有層により実効仕事関数を制御する場合、La含有層のない構造と比較してEOTの増加を抑制しつつ、実効仕事関数を低くすることが望ましい。ここで、EOT(シリコン酸化膜換算膜厚)とは、ゲート絶縁膜の材料がシリコン酸化物であると仮定して、ゲート容量から逆算して得られる絶縁膜の膜厚のことである。 FIG. 4 shows the equivalent silicon oxide film thickness (EOT) and effective work for each of the three gate insulating film structures in which the HfO 2 film and the La-containing layer are stacked as shown in FIGS. It is a figure which shows the result of having investigated the relationship with a function (eWF). When the effective work function is controlled by the La-containing layer as the NMOS, it is desirable to lower the effective work function while suppressing an increase in EOT as compared with a structure without the La-containing layer. Here, EOT (silicon oxide film equivalent film thickness) is the film thickness of the insulating film obtained by calculating back from the gate capacitance, assuming that the material of the gate insulating film is silicon oxide.

図4に示すように、図3(a)に示す構造によると、La含有層なしの構造(HfO2 /IL(LaOなし)(但しILは界面層))と比べて、EOTの増加は無いものの、eWFは4.6eV程度から4.3eV程度までしか低くなっていない。すなわち、eWF変調は4.3eVが限界であることが分かる。それに対して、図3(b)に示す構造によると、EOTがやや増加しているものの、eWF変調によって4.0eVを達成できている。また、図3(c)に示す構造によると、EOTの増加なく、eWF変調によって4.1eVを達成できている。以上の結果から、図3(b)及び(c)に示す構造、特に、図3(c)に示す構造を用いることによって、EOTの増加なくeWFを効率的に制御できることが分かった。 As shown in FIG. 4, according to the structure shown in FIG. 3 (a), there is no increase in EOT compared to a structure without a La-containing layer (HfO 2 / IL (without LaO) (where IL is an interface layer)). However, eWF is only low from about 4.6 eV to about 4.3 eV. That is, it can be seen that eWF modulation has a limit of 4.3 eV. On the other hand, according to the structure shown in FIG. 3B, although EOT is slightly increased, 4.0 eV can be achieved by eWF modulation. Further, according to the structure shown in FIG. 3C, 4.1 eV can be achieved by eWF modulation without an increase in EOT. From the above results, it was found that eWF can be efficiently controlled without an increase in EOT by using the structure shown in FIGS. 3B and 3C, in particular, the structure shown in FIG.

図5(a)及び(b)は、ゲート絶縁膜構造におけるAl含有層の形成位置を変化させた場合の断面構成を示している。   FIGS. 5A and 5B show cross-sectional configurations in the case where the formation position of the Al-containing layer in the gate insulating film structure is changed.

まず、図5(a)に示す構造(第3比較例)は、以下のようにして形成される。まず、半導体基板101上に、膜厚1.5nm以下の界面層201(例えば膜厚0.9nmのSiO2 膜)を形成した後、界面層201上に、膜厚4nm以下のHfO2 膜202(例えば膜厚2.0nmのHfO2 膜)を形成し、その後、HfO2 膜202上に、膜厚1nm以下のAl含有層203(例えば膜厚0.5nm及び1.0nmの2種類のAl2 3 膜)を形成する。 First, the structure (third comparative example) shown in FIG. 5A is formed as follows. First, an interface layer 201 having a thickness of 1.5 nm or less (for example, a SiO 2 film having a thickness of 0.9 nm) is formed on the semiconductor substrate 101, and then an HfO 2 film 202 having a thickness of 4 nm or less is formed on the interface layer 201. (For example, an HfO 2 film having a thickness of 2.0 nm) is formed, and then an Al-containing layer 203 having a thickness of 1 nm or less (for example, two types of Al having a thickness of 0.5 nm and 1.0 nm) is formed on the HfO 2 film 202. 2 O 3 film).

次に、図5(b)に示す構造(本実施形態のPMOS105のゲート絶縁膜構造と対応)は、以下のようにして形成される。まず、半導体基板101上に、膜厚1.5nm以下の界面層201(例えば膜厚0.9nmのSiO2 膜)を形成した後、界面層201上に、膜厚2nm以下の第1のHfO2 膜202(例えば膜厚1.0nmのHfO2 膜)を形成し、その後、第1のHfO2 膜202上に、膜厚1.0nm以下のAl含有層203(例えば膜厚0.7nmのAl2 3 膜)を形成した後、Al含有層203上に、膜厚2nm以下の第2のHfO2 膜205(例えば膜厚1.0nmのHfO2 膜)を形成する。 Next, the structure shown in FIG. 5B (corresponding to the gate insulating film structure of the PMOS 105 of this embodiment) is formed as follows. First, an interface layer 201 having a thickness of 1.5 nm or less (for example, a SiO 2 film having a thickness of 0.9 nm) is formed on the semiconductor substrate 101, and then a first HfO having a thickness of 2 nm or less is formed on the interface layer 201. Two films 202 (for example, a 1.0 nm thick HfO 2 film) are formed, and then an Al-containing layer 203 (for example, a 0.7 nm thick film thickness) having a film thickness of 1.0 nm or less is formed on the first HfO 2 film 202. After forming the Al 2 O 3 film, a second HfO 2 film 205 (for example, a 1.0 nm-thick HfO 2 film) having a thickness of 2 nm or less is formed on the Al-containing layer 203.

図6は、図5(a)及び(b)に示す、HfO2 膜とAl含有層とが積層された2つのゲート絶縁膜構造のそれぞれについて、EOTとeWFとの関係を調べた結果を示す図である。PMOSとしてAl含有層により実効仕事関数を制御する場合、Al含有層のない構造と比較してEOTの増加を抑制しつつ、実効仕事関数を高くすることが望ましい。 FIG. 6 shows the results of examining the relationship between EOT and eWF for each of the two gate insulating film structures in which the HfO 2 film and the Al-containing layer shown in FIGS. 5A and 5B are stacked. FIG. When controlling the effective work function with an Al-containing layer as a PMOS, it is desirable to increase the effective work function while suppressing an increase in EOT as compared with a structure without an Al-containing layer.

図6に示すように、図5(a)に示す構造によると、Al含有層の膜厚を増加させるに従ってeWFが増加するものの、Al含有層の膜厚が1.0nm程度になると、eWF=4.8eV程度で飽和傾向になることが分かる(図6中の実線参照。尚、左側の●がAl含有層の膜厚が0.5nmの場合に対応し、右側の●がAl含有層の膜厚が1.0nm程度の場合に対応する)。また、Al含有層の膜厚を増加させるに従って、Al含有層なしの構造(HfO2 /IL(AlOなし)(但しILは界面層))と比べて、EOTが著しく増加してしまう。それに対して、Al含有層をHfO2 膜の中間に挿入した、図5(b)に示す構造によると、eWF=4.85eV以上を達成できていると共に、EOTの増加量も0.1nm程度に抑えられている。以上の結果から、EOTの増大を抑制しつつ、高eWF値を得ることができる構造としては、図5(b)に示す構造が最適であることが分かった。 As shown in FIG. 6, according to the structure shown in FIG. 5A, eWF increases as the thickness of the Al-containing layer increases, but when the thickness of the Al-containing layer becomes about 1.0 nm, eWF = It can be seen that saturation tends to occur at about 4.8 eV (see the solid line in FIG. 6), where the ● on the left corresponds to the case where the film thickness of the Al-containing layer is 0.5 nm, and the ● on the right indicates the Al-containing layer. This corresponds to the case where the film thickness is about 1.0 nm). Further, as the thickness of the Al-containing layer is increased, EOT is remarkably increased as compared with a structure without an Al-containing layer (HfO 2 / IL (without AlO) (IL is an interface layer)). In contrast, according to the structure shown in FIG. 5B in which an Al-containing layer is inserted in the middle of the HfO 2 film, eWF = 4.85 eV or more can be achieved, and the increase in EOT is about 0.1 nm. Is suppressed. From the above results, it was found that the structure shown in FIG. 5B is optimal as a structure capable of obtaining a high eWF value while suppressing an increase in EOT.

以上に説明したように、CMOSにおいてNMOS及びPMOSのいずれについてもEOT増加を抑制しつつ実効仕事関数を効率的に制御できるゲート絶縁膜構造としては、NMOSについては図3(b)及び(c)に示す構造、特に、図3(c)に示す構造を用い、PMOSについては図5(b)に示す構造を用いることが望ましい。また、NMOSのゲート絶縁膜構造においては、La原子の拡散を抑制するためにAl含有層をさらに挿入することが望ましい。この場合、Al添加に起因するEOTの増大については、La添加による誘電率の増大によって相殺される。また、Al添加に起因するeWFの増大については、La添加量を増やすことによって抑制可能である。   As described above, the gate insulating film structure capable of efficiently controlling the effective work function while suppressing the increase in EOT in both the NMOS and PMOS in the CMOS is shown in FIGS. 3B and 3C for the NMOS. Preferably, the structure shown in FIG. 3C is used, and the structure shown in FIG. 5B is preferably used for the PMOS. Further, in the NMOS gate insulating film structure, it is desirable to further insert an Al-containing layer in order to suppress diffusion of La atoms. In this case, the increase in EOT caused by the addition of Al is offset by the increase in dielectric constant due to the addition of La. Further, the increase in eWF due to Al addition can be suppressed by increasing the amount of La addition.

以下、本発明の第1の実施形態に係る半導体装置の製造方法について、図面を参照しながら説明する。   A method for manufacturing a semiconductor device according to the first embodiment of the present invention will be described below with reference to the drawings.

図7(a)〜(f)及び図8(a)〜(e)は、本発明の第1の実施形態に係る半導体装置、具体的には、NMOSとPMOSとを有するCMOSを備えた半導体装置の製造方法の各工程を示す断面図である。   FIGS. 7A to 7F and FIGS. 8A to 8E show a semiconductor device according to the first embodiment of the present invention, specifically, a semiconductor including a CMOS having an NMOS and a PMOS. It is sectional drawing which shows each process of the manufacturing method of an apparatus.

まず、図7(a)に示すように、例えばシリコン等からなる半導体基板101上に、例えばSTI法により素子分離層104を形成し、それにより、NMOS領域の半導体基板101に形成した活性領域(p型ウェル領域103)と、PMOS領域の半導体基板101に形成した活性領域(n型ウェル領域102)とを区画する。その後、NMOS領域及びPMOS領域を含む半導体基板101上に、例えば膜厚1.5nm以下(具体的には膜厚0.9nm)のシリコン酸化膜(SiO2 )又はシリコン酸窒化膜(SiON)からなる界面層201を形成する。この時、界面層201の形成を、例えばO2 、N2 O又はNO等のガスを用いて処理温度700℃〜1000℃の範囲で行うことが好ましい。また、SiON膜を形成する場合、窒素含有プラズマ照射により窒化処理を行い、その後、膜緻密化のために例えば800℃〜1100℃の温度範囲において酸素又は窒素雰囲気中で高温熱処理を行うことが望ましい。 First, as shown in FIG. 7A, an element isolation layer 104 is formed on, for example, an STI method on a semiconductor substrate 101 made of, for example, silicon, thereby forming an active region (NMOS region) formed on the semiconductor substrate 101. A p-type well region 103) and an active region (n-type well region 102) formed in the semiconductor substrate 101 in the PMOS region are partitioned. Thereafter, on the semiconductor substrate 101 including the NMOS region and the PMOS region, for example, from a silicon oxide film (SiO 2 ) or a silicon oxynitride film (SiON) having a film thickness of 1.5 nm or less (specifically, a film thickness of 0.9 nm). An interface layer 201 is formed. At this time, it is preferable to form the interface layer 201 at a processing temperature of 700 ° C. to 1000 ° C. using a gas such as O 2 , N 2 O, or NO. In addition, when forming a SiON film, it is desirable to perform nitriding treatment by nitrogen-containing plasma irradiation, and then perform high-temperature heat treatment in an oxygen or nitrogen atmosphere in a temperature range of, for example, 800 ° C. to 1100 ° C. for film densification. .

次に、図7(b)に示すように、界面層201上に、例えば膜厚2nm程度以下の第1のHfO2 膜202を形成する。第1のHfO2 膜202の成膜方法としては、例えば、Hf金属材料含有ガスと酸化剤とを交互に繰り返し供給することによって原子レベルでのHfO2 成膜を可能とする原子層堆積(ALD)法を用いてもよい。この時、前記Hf金属材料含有ガスとしては、TDMAHf(テトラジメチルアミノハフニウム)、HfCl4 (四塩化ハフニウム)、TEMAHf(テトラキスエチルメチルアミノハフニウム)及びHf(MMP)4 (テトラキス(1−メトキシ−2−メチル−2−プロポキシ)ハフニウム)の中から選ばれる少なくとも1種類の物質を含むことが好ましい。また、前記酸化剤としては、水(H2 O)、酸素(O2 )及びオゾン(O3 )の中から選ばれる少なくとも1種類の物質を含むことが好ましい。さらに、例えば窒素含有ガスからなるプラズマを用いた処理によって、第1のHfO2 膜202中に窒素原子を添加してもよい。 Next, as shown in FIG. 7B, a first HfO 2 film 202 having a film thickness of about 2 nm or less is formed on the interface layer 201, for example. As a method for forming the first HfO 2 film 202, for example, atomic layer deposition (ALD) that enables HfO 2 film formation at the atomic level by alternately supplying a gas containing Hf metal material and an oxidant alternately. ) Method may be used. At this time, as the Hf metal material containing gas, TDMAHf (tetradimethylaminotitanium hafnium), HfCl 4 (hafnium tetrachloride), TEMAHf (tetrakis ethylmethylamino hafnium) and Hf (MMP) 4 (tetrakis (1- methoxy-2 It is preferable to include at least one substance selected from -methyl-2-propoxy) hafnium). The oxidizing agent preferably contains at least one substance selected from water (H 2 O), oxygen (O 2 ) and ozone (O 3 ). Further, for example, nitrogen atoms may be added to the first HfO 2 film 202 by a process using plasma made of a nitrogen-containing gas.

次に、図7(c)に示すように、第1のHfO2 膜202上に、例えば膜厚1nm程度以下のAl酸化物(AlOx)又はアルミニウム(Al)からなるAl含有層203を形成する。 Next, as shown in FIG. 7C, an Al-containing layer 203 made of, for example, Al oxide (AlOx) or aluminum (Al) having a thickness of about 1 nm or less is formed on the first HfO 2 film 202. .

次に、図7(d)に示すように、Al含有層203上に、例えば膜厚10nm程度のTiN膜からなるハードマスク膜301を形成する。ここで、ハードマスク膜301を、例えばTiCl4 ガスとNH3 ガスとを用いたALD法又はPVD(Physical Vapor Deposition)法により形成してもよい。 Next, as shown in FIG. 7D, a hard mask film 301 made of, for example, a TiN film having a thickness of about 10 nm is formed on the Al-containing layer 203. Here, the hard mask film 301 may be formed by, for example, an ALD method using a TiCl 4 gas and an NH 3 gas or a PVD (Physical Vapor Deposition) method.

次に、図示は省略しているが、ハードマスク膜301の全面を覆うようにレジストを塗布した後、リソグラフィーによりNMOS領域のレジストを開口することにより、PMOS領域のみを覆うレジストパターンを形成する。その後、当該レジストパターンをマスクとして、図7(e)に示すように、例えばH2 2 を主成分とする薬液を用いたウェットエッチングによって、NMOS領域のハードマスク膜301を選択的に除去する。 Next, although not shown, after applying a resist so as to cover the entire surface of the hard mask film 301, a resist pattern that covers only the PMOS region is formed by opening the resist in the NMOS region by lithography. Thereafter, using the resist pattern as a mask, as shown in FIG. 7E, the hard mask film 301 in the NMOS region is selectively removed by wet etching using, for example, a chemical solution mainly composed of H 2 O 2. .

次に、図7(f)に示すように、NMOS領域のAl含有層203の全面及びPMOS領域のハードマスク膜301の全面を覆うように、例えばLa酸化物(LaOx)又はランタン(La)からなる膜厚2nm程度以下のLa含有層204を形成する。ここで、La含有層204の形成後に、例えば600℃〜1000℃程度(好ましくは600℃〜700℃程度)の熱処理を行うことによって、La含有層204中のLa原子及びAl含有層203中のAl原子をNMOS領域の第1のHfO2 膜202中に拡散させると共にAl含有層203中のAl原子をPMOS領域の第1のHfO2 膜202中に拡散させてもよい。 Next, as shown in FIG. 7F, for example, from La oxide (LaOx) or lanthanum (La) so as to cover the entire surface of the Al-containing layer 203 in the NMOS region and the entire surface of the hard mask film 301 in the PMOS region. A La-containing layer 204 having a thickness of about 2 nm or less is formed. Here, after the formation of the La-containing layer 204, for example, by performing a heat treatment at about 600 ° C. to 1000 ° C. (preferably about 600 ° C. to 700 ° C.), the La atoms in the La-containing layer 204 and the Al-containing layer 203 Al atoms may be diffused into the first HfO 2 film 202 in the NMOS region and Al atoms in the Al-containing layer 203 may be diffused into the first HfO 2 film 202 in the PMOS region.

次に、図8(a)に示すように、例えばHClを主成分とする薬液を用いたウェットエッチングにより、PMOS領域のハードマスク膜301上の余剰なLa含有層204を除去する。   Next, as shown in FIG. 8A, the excess La-containing layer 204 on the hard mask film 301 in the PMOS region is removed by wet etching using, for example, a chemical solution mainly composed of HCl.

次に、図8(b)に示すように、例えばH2 2 を主成分とする薬液を用いたウェットエッチングにより、PMOS領域のハードマスク膜301を除去する。 Next, as shown in FIG. 8B, the hard mask film 301 in the PMOS region is removed, for example, by wet etching using a chemical solution mainly composed of H 2 O 2 .

次に、図8(c)に示すように、NMOS領域のLa含有層204上及びPMOS領域のAl含有層203上に、例えば膜厚2nm程度以下の第2のHfO2 膜205を形成する。第2のHfO2 膜205の成膜方法としては、前述の第1のHfO2 膜202の成膜方法と同様の方法を用いてもよい。 Next, as shown in FIG. 8C, a second HfO 2 film 205 having a thickness of, for example, about 2 nm or less is formed on the La-containing layer 204 in the NMOS region and the Al-containing layer 203 in the PMOS region. As a method for forming the second HfO 2 film 205, a method similar to the method for forming the first HfO 2 film 202 described above may be used.

次に、図8(d)に示すように、第2のHfO2 膜205上に、仕事関数決定用の金属材料、例えばTiNからなる膜厚15nm程度のメタル電極膜302を形成する。その後、図示は省略しているが、メタル電極膜302上に、不純物を含むポリシリコン電極膜を形成してもよい。 Next, as shown in FIG. 8D, a metal electrode film 302 having a film thickness of about 15 nm made of a metal material for work function determination, for example, TiN, is formed on the second HfO 2 film 205. Thereafter, although not shown, a polysilicon electrode film containing impurities may be formed on the metal electrode film 302.

次に、図8(e)に示すように、フォトリソグラフィー技術及びエッチング技術を用いて、NMOS領域及びPMOS領域のそれぞれにおいてゲートパターニングを行う。その後、図示は省略しているが、サイドウォールスペーサ、エクステンション層、ソース・ドレイン領域等の形成を行うことにより、NMOS及びPMOSを完成させる。   Next, as shown in FIG. 8E, gate patterning is performed in each of the NMOS region and the PMOS region using a photolithography technique and an etching technique. Thereafter, although not shown in the figure, NMOS and PMOS are completed by forming sidewall spacers, extension layers, source / drain regions, and the like.

以上に説明した本実施形態の方法によると、NMOSのゲート絶縁膜として、第1のHfO2 膜202、Al含有層203、La含有層204及び第2のHfO2 膜205を順次形成する。このため、ゲート絶縁膜中におけるLa分布及びAl分布を適切に制御することにより、従来の半導体装置と比較して、EOTの増大を抑制しつつ実効仕事関数の制御を容易に行うことができる。具体的には、NMOS領域においてLa含有層204を上下からHfO2 膜202及び205によって挟みこむため、ランタン(La)原子がHfO2 膜202及び205中を拡散しやすくなるので、実効仕事関数の制御が容易になる。また、第2のHfO2 膜205がAl含有層203及びLa含有層204の上側に形成されているため、第2のHfO2 膜205中の酸素原子がゲート絶縁膜中を基板方向に透過することを防止できるので、ゲート絶縁膜/基板界面に例えばSiO2 膜のような低誘電率層が形成される事態を回避でき、それにより、EOTの増大を抑制することができる。さらに、La含有層204の下側にAl含有層203を形成しているため、原子半径の大きいLa原子の半導体基板側への拡散を、原子半径の小さいアルミニウム(Al)原子によって抑制することができるため、チャネル移動度の劣化を抑制することができる。従って、NMOSの高機能化及び低消費電力化を実現することができる。 According to the method of the present embodiment described above, the first HfO 2 film 202, the Al-containing layer 203, the La-containing layer 204, and the second HfO 2 film 205 are sequentially formed as the NMOS gate insulating film. For this reason, by appropriately controlling the La distribution and the Al distribution in the gate insulating film, it is possible to easily control the effective work function while suppressing an increase in EOT as compared with the conventional semiconductor device. Specifically, since the La-containing layer 204 is sandwiched between the HfO 2 films 202 and 205 from above and below in the NMOS region, lanthanum (La) atoms are easily diffused in the HfO 2 films 202 and 205, so that the effective work function of Control becomes easy. Further, since the second HfO 2 film 205 is formed above the Al-containing layer 203 and the La-containing layer 204, oxygen atoms in the second HfO 2 film 205 are transmitted through the gate insulating film in the substrate direction. Since this can be prevented, a situation in which a low dielectric constant layer such as a SiO 2 film is formed at the gate insulating film / substrate interface can be avoided, thereby suppressing an increase in EOT. Furthermore, since the Al-containing layer 203 is formed below the La-containing layer 204, the diffusion of La atoms having a large atomic radius to the semiconductor substrate side can be suppressed by aluminum (Al) atoms having a small atomic radius. Therefore, deterioration of channel mobility can be suppressed. Therefore, higher functionality and lower power consumption of the NMOS can be realized.

尚、NMOS領域においては、Al含有層203及びLa含有層204にそれぞれ含まれるAl原子及びLa原子は、前述の工程中又はその後の工程中の熱処理により、第1のHfO2 膜202及び第2のHfO2 膜205中に拡散する結果、デバイスの最終構造においてはゲート絶縁膜(正確には界面層201を除くHigh−k膜部分)中にAl含有層203及びLa含有層204は残らない。すなわち、NMOSのゲート絶縁膜として、膜中にアルミニウム及びランタンの分布(濃度プロファイル)を持つハフニウム含有High−k膜が形成される。 In the NMOS region, Al atoms and La atoms contained in the Al-containing layer 203 and the La-containing layer 204 are converted into the first HfO 2 film 202 and the second film by heat treatment during the above-described process or subsequent processes, respectively. As a result of diffusion into the HfO 2 film 205, the Al-containing layer 203 and the La-containing layer 204 do not remain in the gate insulating film (exactly, the High-k film portion excluding the interface layer 201) in the final structure of the device. That is, a hafnium-containing high-k film having an aluminum and lanthanum distribution (concentration profile) is formed as an NMOS gate insulating film.

また、本実施形態の方法によると、PMOSのゲート絶縁膜中におけるAl分布を適切に制御することにより、従来の半導体装置と比較して、EOTの増大を抑制しつつ実効仕事関数の制御を容易に行うことができる。具体的には、PMOS領域においてAl含有層203を上下からHfO2 膜202及び205によって挟みこむため、アルミニウム(Al)原子がHfO2 膜202及び205中を拡散しやすくなるので、実効仕事関数の制御が容易になる。また、第2のHfO2 膜205がAl含有層203の上側に形成されているため、第2のHfO2 膜205中の酸素原子がゲート絶縁膜中を基板方向に透過することを防止できるので、ゲート絶縁膜/基板界面に例えばSiO2 膜のような低誘電率層が形成される事態を回避でき、それにより、EOTの増大を抑制することができる。従って、PMOSの高機能化及び低消費電力化を実現することができる。 In addition, according to the method of this embodiment, by appropriately controlling the Al distribution in the PMOS gate insulating film, it is easy to control the effective work function while suppressing an increase in EOT as compared with the conventional semiconductor device. Can be done. Specifically, since the Al-containing layer 203 is sandwiched between the HfO 2 films 202 and 205 from the upper and lower sides in the PMOS region, aluminum (Al) atoms are easily diffused in the HfO 2 films 202 and 205. Control becomes easy. In addition, since the second HfO 2 film 205 is formed on the upper side of the Al-containing layer 203, oxygen atoms in the second HfO 2 film 205 can be prevented from passing through the gate insulating film in the substrate direction. A situation where a low dielectric constant layer such as a SiO 2 film is formed at the gate insulating film / substrate interface can be avoided, thereby suppressing an increase in EOT. Therefore, higher functionality and lower power consumption of the PMOS can be realized.

尚、PMOS領域においては、Al含有層203に含まれるAl原子は、前述の工程中又はその後の工程中の熱処理により、第1のHfO2 膜202及び第2のHfO2 膜205中に拡散する結果、デバイスの最終構造においてはゲート絶縁膜(正確には界面層201を除くHigh−k膜部分)中にAl含有層203は残らない。すなわち、PMOSのゲート絶縁膜として、膜中にアルミニウムの分布(濃度プロファイル)を持つハフニウム含有High−k膜が形成される。 In the PMOS region, Al atoms contained in the Al-containing layer 203 are diffused into the first HfO 2 film 202 and the second HfO 2 film 205 by heat treatment during the above-described process or subsequent processes. As a result, in the final structure of the device, the Al-containing layer 203 does not remain in the gate insulating film (more precisely, the High-k film portion excluding the interface layer 201). That is, a hafnium-containing high-k film having an aluminum distribution (concentration profile) is formed as a PMOS gate insulating film.

また、本実施形態の方法において、第1のHfO2 膜202及び第2のHfO2 膜205に代えて、他の高誘電率絶縁膜を形成してもよい。 In the method of this embodiment, another high dielectric constant insulating film may be formed instead of the first HfO 2 film 202 and the second HfO 2 film 205.

また、本実施形態の方法において、La含有層204に代えて、マグネシウム含有層を形成してもよい。   In the method of this embodiment, a magnesium-containing layer may be formed instead of the La-containing layer 204.

また、本実施形態の方法において、Al含有層203に代えて、イットリウム含有層を形成してもよい。   In the method of this embodiment, an yttrium-containing layer may be formed instead of the Al-containing layer 203.

(第1の実施形態の変形例)
以下、本発明の第1の実施形態の変形例に係る半導体装置及びその製造方法について、図面を参照しながら説明する。
(Modification of the first embodiment)
Hereinafter, a semiconductor device and a manufacturing method thereof according to a modification of the first embodiment of the present invention will be described with reference to the drawings.

図9(a)〜(f)及び図10(a)〜(e)は、本発明の第1の実施形態の変形例に係る半導体装置、具体的には、NMOSとPMOSとを有するCMOSを備えた半導体装置の製造方法の各工程を示す断面図である。尚、図9(a)〜(f)及び図10(a)〜(e)において、図7(a)〜(f)及び図8(a)〜(e)に示す第1の実施形態と同じ構成要素には同じ符号を付すことにより、重複する説明を省略する。   FIGS. 9A to 9F and FIGS. 10A to 10E show a semiconductor device according to a modification of the first embodiment of the present invention, specifically, a CMOS having an NMOS and a PMOS. It is sectional drawing which shows each process of the manufacturing method of the provided semiconductor device. 9 (a) to 9 (f) and FIGS. 10 (a) to (e), the first embodiment shown in FIGS. 7 (a) to (f) and FIGS. The same components are denoted by the same reference numerals, and redundant description is omitted.

本変形例が第1の実施形態と異なっている点は次の通りである。すなわち、第1の実施形態では、図7(c)に示す工程でAl含有層203を形成した後、図7(d)に示す工程でハードマスク膜301を形成し、その後、図7(f)に示す工程でLa含有層204を形成した後、図8(c)に示す工程で第2のHfO2 膜205を形成した。それに対して、本変形例においては、Al含有層203の形成に続いて第2のHfO2 膜205を形成し、その後、ハードマスク膜301を形成した後、La含有層204を形成する。 The difference between this modification and the first embodiment is as follows. That is, in the first embodiment, after forming the Al-containing layer 203 in the step shown in FIG. 7C, the hard mask film 301 is formed in the step shown in FIG. After forming the La-containing layer 204 in the step shown in FIG. 8C, the second HfO 2 film 205 was formed in the step shown in FIG. On the other hand, in this modification, the second HfO 2 film 205 is formed following the formation of the Al-containing layer 203, and then the hard mask film 301 is formed, and then the La-containing layer 204 is formed.

具体的には、本変形例においては、まず、第1の実施形態の図7(a)に示す工程と同様に、図9(a)に示すように、半導体基板101上に素子分離層104を形成し、NMOS領域の半導体基板101に形成した活性領域(p型ウェル領域103)と、PMOS領域の半導体基板101に形成した活性領域(n型ウェル領域102)とを区画した後、NMOS領域及びPMOS領域を含む半導体基板101上に界面層201を形成する。   Specifically, in the present modification, first, as in the step shown in FIG. 7A of the first embodiment, the element isolation layer 104 is formed on the semiconductor substrate 101 as shown in FIG. After dividing the active region (p-type well region 103) formed in the semiconductor substrate 101 in the NMOS region and the active region (n-type well region 102) formed in the semiconductor substrate 101 in the PMOS region, the NMOS region An interface layer 201 is formed on the semiconductor substrate 101 including the PMOS region.

次に、第1の実施形態の図7(b)に示す工程と同様に、図9(b)に示すように、界面層201上に第1のHfO2 膜202を形成する。 Next, similarly to the process shown in FIG. 7B of the first embodiment, a first HfO 2 film 202 is formed on the interface layer 201 as shown in FIG. 9B.

次に、第1の実施形態の図7(c)に示す工程と同様に、図9(c)に示すように、第1のHfO2 膜202上にAl含有層203を形成する。 Next, as shown in FIG. 9C, an Al-containing layer 203 is formed on the first HfO 2 film 202 as in the step shown in FIG. 7C of the first embodiment.

次に、図9(d)に示すように、Al含有層203上に第2のHfO2 膜205を形成する。 Next, as shown in FIG. 9D, a second HfO 2 film 205 is formed on the Al-containing layer 203.

次に、図9(e)に示すように、第2のHfO2 膜205上にハードマスク膜301を形成した後、図9(f)に示すように、NMOS領域のハードマスク膜301を選択的に除去する。 Next, after forming a hard mask film 301 on the second HfO 2 film 205 as shown in FIG. 9E, the hard mask film 301 in the NMOS region is selected as shown in FIG. 9F. To remove.

次に、図10(a)に示すように、NMOS領域の第2のHfO2 膜205の全面及びPMOS領域のハードマスク膜301の全面を覆うように、La含有層204を形成する。ここで、La含有層204の形成後に、例えば700℃〜1000℃程度の熱処理を行うことによって、La含有層204中のLa原子及びAl含有層203中のAl原子をNMOS領域の第1のHfO2 膜202及び第2のHfO2 膜205中に拡散させると共にAl含有層203中のAl原子をPMOS領域の第1のHfO2 膜202及び第2のHfO2 膜205中に拡散させてもよい。 Next, as shown in FIG. 10A, a La-containing layer 204 is formed so as to cover the entire surface of the second HfO 2 film 205 in the NMOS region and the entire surface of the hard mask film 301 in the PMOS region. Here, after the formation of the La-containing layer 204, for example, by performing a heat treatment at about 700 ° C. to 1000 ° C., the La atoms in the La-containing layer 204 and the Al atoms in the Al-containing layer 203 are changed to the first HfO in the NMOS region. it may be diffused into the first HfO 2 film 202 and the second HfO 2 film 205 in the PMOS region of the Al atoms in the Al-containing layer 203 causes diffuse into 2 film 202 and the second HfO 2 film 205 .

次に、図10(b)に示すように、例えばHClを主成分とする薬液を用いたウェットエッチングにより、PMOS領域のハードマスク膜301上の余剰なLa含有層204を除去する。   Next, as shown in FIG. 10B, the excessive La-containing layer 204 on the hard mask film 301 in the PMOS region is removed by wet etching using, for example, a chemical solution mainly composed of HCl.

次に、図10(c)に示すように、例えばH2 2 を主成分とする薬液を用いたウェットエッチングにより、PMOS領域のハードマスク膜301を除去する。 Next, as shown in FIG. 10C, the hard mask film 301 in the PMOS region is removed, for example, by wet etching using a chemical solution containing H 2 O 2 as a main component.

次に、図10(d)に示すように、第2のHfO2 膜205上にメタル電極膜302を形成する。その後、図示は省略しているが、メタル電極膜302上に、不純物を含むポリシリコン電極膜を形成してもよい。 Next, as shown in FIG. 10D, a metal electrode film 302 is formed on the second HfO 2 film 205. Thereafter, although not shown, a polysilicon electrode film containing impurities may be formed on the metal electrode film 302.

次に、図10(e)に示すように、フォトリソグラフィー技術及びエッチング技術を用いて、NMOS領域及びPMOS領域のそれぞれにおいてゲートパターニングを行う。その後、図示は省略しているが、サイドウォールスペーサ、エクステンション層、ソース・ドレイン領域等の形成を行うことにより、NMOS及びPMOSを完成させる。   Next, as shown in FIG. 10E, gate patterning is performed in each of the NMOS region and the PMOS region using a photolithography technique and an etching technique. Thereafter, although not shown in the figure, NMOS and PMOS are completed by forming sidewall spacers, extension layers, source / drain regions, and the like.

以上に説明した本変形例の方法によると、第1の実施形態と同様の効果を得ることができる。   According to the method of this modification described above, the same effect as that of the first embodiment can be obtained.

本発明に係る半導体装置及びその製造方法は、半導体集積回路を用いる種々の電子機器に好ましく用いられる。   The semiconductor device and the manufacturing method thereof according to the present invention are preferably used for various electronic devices using a semiconductor integrated circuit.

101 半導体基板
102 n型ウェル領域
103 p型ウェル領域
104 素子分離層
105 PMOS
106 NMOS
107 p型ソース・ドレイン領域
108 p型エクステンション層
109 第2のゲート絶縁膜
110 第2のメタル電極膜
111 第2のポリシリコン電極膜
112 第2のサイドウォールスペーサ
113 n型ソース・ドレイン領域
114 n型エクステンション層
115 第1のゲート絶縁膜
116 第1のメタル電極膜
117 第1のポリシリコン電極膜
118 第1のサイドウォールスペーサ
201 界面層
202 第1のHfO2
203 Al含有層
204 La含有層
205 第2のHfO2
301 ハードマスク膜
302 メタル電極膜
101 Semiconductor substrate 102 n-type well region 103 p-type well region 104 element isolation layer 105 PMOS
106 NMOS
107 p-type source / drain region 108 p-type extension layer 109 second gate insulating film 110 second metal electrode film 111 second polysilicon electrode film 112 second sidewall spacer 113 n-type source / drain region 114 n Type extension layer 115 first gate insulating film 116 first metal electrode film 117 first polysilicon electrode film 118 first sidewall spacer 201 interface layer 202 first HfO 2 film 203 Al-containing layer 204 La-containing layer 205 Second HfO 2 film 301 Hard mask film 302 Metal electrode film

Claims (18)

半導体基板上に第1のゲート絶縁膜を介して形成された第1のゲート電極を有するnチャネルMISトランジスタを備え、
前記第1のゲート絶縁膜は、ランタン及びアルミニウムを含む第1の高誘電率絶縁膜を有することを特徴とする半導体装置。
An n-channel MIS transistor having a first gate electrode formed on a semiconductor substrate via a first gate insulating film;
The semiconductor device according to claim 1, wherein the first gate insulating film includes a first high dielectric constant insulating film containing lanthanum and aluminum.
請求項1に記載の半導体装置において、
前記第1の高誘電率絶縁膜におけるランタン濃度のピーク位置は、前記第1の高誘電率絶縁膜におけるアルミニウム濃度のピーク位置よりも前記第1のゲート電極に近いことを特徴とする半導体装置。
The semiconductor device according to claim 1,
The semiconductor device characterized in that the peak position of the lanthanum concentration in the first high dielectric constant insulating film is closer to the first gate electrode than the peak position of the aluminum concentration in the first high dielectric constant insulating film.
請求項1又は2に記載の半導体装置において、
前記第1のゲート絶縁膜は、前記第1の高誘電率絶縁膜と前記半導体基板との間に形成された界面層を有することを特徴とする半導体装置。
The semiconductor device according to claim 1 or 2,
The semiconductor device according to claim 1, wherein the first gate insulating film has an interface layer formed between the first high dielectric constant insulating film and the semiconductor substrate.
請求項1〜3のいずれか1項に記載の半導体装置において、
前記第1の高誘電率絶縁膜は、ハフニウム及びジルコニウムの少なくとも一方を含むことを特徴とする半導体装置。
The semiconductor device according to any one of claims 1 to 3,
The semiconductor device, wherein the first high dielectric constant insulating film contains at least one of hafnium and zirconium.
請求項1〜4のいずれか1項に記載の半導体装置において、
前記第1の高誘電率絶縁膜は、ランタンに代えてマグネシウムを含むことを特徴とする半導体装置。
The semiconductor device according to any one of claims 1 to 4,
The first high dielectric constant insulating film includes magnesium instead of lanthanum.
請求項1〜5のいずれか1項に記載の半導体装置において、
前記第1の高誘電率絶縁膜は、アルミニウムに代えてイットリウムを含むことを特徴とする半導体装置。
The semiconductor device according to any one of claims 1 to 5,
The semiconductor device according to claim 1, wherein the first high dielectric constant insulating film contains yttrium instead of aluminum.
請求項1〜6のいずれか1項に記載の半導体装置において、
前記第1のゲート電極は、前記第1の高誘電率絶縁膜と接し且つ窒化チタン又は窒化タンタルからなる層を有することを特徴とする半導体装置。
The semiconductor device according to any one of claims 1 to 6,
The semiconductor device, wherein the first gate electrode has a layer made of titanium nitride or tantalum nitride in contact with the first high dielectric constant insulating film.
請求項1〜7のいずれか1項に記載の半導体装置において、
前記半導体基板における少なくとも前記第1のゲート絶縁膜と接する部分はシリコン、ゲルマニウム又はシリコンゲルマニウムからなることを特徴とする半導体装置。
In the semiconductor device according to claim 1,
2. A semiconductor device according to claim 1, wherein at least a portion of the semiconductor substrate in contact with the first gate insulating film is made of silicon, germanium, or silicon germanium.
請求項1〜8のいずれか1項に記載の半導体装置において、
前記半導体基板上に第2のゲート絶縁膜を介して形成された第2のゲート電極を有するpチャネルMISトランジスタをさらに備え、
前記第2のゲート絶縁膜は、アルミニウムを含む第2の高誘電率絶縁膜を有することを特徴とする半導体装置。
The semiconductor device according to any one of claims 1 to 8,
A p-channel MIS transistor having a second gate electrode formed on the semiconductor substrate via a second gate insulating film;
The semiconductor device, wherein the second gate insulating film has a second high dielectric constant insulating film containing aluminum.
請求項9に記載の半導体装置において、
前記第1のゲート絶縁膜の比誘電率は前記第2のゲート絶縁膜の比誘電率よりも大きいことを特徴とする半導体装置。
The semiconductor device according to claim 9.
The semiconductor device according to claim 1, wherein a relative dielectric constant of the first gate insulating film is larger than a relative dielectric constant of the second gate insulating film.
半導体基板におけるnチャネルMISトランジスタ形成領域の上に第1のゲート絶縁膜を形成する工程(a)と、
前記第1のゲート絶縁膜の上に第1のゲート電極を形成する工程(b)とを備え、
前記工程(a)は、前記第1のゲート絶縁膜として、第1の高誘電率絶縁層、アルミニウム含有層、ランタン含有層及び第2の高誘電率絶縁層を順次形成する工程を含むことを特徴とする半導体装置の製造方法。
A step (a) of forming a first gate insulating film on an n-channel MIS transistor formation region in a semiconductor substrate;
And (b) forming a first gate electrode on the first gate insulating film,
The step (a) includes a step of sequentially forming a first high dielectric constant insulating layer, an aluminum containing layer, a lanthanum containing layer, and a second high dielectric constant insulating layer as the first gate insulating film. A method of manufacturing a semiconductor device.
請求項11に記載の半導体装置において、
前記工程(a)は、前記半導体基板におけるpチャネルMISトランジスタ形成領域の上に第2のゲート絶縁膜を形成する工程を含み、
前記工程(b)は、前記第2のゲート絶縁膜の上に第2のゲート電極を形成する工程を含み、
前記工程(a)は、前記第2のゲート絶縁膜として、前記第1の高誘電率絶縁層、前記アルミニウム含有層及び前記第2の高誘電率絶縁層を順次形成する工程を含むことを特徴とする半導体装置の製造方法。
The semiconductor device according to claim 11,
The step (a) includes a step of forming a second gate insulating film on the p-channel MIS transistor formation region in the semiconductor substrate,
The step (b) includes a step of forming a second gate electrode on the second gate insulating film,
The step (a) includes a step of sequentially forming the first high dielectric constant insulating layer, the aluminum-containing layer, and the second high dielectric constant insulating layer as the second gate insulating film. A method for manufacturing a semiconductor device.
請求項11又は12に記載の半導体装置において、
前記工程(a)は、前記第1の高誘電率絶縁層を形成する前に、前記半導体基板上に界面層を形成する工程を含むことを特徴とする半導体装置の製造方法。
The semiconductor device according to claim 11 or 12,
The method (a) includes a step of forming an interface layer on the semiconductor substrate before forming the first high dielectric constant insulating layer.
請求項11〜13のいずれか1項に記載の半導体装置において、
前記工程(a)は、前記ランタン含有層を形成した後に、600℃以上で且つ700℃以下の温度で熱処理を行う工程を含むことを特徴とする半導体装置の製造方法。
The semiconductor device according to any one of claims 11 to 13,
The step (a) includes a step of performing a heat treatment at a temperature of 600 ° C. or higher and 700 ° C. or lower after forming the lanthanum-containing layer.
請求項11〜13のいずれか1項に記載の半導体装置において、
前記工程(a)において、前記第2の高誘電率絶縁層を前記ランタン含有層よりも先に形成することを特徴とする半導体装置の製造方法。
The semiconductor device according to any one of claims 11 to 13,
In the step (a), the second high dielectric constant insulating layer is formed before the lanthanum-containing layer.
請求項15に記載の半導体装置において、
前記工程(a)は、前記ランタン含有層を形成した後に、700℃以上で且つ1000℃以下の温度で熱処理を行う工程を含むことを特徴とする半導体装置の製造方法。
The semiconductor device according to claim 15,
The step (a) includes a step of performing a heat treatment at a temperature of 700 ° C. or higher and 1000 ° C. or lower after forming the lanthanum-containing layer.
請求項11〜16のいずれか1項に記載の半導体装置の製造方法において、
前記第1の高誘電率絶縁層及び前記第2の高誘電率絶縁層は、ハフニウムを含む第1のガスと酸素を含む第1の酸化剤とを用いて形成されることを特徴とする半導体装置の製造方法。
In the manufacturing method of the semiconductor device of any one of Claims 11-16,
The first high dielectric constant insulating layer and the second high dielectric constant insulating layer are formed using a first gas containing hafnium and a first oxidizing agent containing oxygen. Device manufacturing method.
請求項17に記載の半導体装置の製造方法において、
前記第1のガスは、TDMAHf(テトラジメチルアミノハフニウム)、HfCl4 (四塩化ハフニウム)、TEMAHf(テトラキスエチルメチルアミノハフニウム)及びHf(MMP)4 (テトラキス(1−メトキシ−2−メチル−2−プロポキシ)ハフニウム)の中から選ばれる少なくとも1種類の物質を含み、
前記第1の酸化剤は、H2 O、O2 及びO3 の中から選ばれる少なくとも1種類の物質を含むことを特徴とする半導体装置の製造方法。
In the manufacturing method of the semiconductor device according to claim 17,
It said first gas, TDMAHf (tetradimethylaminotitanium hafnium), HfCl 4 (hafnium tetrachloride), TEMAHf (tetrakis ethylmethylamino hafnium) and Hf (MMP) 4 (tetrakis (l-methoxy-2-methyl-2- Including at least one substance selected from propoxy) hafnium),
The method of manufacturing a semiconductor device, wherein the first oxidizing agent includes at least one substance selected from H 2 O, O 2, and O 3 .
JP2009204490A 2009-09-04 2009-09-04 Semiconductor device and method of manufacturing the same Pending JP2011054872A (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2009204490A JP2011054872A (en) 2009-09-04 2009-09-04 Semiconductor device and method of manufacturing the same
PCT/JP2010/002640 WO2011027487A1 (en) 2009-09-04 2010-04-12 Semiconductor device and method for manufacturing same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2009204490A JP2011054872A (en) 2009-09-04 2009-09-04 Semiconductor device and method of manufacturing the same

Publications (1)

Publication Number Publication Date
JP2011054872A true JP2011054872A (en) 2011-03-17

Family

ID=43649047

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009204490A Pending JP2011054872A (en) 2009-09-04 2009-09-04 Semiconductor device and method of manufacturing the same

Country Status (2)

Country Link
JP (1) JP2011054872A (en)
WO (1) WO2011027487A1 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102013204614A1 (en) * 2013-03-15 2014-09-18 Globalfoundries Inc. A method of forming a gate electrode of a semiconductor device, gate electrode structure for a semiconductor device, and corresponding semiconductor device structure
US9306026B2 (en) 2012-08-24 2016-04-05 Japan Science And Technology Agency Semiconductor structure having aluminum oxynitride film on germanium layer and method of fabricating the same
US11296078B2 (en) 2018-11-02 2022-04-05 Samsung Electronics Co., Ltd. Semiconductor device

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10804367B2 (en) * 2017-09-29 2020-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Gate stacks for stack-fin channel I/O devices and nanowire channel core devices

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6541280B2 (en) * 2001-03-20 2003-04-01 Motorola, Inc. High K dielectric film
JP5178152B2 (en) * 2007-11-05 2013-04-10 株式会社東芝 Complementary semiconductor device and manufacturing method thereof

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9306026B2 (en) 2012-08-24 2016-04-05 Japan Science And Technology Agency Semiconductor structure having aluminum oxynitride film on germanium layer and method of fabricating the same
DE102013204614A1 (en) * 2013-03-15 2014-09-18 Globalfoundries Inc. A method of forming a gate electrode of a semiconductor device, gate electrode structure for a semiconductor device, and corresponding semiconductor device structure
DE102013204614B4 (en) * 2013-03-15 2016-03-24 Globalfoundries Inc. A method of forming a gate electrode of a semiconductor device
US11296078B2 (en) 2018-11-02 2022-04-05 Samsung Electronics Co., Ltd. Semiconductor device
US12080712B2 (en) 2018-11-02 2024-09-03 Samsung Electronics Co., Ltd. Semiconductor device

Also Published As

Publication number Publication date
WO2011027487A1 (en) 2011-03-10

Similar Documents

Publication Publication Date Title
US8143676B2 (en) Semiconductor device having a high-dielectric-constant gate insulating film
US7462538B2 (en) Methods of manufacturing multiple gate CMOS transistors having different gate dielectric materials
JP5442332B2 (en) Semiconductor device and manufacturing method thereof
JP5235784B2 (en) Semiconductor device
TWI553906B (en) Hybrid gate last integration scheme for multi-layer high-k gate stacks
US20060081939A1 (en) Semiconductor device having misfet using high dielectric constant gate insulation film and method for fabricating the same
US8183641B2 (en) Semiconductor device and method for manufacturing same
US9059315B2 (en) Concurrently forming nFET and pFET gate dielectric layers
KR20080108027A (en) Semiconductor device and method for manufacturing the same
JP2012124215A (en) Semiconductor device and method of manufacturing the same
US20100059801A1 (en) Semiconductor device and method for fabricating the same
WO2011036841A1 (en) Semiconductor device and method for manufacturing same
JP2009194068A (en) Semiconductor device
US20090294877A1 (en) Semiconductor device and manufacturing method thereof
US20100252888A1 (en) Semiconductor device
JP5275056B2 (en) Semiconductor device manufacturing method and semiconductor device
WO2010146641A1 (en) Semiconductor device and process for manufacture thereof
US9142414B2 (en) CMOS devices with metal gates and methods for forming the same
JP2009267180A (en) Semiconductor device
JP2006344713A (en) Semiconductor apparatus and its manufacturing method
US9029225B2 (en) Method for manufacturing N-type MOSFET
WO2011027487A1 (en) Semiconductor device and method for manufacturing same
US20090057786A1 (en) Semiconductor device and method of manufacturing semiconductor device
JP2012054531A (en) Semiconductor device and manufacturing method of the same
JP2011035158A (en) Method for manufacturing semiconductor device