JP2012124215A - Semiconductor device and method of manufacturing the same - Google Patents

Semiconductor device and method of manufacturing the same Download PDF

Info

Publication number
JP2012124215A
JP2012124215A JP2010271513A JP2010271513A JP2012124215A JP 2012124215 A JP2012124215 A JP 2012124215A JP 2010271513 A JP2010271513 A JP 2010271513A JP 2010271513 A JP2010271513 A JP 2010271513A JP 2012124215 A JP2012124215 A JP 2012124215A
Authority
JP
Japan
Prior art keywords
metal nitride
nitride film
film
layer
work function
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2010271513A
Other languages
Japanese (ja)
Inventor
Hiroshi Nakagawa
博 中川
Kenichi Endo
健一 遠藤
Kenji Yoneda
健司 米田
Jun Suzuki
純 鈴木
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Corp
Original Assignee
Panasonic Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Panasonic Corp filed Critical Panasonic Corp
Priority to JP2010271513A priority Critical patent/JP2012124215A/en
Publication of JP2012124215A publication Critical patent/JP2012124215A/en
Withdrawn legal-status Critical Current

Links

Images

Abstract

PROBLEM TO BE SOLVED: To enable adjusting a work function by a low-resistance gate metal electrode in a semiconductor device using a gate metal electrode and a high-k film.SOLUTION: A semiconductor device comprises a first gate insulating film 109 formed on an N well 102, and a first gate electrode formed on the first gate insulating film 109. The first gate insulating film 109 includes a first high dielectric film 109b. The first gate electrode is formed on the first high dielectric film 109b and includes a first effective work-function adjustment layer 110 in which TiN layers 110a and AlN layers 110b are alternately stacked. The TiN layers 110a have a lower resistance than the AlN layers 110b, and the AlN layers 110b have a larger adjustment amount of an effective work function than the TiN layers 110a.

Description

本発明は、半導体装置及びその製造方法に関し、特に、ゲートメタル電極及び高誘電体ゲート絶縁膜を有する半導体装置及びその製造方法に関する。   The present invention relates to a semiconductor device and a manufacturing method thereof, and more particularly to a semiconductor device having a gate metal electrode and a high dielectric gate insulating film and a manufacturing method thereof.

金属−酸化物−半導体電界効果トランジスタ(MOSFET:Metal Oxide Semiconductor Field Effect Transistor)の高速化に伴い、電界一定のスケーリングのため、トランジスタの微細化が進行している。MOSFETには、電子の移動によって電流のオン及びオフを制御するNチャネル型MOSFET(以下、NMOSと略記する。)と、正孔の移動によって電流のオン及びオフを制御するPチャネル型MOSFET(以下、PMOSと略記する。)の2つのトランジスタがある。MOSFETの性能は、電流駆動能力Gで表すことができ、該電流駆動能力Gは、キャリアの移動度(μ)と、ゲート幅(W)と、ゲート電極、ゲート絶縁膜及びシリコン基板により生じるキャパシタの静電容量(ゲート容量)(Cox)とに比例し、また、ゲート長(L)に反比例する。そこで、MOSFETの高速化は、酸化シリコン(SiO)又は酸窒化シリコン(SiON)等からなるゲート絶縁膜の薄膜化と、ポリシリコン等からなるゲート電極のゲート長の縮小とによって実現されている。 As the speed of metal-oxide-semiconductor field effect transistors (MOSFETs) increases, miniaturization of the transistors is progressing for scaling of the electric field. There are two types of MOSFETs: an N-channel MOSFET (hereinafter abbreviated as NMOS) that controls current on and off by electron movement, and a P-channel MOSFET (hereinafter referred to as NMOS) that controls current on and off by hole movement. , Abbreviated as PMOS). Performance of the MOSFET, can be represented by the current driving capability G m, the said current driving capability G m, the carrier mobility and (mu), a gate width (W), a gate electrode, a gate insulating film and the silicon substrate It is proportional to the capacitance (gate capacitance) (C ox ) of the resulting capacitor and inversely proportional to the gate length (L). Therefore, the speeding up of the MOSFET is realized by thinning the gate insulating film made of silicon oxide (SiO 2 ) or silicon oxynitride (SiON) and reducing the gate length of the gate electrode made of polysilicon or the like. .

しかしながら、MOSFETの高性能化を実現するには、以下のような課題がある。   However, there are the following problems in realizing high performance of the MOSFET.

ゲート絶縁膜の膜厚が2nm以下にまで薄膜化した場合は、直接トンネルリーク電流が増加し、ゲート電圧を印加した際の絶縁耐性が著しく劣化する。このため、MOSFETの消費電力が増大して、該MOSFETの高性能化及び低消費電力化の妨げとなる。ゲート容量Coxは、比誘電率(ε)に比例し且つゲート絶縁膜の膜厚(d)に反比例し、すなわち、Cox=ε0・ε(S/d)(ε0:真空の比誘電率、S:ゲート面積)の式で表せる。従って、従来の酸化シリコン(ε:3.9)又は酸窒化シリコンからなるゲート絶縁膜(ε:3.9〜7)よりも比誘電率が大きい高誘電率ゲート絶縁膜(High−k膜)を用いることにより、実効ゲート容量を維持しつつ、物理的膜厚を大きくすることができるので、直接トンネルリーク電流を抑制することができる。 When the thickness of the gate insulating film is reduced to 2 nm or less, the tunnel leakage current directly increases, and the insulation resistance when the gate voltage is applied is significantly deteriorated. For this reason, the power consumption of the MOSFET increases, which hinders the high performance and low power consumption of the MOSFET. The gate capacitance C ox is proportional to the relative dielectric constant (ε) and inversely proportional to the film thickness (d) of the gate insulating film, that is, C ox = ε0 · ε (S / d) (ε0: relative dielectric constant of vacuum) , S: gate area). Therefore, a high dielectric constant gate insulating film (High-k film) having a relative dielectric constant larger than that of a conventional gate insulating film (ε: 3.9-7) made of silicon oxide (ε: 3.9) or silicon oxynitride. Since the physical film thickness can be increased while maintaining the effective gate capacitance, the tunnel leakage current can be directly suppressed.

High−k膜に用いる材料として、酸化ハフニウム(HfO)、酸化ジルコニウム(ZrO)、アルミナ(Al)若しくはこれらのシリケートかアルミネート又は希土類酸化物等が注目されている。これらの候補のなかでも、HfO及びHfSiOは、比誘電率が比較的に高く、且つ5eV以上のバンドギャップを持ち、従って、シリコン基板との電子障壁高さが高いことから、次世代の高誘電率ゲート絶縁膜として最も有力である。 As a material used for the High-k film, attention is paid to hafnium oxide (HfO 2 ), zirconium oxide (ZrO 2 ), alumina (Al 2 O 3 ), or a silicate, aluminate, or rare earth oxide thereof. Among these candidates, HfO 2 and HfSiO have a relatively high relative dielectric constant and a band gap of 5 eV or more, and therefore a high electron barrier height with respect to a silicon substrate. Most effective as a dielectric gate insulating film.

次に、ゲート電極として、従来のように、ポリシリコン電極を用いた場合には、空乏層の影響が顕在化するため、ゲート絶縁膜を薄膜化しても、ポリシリコン電極の空乏層容量によって、シリコン酸化膜換算膜厚(EOT:equivalent oxide thickness)の薄膜化を効率的に行うことができない。なお、シリコン酸化膜換算膜厚とは、ゲート絶縁膜の材料がシリコン酸化物であると仮定して、ゲート容量から逆算して得られるゲート絶縁膜の膜厚をいう。さらに、ポリシリコン電極の仕事関数は、ポリシリコンにホウ素又はリン等の不純物をイオン注入し、注入された不純物を熱処理によって活性化することにより、ポリシリコン電極とゲート絶縁膜であるSiO膜との積層構造において、ドープトポリシリコンの仕事関数をノンドープ状態の4.65eVから、例えばホウ素をイオン注入することにより5.15eVにまで向上できる。すなわち、NMOSとPMOSとのしきい値電圧を制御することが可能である。 Next, when a polysilicon electrode is used as a gate electrode as in the past, the influence of the depletion layer becomes obvious, so even if the gate insulating film is thinned, the depletion layer capacitance of the polysilicon electrode It is impossible to efficiently reduce the equivalent oxide thickness (EOT). Note that the equivalent silicon oxide film thickness refers to the thickness of the gate insulating film obtained by reverse calculation from the gate capacitance assuming that the material of the gate insulating film is silicon oxide. Further, the work function of the polysilicon electrode is such that an impurity such as boron or phosphorus is ion-implanted into the polysilicon, and the implanted impurity is activated by heat treatment, so that the polysilicon electrode and the SiO 2 film as a gate insulating film are In the stacked structure, the work function of doped polysilicon can be improved from 4.65 eV in a non-doped state to 5.15 eV by ion implantation of boron, for example. That is, it is possible to control the threshold voltage between NMOS and PMOS.

しかしながら、ポリシリコン電極とHigh−k膜との積層構造においては、フェルミレベルピニングと呼ばれる現象によって、特にPMOSの実効仕事関数(eWF: effective work function)の値が低下することにより、しきい値電圧が上昇して、PMOSの低電圧動作が困難となる。ここで、実効仕事関数(eWF)とは、ゲートメタル電極のシリコン基板側に作用している実効的な仕事関数をいう。   However, in the laminated structure of the polysilicon electrode and the High-k film, the threshold voltage is reduced due to a phenomenon called Fermi level pinning, particularly when the effective work function (eWF) value of the PMOS is lowered. As a result, the low voltage operation of the PMOS becomes difficult. Here, the effective work function (eWF) refers to an effective work function acting on the silicon substrate side of the gate metal electrode.

そこで、ゲート電極材料として、ポリシリコン電極から、空乏層の影響を無視でき、且つフェルミレベルピニングの影響も小さい、ゲートメタル電極への置換が図られている。ゲートメタル電極材料として、チタン若しくはタンタルの窒化物、又はチタン若しくはタンタルの窒化物にアルミニウムを添加した材料が検討されているが、ゲートメタル電極とした場合の仕事関数は、金属固有の仕事関数が支配的となるため、PMOS用のゲートメタル電極としては、実効仕事関数(eWF)がシリコン(Si)の価電子帯準位に近い窒化チタンアルミニウム(TiAlN)膜が最も注目されている。   Therefore, as a gate electrode material, replacement of a polysilicon electrode with a gate metal electrode which can ignore the influence of the depletion layer and has little influence of Fermi level pinning has been attempted. As a gate metal electrode material, titanium or tantalum nitride, or a material in which aluminum is added to titanium or tantalum nitride has been studied, but the work function in the case of a gate metal electrode is a work function inherent to the metal. As a gate metal electrode for PMOS, a titanium aluminum nitride (TiAlN) film whose effective work function (eWF) is close to the valence band level of silicon (Si) has attracted the most attention.

特開2007−184594号公報JP 2007-184594 A

半導体製造プロセスの32nm以細の世代におけるCMOS(Complementary Metal Oxide Semiconductor)構造において、ゲートメタル電極とHigh−k膜との積層構造を用いることが有力となっているなか、低電圧動作を実現するには、PMOS及びNMOSは共に、シリコン(Si)のエネルギーギャップにおけるバンド端に相当する実効仕事関数(eWF)が必要であり、例えばNMOSにはeWF=4.2eV以下を、また、PMOSにはeWF=4.9eV以上を達成することが望ましい。そこで、所望の実効仕事関数を得るために、NMOS用としては、ゲートメタル電極とHigh−k膜との界面にキャップ(Cap)層としてLa膜を挿入したり、High−k膜中にランタン(La)原子を拡散させたりすることにより、実効仕事関数を制御している。また、PMOSには、メタルゲート電極とHigh−k膜との界面にキャップ層としてAl膜を挿入して、実効仕事関数を制御する手法がある。しかし、Al膜はその誘電率が9程度と、High−k膜の15〜25と比べると低いため、Al原子を多く含む厚いAl膜を用いると、High−k膜であるゲート絶縁膜が厚膜化してしまい、高誘電体膜を用いて電気的膜厚を薄膜化した効果が失われてしまう。このため、厚いAl膜をキャップ膜として用いることはできず、その結果、eWF=4.9eV以上を達成することは困難である。 In a CMOS (Complementary Metal Oxide Semiconductor) structure in a semiconductor manufacturing process of 32 nm or smaller, it is effective to use a stacked structure of a gate metal electrode and a high-k film, so that low voltage operation is realized. Both PMOS and NMOS require an effective work function (eWF) corresponding to the band edge in the energy gap of silicon (Si), for example, eWF = 4.2 eV or less for NMOS and eWF for PMOS. It is desirable to achieve 4.9 eV or more. In order to obtain a desired effective work function, therefore, for NMOS, a La 2 O 3 film is inserted as a cap layer at the interface between the gate metal electrode and the High-k film, or in the High-k film. The effective work function is controlled by diffusing lanthanum (La) atoms. In the PMOS, there is a method of controlling the effective work function by inserting an Al 2 O 3 film as a cap layer at the interface between the metal gate electrode and the high-k film. However, the Al 2 O 3 film has a dielectric constant of about 9 and is lower than that of the High-k film 15 to 25. Therefore, when a thick Al 2 O 3 film containing a large amount of Al atoms is used, a High-k film is used. A certain gate insulating film is thickened, and the effect of thinning the electrical film thickness using a high dielectric film is lost. For this reason, a thick Al 2 O 3 film cannot be used as a cap film, and as a result, it is difficult to achieve eWF = 4.9 eV or more.

従って、ゲート電極に用いる金属材料として、実効仕事関数が高い材料を選択することにより、Al膜によって変調される仕事関数の値を小さくすることができる。その結果、膜厚が小さいAl膜によって所望の、高い実効仕事関数を有するゲートメタル電極を実現できる。そこで、上述したように、ゲートメタル電極として、シリコン(Si)の価電子帯準位に近い窒化チタンアルミニウム(TiAlN)膜、又は炭窒化タンタル(TaCN)膜が次世代のゲートメタル電極材料として注目されている。 Therefore, by selecting a material having a high effective work function as the metal material used for the gate electrode, the value of the work function modulated by the Al 2 O 3 film can be reduced. As a result, a desired gate metal electrode having a high effective work function can be realized by the Al 2 O 3 film having a small film thickness. Therefore, as described above, as a gate metal electrode, a titanium aluminum nitride (TiAlN) film or a tantalum carbonitride (TaCN) film close to the valence band level of silicon (Si) attracts attention as a next-generation gate metal electrode material. Has been.

しかしながら、ゲートメタル電極として、TiAlN膜又はTaCN膜を用いた場合は、物理的気相堆積(PVD:Physical Vapor Deposition)法により成膜されたTiAlN膜の比抵抗は、〜2300μΩ・cm程度と高抵抗であるため、トランジスタのスイッチング応答速度が低下してしまい、高速動作を実現できない。また、TaCN膜の場合も、炭素の存在により高抵抗である。このような高抵抗なゲートメタル電極の場合は、たとえ実効仕事関数の値に所望の値を得られたとしても、ゲート電極の抵抗値及びその上層のポリシリコン電極との界面抵抗の上昇により、MOSFETにおける駆動能力の低下が避けられない。   However, when a TiAlN film or a TaCN film is used as the gate metal electrode, the specific resistance of the TiAlN film formed by the physical vapor deposition (PVD) method is as high as about 2300 μΩ · cm. Since it is a resistor, the switching response speed of the transistor decreases, and high-speed operation cannot be realized. The TaCN film also has a high resistance due to the presence of carbon. In the case of such a high-resistance gate metal electrode, even if a desired value is obtained as the effective work function value, due to the increase in the resistance value of the gate electrode and the interface resistance with the polysilicon electrode on the upper layer, A reduction in driving capability of the MOSFET is inevitable.

従って、金属自体が高い実効仕事関数を持ち、且つ、低抵抗を実現可能な材料、組成及び構造を有するゲートメタル電極の実現が望まれる。   Therefore, it is desired to realize a gate metal electrode having a material, composition and structure in which the metal itself has a high effective work function and can realize low resistance.

本発明は、前記の問題を解決し、ゲートメタル電極とHigh−k膜とを用いた半導体装置において、低抵抗なゲートメタル電極により仕事関数を制御(調整)できるようにすることを目的とする。   An object of the present invention is to solve the above-mentioned problems and to control (adjust) the work function with a low-resistance gate metal electrode in a semiconductor device using a gate metal electrode and a High-k film. .


前記の目的を達成するため、本発明は、半導体装置を、第1導電型の半導体領域の上に形成されたゲート絶縁膜と、ゲート絶縁膜の上に形成されたゲート電極とを備え、ゲート絶縁膜は、高誘電体膜を含み、ゲート電極は、高誘電体膜の上に形成され、第1の金属窒化膜と第2の金属窒化膜とが交互に積層された実効仕事関数調整層を含み、第1の金属窒化膜は第2の金属窒化膜よりも抵抗が小さく、且つ、第2の金属窒化膜は第1の金属窒化膜よりも実効仕事関数の調整量が大きい構成とする。

In order to achieve the above object, the present invention provides a semiconductor device comprising a gate insulating film formed on a semiconductor region of a first conductivity type, and a gate electrode formed on the gate insulating film. The insulating film includes a high dielectric film, the gate electrode is formed on the high dielectric film, and an effective work function adjustment layer in which the first metal nitride film and the second metal nitride film are alternately stacked. The first metal nitride film has a smaller resistance than the second metal nitride film, and the second metal nitride film has a larger adjustment amount of the effective work function than the first metal nitride film. .

本発明の半導体装置によると、ゲートメタル電極を構成する実効仕事関数調整層が、第1の金属窒化膜と第2の金属窒化膜とが交互に積層されてなり、第1の金属窒化膜は第2の金属窒化膜よりも抵抗が小さく、且つ、第2の金属窒化膜は第1の金属窒化膜よりも実効仕事関数の調整量が大きいため、ゲートメタル電極の低抵抗化を図りながら、実効仕事関数を調整することができる。その結果、低抵抗且つ低しきい値動作を実現できるので、トランジスタの高速動作が可能となる。   According to the semiconductor device of the present invention, the effective work function adjusting layer constituting the gate metal electrode is formed by alternately laminating the first metal nitride film and the second metal nitride film, and the first metal nitride film is Since the resistance is smaller than that of the second metal nitride film, and the second metal nitride film has a larger effective work function adjustment amount than the first metal nitride film, the resistance of the gate metal electrode is reduced. The effective work function can be adjusted. As a result, low resistance and low threshold operation can be realized, so that the transistor can be operated at high speed.

本発明の半導体装置において、ゲート電極は、実効仕事関数調整層の上に形成され、第2導電型のシリコンからなる上部電極を有していることが好ましい。   In the semiconductor device of the present invention, the gate electrode is preferably formed on the effective work function adjusting layer and has an upper electrode made of second conductivity type silicon.

本発明の半導体装置において、実効仕事関数調整層は、第1の金属窒化膜と第2の金属窒化膜とを交互に積層してなる積層構造の上に形成され、その主成分が第1の金属窒化膜と同一で且つその膜厚が第1の金属窒化膜よりも大きい第3の金属窒化膜を有していてもよい。   In the semiconductor device of the present invention, the effective work function adjustment layer is formed on a stacked structure in which the first metal nitride film and the second metal nitride film are alternately stacked, and the main component thereof is the first. You may have the 3rd metal nitride film which is the same as a metal nitride film, and whose film thickness is larger than a 1st metal nitride film.

本発明の半導体装置において、第1の金属窒化膜の第1層は、ゲート絶縁膜の上に形成され、第2の金属窒化膜の第1層は、第1層の第1の金属窒化膜の上に形成されていてもよい。   In the semiconductor device of the present invention, the first layer of the first metal nitride film is formed on the gate insulating film, and the first layer of the second metal nitride film is the first metal nitride film of the first layer. It may be formed on.

本発明の半導体装置において、第1の金属窒化膜は、その組成にチタンと窒素とを含み、第2の金属窒化膜は、その組成にアルミニウムと窒素とを含んでもよい。   In the semiconductor device of the present invention, the first metal nitride film may contain titanium and nitrogen in its composition, and the second metal nitride film may contain aluminum and nitrogen in its composition.

この場合に、第1の金属窒化膜及び第2の金属窒化膜は、不純物として炭素、塩素、フッ素、酸素及びシリコンの少なくとも1つを含んでもよい。   In this case, the first metal nitride film and the second metal nitride film may contain at least one of carbon, chlorine, fluorine, oxygen, and silicon as an impurity.

また、この場合に、第1の金属窒化膜の膜厚は、1.5nm以上且つ2.5nm以下であり、第2の金属窒化膜の膜厚は、0.5nm以上且つ1.0nm以下であることが好ましい。   In this case, the thickness of the first metal nitride film is 1.5 nm to 2.5 nm, and the thickness of the second metal nitride film is 0.5 nm to 1.0 nm. Preferably there is.

本発明の半導体装置において、第1導電型はp型であり、高誘電体膜はその組成にハフニウム、ランタン及び酸素を含むことが好ましい。   In the semiconductor device of the present invention, it is preferable that the first conductivity type is p-type, and the high dielectric film includes hafnium, lanthanum, and oxygen in its composition.

また、本発明の半導体装置において、第1導電型はn型であり、高誘電体膜はその組成にハフニウム及び酸素を含むことが好ましい。   In the semiconductor device of the present invention, it is preferable that the first conductivity type is n-type, and the high dielectric film includes hafnium and oxygen in its composition.

本発明に係る半導体装置の製造方法は、第1導電型の半導体領域の上に、高誘電体膜を含むゲート絶縁膜を形成する工程と、ゲート絶縁膜の上にゲート電極を形成する工程と備え、ゲート電極を形成する工程は、ゲート絶縁膜の上に、第1の金属窒化膜と第2の金属窒化膜とを交互に積層することにより、実効仕事関数調整層を形成する工程を含み、第1の金属窒化膜は、第2の金属窒化膜よりも抵抗が小さく、第2の金属窒化膜は、第1の金属窒化膜よりも実効仕事関数の調整量が大きい。   A method of manufacturing a semiconductor device according to the present invention includes a step of forming a gate insulating film including a high dielectric film on a first conductivity type semiconductor region, and a step of forming a gate electrode on the gate insulating film. And the step of forming the gate electrode includes the step of forming the effective work function adjusting layer by alternately laminating the first metal nitride film and the second metal nitride film on the gate insulating film. The first metal nitride film has a smaller resistance than the second metal nitride film, and the second metal nitride film has a larger effective work function adjustment amount than the first metal nitride film.

本発明の半導体装置の製造方法によると、ゲートメタル電極を構成する実効仕事関数調整層を、第1の金属窒化膜と第2の金属窒化膜とを交互に積層し、第1の金属窒化膜は第2の金属窒化膜よりも抵抗が小さく、且つ、第2の金属窒化膜は第1の金属窒化膜よりも実効仕事関数の調整量が大きい。このため、ゲートメタル電極の低抵抗化を図りながら、実効仕事関数を調整することができ、低抵抗且つ低しきい値動作を実現できるので、トランジスタの高速動作が可能となる。   According to the method of manufacturing a semiconductor device of the present invention, the first metal nitride film is formed by alternately stacking the first metal nitride film and the second metal nitride film as the effective work function adjusting layer constituting the gate metal electrode. Has a lower resistance than the second metal nitride film, and the second metal nitride film has a larger effective work function adjustment amount than the first metal nitride film. Therefore, the effective work function can be adjusted while reducing the resistance of the gate metal electrode, and the low resistance and low threshold operation can be realized, so that the transistor can be operated at high speed.

本発明の半導体装置の製造方法において、ゲート電極を形成する工程は、実効仕事関数調整層の上に、第2導電型のシリコンからなる上部電極を形成する工程を含むことが好ましい。   In the method for manufacturing a semiconductor device of the present invention, it is preferable that the step of forming the gate electrode includes a step of forming an upper electrode made of silicon of the second conductivity type on the effective work function adjusting layer.

本発明の半導体装置の製造方法において、実効仕事関数調整層を形成する工程は、第1の金属窒化膜及び第2の金属窒化膜の形成に原子層堆積法を用い、第1の金属窒化膜は、チタンを含む第1のガスと窒素原子を含む第1の窒化材とを用いて形成し、第2の金属窒化膜は、アルミニウムを含む第2のガスと窒素原子を含む第2の窒化材とを用いて形成することが好ましい。   In the method of manufacturing a semiconductor device of the present invention, the step of forming the effective work function adjusting layer uses an atomic layer deposition method for forming the first metal nitride film and the second metal nitride film, and the first metal nitride film Is formed using a first gas containing titanium and a first nitride material containing nitrogen atoms, and the second metal nitride film is formed of a second gas containing aluminum and a second nitride containing nitrogen atoms. It is preferable to form using a material.

この場合に、実効仕事関数調整層において、第1の金属窒化膜の膜厚は、1.5nm以上且つ2.5nm以下であり、第2の金属窒化膜の膜厚は、0.5nm以上且つ1.0nm以下であることが好ましい。   In this case, in the effective work function adjustment layer, the thickness of the first metal nitride film is 1.5 nm or more and 2.5 nm or less, and the thickness of the second metal nitride film is 0.5 nm or more and It is preferable that it is 1.0 nm or less.

本発明に係る半導体装置及びその製造方法によると、高誘電体膜の上に形成されたゲートメタル電極を有する半導体装置において、ゲートメタル電極の低抵抗化によるスイッチング応答速度の向上及び高い実効仕事関数値を得られ、低しきい値動作が可能となるため、MOSトランジスタの高機能化及び低消費電力化を実現できる。   According to the semiconductor device and the manufacturing method thereof according to the present invention, in the semiconductor device having the gate metal electrode formed on the high dielectric film, the switching response speed is improved and the effective work function is increased by reducing the resistance of the gate metal electrode. Since a value can be obtained and a low threshold value operation is possible, it is possible to realize high performance and low power consumption of the MOS transistor.

図1(a)は本発明の一実施形態に係る半導体装置を示す模式的な断面図である。図1(b)はPMOSにおけるゲート絶縁膜及びゲート電極の構成を示す部分的な拡大断面図である。FIG. 1A is a schematic cross-sectional view showing a semiconductor device according to an embodiment of the present invention. FIG. 1B is a partial enlarged cross-sectional view showing the configuration of the gate insulating film and the gate electrode in the PMOS. 図2(a)〜図2(e)は本発明の一実施形態に係る半導体装置のゲート絶縁膜及びゲート電極の形成方法を示す工程順の断面図である。2A to 2E are cross-sectional views in order of steps showing a method for forming a gate insulating film and a gate electrode of a semiconductor device according to an embodiment of the present invention. 本発明の一実施形態に係る半導体装置のゲートメタル電極である実効仕事関数調整層の形成方法の原料(ソース)投入タイミングを示すチャート図である。It is a chart which shows the raw material (source) injection | throwing-in timing of the formation method of the effective work function adjustment layer which is a gate metal electrode of the semiconductor device which concerns on one Embodiment of this invention. 図4(a)は本発明の一実施形態に係る半導体装置のゲートメタル電極である実効仕事関数調整層の形成メカニズムを説明する断面図である。図4(b)は比較用であって、単層のTiAlNからなるゲートメタル電極の形成メカニズムを説明する断面図である。FIG. 4A is a cross-sectional view illustrating a mechanism for forming an effective work function adjusting layer that is a gate metal electrode of a semiconductor device according to an embodiment of the present invention. FIG. 4B is a cross-sectional view illustrating a mechanism for forming a gate metal electrode made of a single layer of TiAlN for comparison. 図5は本発明の一実施形態に係る半導体装置のゲートメタル電極である実効仕事関数調整層の各層の厚さとシート抵抗との関係を示すグラフである。FIG. 5 is a graph showing the relationship between the thickness of each effective work function adjusting layer, which is the gate metal electrode of the semiconductor device according to the embodiment of the present invention, and the sheet resistance. 図6(a)は本発明の一実施形態に係る半導体装置のゲートメタル電極である実効仕事関数調整層の各層の厚さとEOTとの関係を、AlN層とTiN層との先付けの順序による影響と共に示すグラフである。図6(b)は本発明の一実施形態に係る半導体装置のゲートメタル電極である実効仕事関数調整層の各層の厚さとeWFとの関係を、AlN層とTiN層との先付けの順序による影響と共に示すグラフである。FIG. 6A shows the relationship between the thickness of each effective work function adjusting layer, which is the gate metal electrode of the semiconductor device according to the embodiment of the present invention, and the EOT, and the influence of the order of the AlN layer and TiN layer. It is a graph shown with. FIG. 6B shows the relationship between the thickness of each effective work function adjusting layer, which is the gate metal electrode of the semiconductor device according to the embodiment of the present invention, and the eWF, depending on the order of the AlN layer and TiN layer. It is a graph shown with. 図7は本発明の一実施形態に係るPMOSにおけるゲート絶縁膜及びゲート電極の構成を示す拡大断面図である。FIG. 7 is an enlarged cross-sectional view showing the configuration of the gate insulating film and the gate electrode in the PMOS according to the embodiment of the present invention. 図8(a)〜図8(d)は本発明の一実施形態に係る半導体装置の製造方法の要部を示す工程順の断面図である。FIG. 8A to FIG. 8D are cross-sectional views in order of steps showing the main part of the method for manufacturing a semiconductor device according to one embodiment of the present invention. 図9(a)〜図9(d)は本発明の一実施形態に係る半導体装置の製造方法の要部を示す工程順の断面図である。FIG. 9A to FIG. 9D are cross-sectional views in order of steps showing the main part of the method for manufacturing a semiconductor device according to one embodiment of the present invention. 図10(a)〜図10(c)は本発明の一実施形態に係る半導体装置の製造方法の要部を示す工程順の断面図である。FIG. 10A to FIG. 10C are cross-sectional views in order of steps showing the main part of the method for manufacturing a semiconductor device according to one embodiment of the present invention.

(一実施形態)
本発明の一実施形態について図1を参照しながら説明する。
(One embodiment)
An embodiment of the present invention will be described with reference to FIG.

図1(a)に示すように、一実施形態に係る半導体装置は、例えばシリコン(Si)からなる半導体基板101の上部に選択的に形成された素子分離(STI:Shallow Trench Isolation)104によって区画されたPMOS領域105及びNMOS領域106を有している。   As shown in FIG. 1A, a semiconductor device according to an embodiment is partitioned by element isolation (STI: Shallow Trench Isolation) 104 selectively formed on an upper part of a semiconductor substrate 101 made of, for example, silicon (Si). The PMOS region 105 and the NMOS region 106 are provided.

半導体基板101における、PMOS領域105の上部には、n型拡散層からなるNウェル102が形成され、NMOS領域106の上部には、p型拡散層からなるPウェル103が形成されている。   In the semiconductor substrate 101, an N well 102 made of an n-type diffusion layer is formed above the PMOS region 105, and a P well 103 made of a p-type diffusion layer is formed above the NMOS region 106.

図1(b)の部分拡大図に示すように、PMOSを構成する第1のゲート絶縁膜109は、例えば、厚さが1.0nmの酸化シリコン(SiO)からなる界面酸化層(IL:interfacial layer)109aと、厚さが1.7nmのハフニウムシリケート(HfSiO)又は酸化ハフニウム(HfO)等からなる第1の高誘電体膜109bとにより構成される。さらに、第1の高誘電体膜109bは、しきい値電圧調整用の不純物としてアルミニウム(Al)原子を含んでいる。 As shown in the partially enlarged view of FIG. 1B, the first gate insulating film 109 constituting the PMOS is, for example, an interface oxide layer (IL: made of silicon oxide (SiO 2 ) having a thickness of 1.0 nm. an interfacial layer) 109a and a first high dielectric film 109b made of hafnium silicate (HfSiO) or hafnium oxide (HfO x ) having a thickness of 1.7 nm. Further, the first high dielectric film 109b contains aluminum (Al) atoms as impurities for adjusting the threshold voltage.

第1のゲート絶縁膜109の上には、本実施形態の特徴である、ゲートメタル電極であり、且つ自身の実効仕事関数をp型のポリシリコンの仕事関数の値に近づくように調整する第1の実効仕事関数調整層110が形成されている。   On the first gate insulating film 109, there is a gate metal electrode, which is a feature of the present embodiment, and its effective work function is adjusted so as to approach the work function value of p-type polysilicon. One effective work function adjustment layer 110 is formed.

第1の仕事関数調整層110は、TiN層110aとAlN層110bとから構成される。TiN層110aは、厚さが1.5nm以上且つ2.5nm以下であり、AlN層110bは、厚さが0.5nm以上且つ1.0nm以下である。本実施形態においては、TiN層110a及びAlN層110bを1サイクルとして、6サイクル分を繰り返して堆積することにより、第1の実効仕事関数調整層110が形成されている。   The first work function adjustment layer 110 includes a TiN layer 110a and an AlN layer 110b. The TiN layer 110a has a thickness of 1.5 nm to 2.5 nm, and the AlN layer 110b has a thickness of 0.5 nm to 1.0 nm. In the present embodiment, the first effective work function adjusting layer 110 is formed by repeatedly depositing the TiN layer 110a and the AlN layer 110b for one cycle for six cycles.

なお、TiN層110aとAlN層110bとには、不純物として炭素(C)、塩素(Cl)、フッ素(F)、酸素(O)及びシリコン(Si)の少なくとも1つを含んでいてもよい。   Note that the TiN layer 110a and the AlN layer 110b may contain at least one of carbon (C), chlorine (Cl), fluorine (F), oxygen (O), and silicon (Si) as an impurity.

第1の実効仕事関数調整層110の上には、p型のポリシリコンからなり、比較的に厚い第1の上部ゲート電極111が形成されている。また、図1に示すように、Nウェル102の上部で且つ第1のゲート絶縁膜109の両側方には、p型の第1のエクステンション領域108とp型の第1のソースドレイン領域107が形成されている。また、第1のゲート絶縁膜109、第1の実効仕事関数調整層110及び第1の上部ゲート電極111の両側面上には、絶縁膜からなる第1のサイドウォール112が形成されている。   A relatively thick first upper gate electrode 111 made of p-type polysilicon is formed on the first effective work function adjusting layer 110. As shown in FIG. 1, a p-type first extension region 108 and a p-type first source / drain region 107 are formed above the N well 102 and on both sides of the first gate insulating film 109. Is formed. A first sidewall 112 made of an insulating film is formed on both side surfaces of the first gate insulating film 109, the first effective work function adjusting layer 110, and the first upper gate electrode 111.

これに対し、NMOS領域106に形成されるNMOSは、第2のゲート絶縁膜115、第2の実効仕事関数調整層116及びn型のポリシリコンからなる第2の上部ゲート電極117、第2のサイドウォール118、n型の第2のエクステンション領域114及びn型の第2のソースドレイン領域113により構成される。   On the other hand, the NMOS formed in the NMOS region 106 includes a second gate insulating film 115, a second effective work function adjustment layer 116, a second upper gate electrode 117 made of n-type polysilicon, The sidewall 118 includes an n-type second extension region 114 and an n-type second source / drain region 113.

ここで、第2のゲート絶縁膜115は、詳細な構成は図示していないが、厚さが1.0nmの酸化シリコン(SiO)からなる界面酸化層と、厚さが1.7nmのハフニウムシリケート(HfSiO)又は酸化ハフニウム(HfO)等からなる第2の高誘電体膜とにより構成される。さらに、第2の高誘電体膜は、しきい値電圧調整用の不純物としてランタン(La)原子を含んでいる。 Here, although the detailed configuration of the second gate insulating film 115 is not shown, an interface oxide layer made of silicon oxide (SiO 2 ) having a thickness of 1.0 nm, and hafnium having a thickness of 1.7 nm. The second high dielectric film is made of silicate (HfSiO) or hafnium oxide (HfO x ). Further, the second high dielectric film contains lanthanum (La) atoms as impurities for adjusting the threshold voltage.

なお、第2の実効仕事関数調整層116の構成は、PMOSの第1の実効仕事関数調整層111と同一である。   The configuration of the second effective work function adjustment layer 116 is the same as that of the first effective work function adjustment layer 111 of the PMOS.

すなわち、本実施形態に係るPMOS及びNMOSを構成するゲート電極は、TiN層110aとAlN層110bとを交互に積層してなる実効仕事関数調整層110、115と、その上に形成されたポリシリコンからなる上部ゲート電極111、117とが積層されてなる。また、各実効仕事関数調整層110、116におけるTiN層110aの厚さは1.5nm以上且つ2.5nm以下であり、AlN層110bの厚さは0.5nm以上且つ1.0nm以下である。   That is, the gate electrodes constituting the PMOS and NMOS according to the present embodiment include effective work function adjusting layers 110 and 115 formed by alternately stacking TiN layers 110a and AlN layers 110b, and polysilicon formed thereon. The upper gate electrodes 111 and 117 are stacked. Further, the thickness of the TiN layer 110a in each effective work function adjusting layer 110, 116 is 1.5 nm or more and 2.5 nm or less, and the thickness of the AlN layer 110b is 0.5 nm or more and 1.0 nm or less.

なお、TiN層110aとAlN層110bとは、必ずしも1層ごとに交互に積層される必要はなく、所望の実効仕事関数に必要なAl量に応じて、例えば、2層のAlN層110bに対して1層のTiN層110aを設ける構成としてもよい。また、TiN層110aとAlN層110bとの積層構造は、所望の厚さを得られるまで、繰り返して積層すればよい。   Note that the TiN layers 110a and the AlN layers 110b do not necessarily have to be alternately stacked one by one. For example, depending on the amount of Al necessary for a desired effective work function, Alternatively, one TiN layer 110a may be provided. Further, the stacked structure of the TiN layer 110a and the AlN layer 110b may be repeatedly stacked until a desired thickness is obtained.

以下、PMOS領域105を中心に、第1のゲート絶縁膜109、第1の実効仕事関数調整層110及び第1の上部ゲート電極111の形成方法について図2及び図3を参照しながら説明する。   Hereinafter, a method for forming the first gate insulating film 109, the first effective work function adjusting layer 110, and the first upper gate electrode 111 will be described with reference to FIGS.

まず、図2(a)に示すように、Siからなる半導体基板101の全面、すなわちNウェル102の上に、厚さが1.0nmのSiOからなる界面酸化層109aを形成する。ここでは、界面酸化層109aは、半導体基板101の主面を洗浄した後、熱酸化法により、温度が800℃の酸化性雰囲気により形成する。 First, as shown in FIG. 2A, an interfacial oxide layer 109 a made of SiO 2 having a thickness of 1.0 nm is formed on the entire surface of the semiconductor substrate 101 made of Si, that is, on the N well 102. Here, the interface oxide layer 109a is formed in an oxidizing atmosphere at a temperature of 800 ° C. by a thermal oxidation method after cleaning the main surface of the semiconductor substrate 101.

次に、図2(b)に示すように、界面酸化層109aの上に、第1の高誘電体膜109bを形成する。   Next, as shown in FIG. 2B, a first high dielectric film 109b is formed on the interface oxide layer 109a.

本実施形態においては、原子層堆積(ALD:atomic layer deposition)法を用い、ハフニウム(Hf)ソースであるTDMAHf (テトラキスジメチルアミノハフニウム)と、シリコン(Si)ソースである3DMAS(トリスジメチルアミノシラン)と、酸化材であるオゾン(O)とを交互に基板表面に暴露することにより、物理膜厚が1.7nmのHfSiO (ハフニウムシリケート)膜を形成する。このときのHfとSiとの組成比は6:4である。 In the present embodiment, an atomic layer deposition (ALD) method is used, and TDMAHf (tetrakisdimethylaminohafnium) that is a hafnium (Hf) source, 3DMAS (trisdimethylaminosilane) that is a silicon (Si) source, and By alternately exposing ozone (O 3 ), which is an oxidizing material, to the substrate surface, a HfSiO (hafnium silicate) film having a physical film thickness of 1.7 nm is formed. The composition ratio of Hf and Si at this time is 6: 4.

続いて、第1のゲート絶縁膜109の表面に対してプラズマ窒化により、結晶化層の分離を抑制するための窒化処理を施し、その後、減圧酸素雰囲気中で温度が1000℃で、15秒間のアニールを行う。これにより、HfSiO膜の表面近傍には窒素が導入されて、窒素添加ハフニウムシリケート(HfSiON)膜が形成される。この後、導入された窒素の安定化を図るために、温度が800℃〜1100℃程度で熱処理を行ってもよい。続いて、第1の高誘電体膜109bにおけるPMOS領域105には、しきい値電圧調整用のアルミニウム(Al)を導入し、図示しないNMOS領域106の第2の高誘電体膜には、しきい値電圧調整用のランタン(La)を導入する。すなわち、第1のゲート絶縁膜109は、HfAlSiON膜となり、第2のゲート絶縁膜115は、HfLaSiON膜となる。   Subsequently, the surface of the first gate insulating film 109 is subjected to nitridation treatment for suppressing separation of the crystallized layer by plasma nitridation, and then the temperature is 1000 ° C. in a reduced pressure oxygen atmosphere for 15 seconds. Annealing is performed. As a result, nitrogen is introduced near the surface of the HfSiO film to form a nitrogen-added hafnium silicate (HfSiON) film. Thereafter, heat treatment may be performed at a temperature of about 800 ° C. to 1100 ° C. in order to stabilize the introduced nitrogen. Subsequently, aluminum for the threshold voltage adjustment (Al) is introduced into the PMOS region 105 in the first high dielectric film 109b, and the second high dielectric film in the NMOS region 106 (not shown) A lantern (La) for threshold voltage adjustment is introduced. That is, the first gate insulating film 109 is an HfAlSiON film, and the second gate insulating film 115 is an HfLaSiON film.

第1のゲート絶縁膜109におけるAlの導入方法は、例えば、HfSiO膜等の第1の高誘電体膜の上に、厚さが0.7nmのいわゆるキャップ膜であるAl酸化(AlO)膜を堆積して、HfAlSiON膜を形成する。また、後述するように、Al酸化膜の上に厚さが10nmのTiN膜を堆積し、温度が600℃〜1000℃の熱処理を施して、HfSiON膜中にAlを拡散した後、堆積したTiN膜をウェットエッチングで除去することにより、HfAlSiON膜を形成してもよい。 A method of introducing Al in the first gate insulating film 109 is, for example, an Al oxide (AlO x ) film that is a so-called cap film having a thickness of 0.7 nm on a first high dielectric film such as an HfSiO film. Is deposited to form a HfAlSiON film. Further, as will be described later, a TiN film having a thickness of 10 nm is deposited on the Al oxide film, heat treatment is performed at a temperature of 600 ° C. to 1000 ° C., Al is diffused in the HfSiON film, and then deposited TiN. The HfAlSiON film may be formed by removing the film by wet etching.

一方、第2のゲート絶縁膜115におけるLaの導入方法は、例えば、HfSiO膜等の第2の高誘電体膜の上に、厚さが2nmのキャップ膜であるLa酸化(LaO)膜を堆積し、その後、温度が600℃〜1000℃の熱処理を施して、第2の高誘電体膜中にLa原子を拡散する。続いて、第2の高誘電体膜中に拡散していない余剰のLa酸化膜をウェットエッチングで除去することにより、HfLaSiON膜を形成する。 On the other hand, for introducing La into the second gate insulating film 115, for example, a La oxide (LaO x ) film that is a cap film having a thickness of 2 nm is formed on a second high dielectric film such as an HfSiO film. Then, heat treatment is performed at a temperature of 600 ° C. to 1000 ° C. to diffuse La atoms into the second high dielectric film. Subsequently, an excess La oxide film not diffused in the second high dielectric film is removed by wet etching, thereby forming an HfLaSiON film.

このように、実効仕事関数を変調するLa又はAlのHigh−k膜中への拡散量は、High−k膜の上に堆積したキャップ膜の膜厚と、その後の熱処理における熱処理温度と時間とにより制御することができる。   As described above, the amount of diffusion of La or Al into the high-k film that modulates the effective work function depends on the film thickness of the cap film deposited on the high-k film, and the heat treatment temperature and time in the subsequent heat treatment. Can be controlled.

次に、ゲート電極の一部、すなわちゲートメタル電極である第1の実効仕事関数調整層110を形成する。なお、NMOSを構成する第2の実効仕事関数調整層116は、PMOSを構成する第1の実効仕事関数調整層110と同時に形成される。   Next, a first effective work function adjustment layer 110 which is a part of the gate electrode, that is, a gate metal electrode is formed. Note that the second effective work function adjustment layer 116 constituting the NMOS is formed simultaneously with the first effective work function adjustment layer 110 constituting the PMOS.

上述したように、各実効仕事関数調整層110、116は、少なくともチタン(Ti)、アルミニウム(Al)及び窒素(N)を含む金属層ではあるが、窒化チタンアルミニウム(TiAlN)ではない。   As described above, each of the effective work function adjusting layers 110 and 116 is a metal layer containing at least titanium (Ti), aluminum (Al), and nitrogen (N), but is not titanium aluminum nitride (TiAlN).

まず、図2(c)及び図3に示すように、第1のゲート絶縁膜109に対して、基板温度が300℃〜400℃で、チタン(Ti)ソースである四塩化チタン(TiCl)ガスをT1秒間暴露した後、窒素(N)ガスによるパージをT2秒間行う。その後、アンモニア(NH)ガスをT3秒暴露し、最後に、NHガスをNガスでT4秒間パージする。この工程により、第1の高誘電体膜109bの上に、物理膜厚が0.4nm程度のTiN層110aが形成される。従って、TiN層110aを所望の厚さとするには、図2(c)に示す工程を少なくとも4回程度は繰り返す必要がある。 First, as shown in FIGS. 2C and 3, the substrate temperature is 300 ° C. to 400 ° C. with respect to the first gate insulating film 109, and titanium tetrachloride (TiCl 4 ) that is a titanium (Ti) source. After exposing the gas for T1 seconds, a purge with nitrogen (N 2 ) gas is performed for T2 seconds. Thereafter, ammonia (NH 3 ) gas is exposed for T3 seconds, and finally, NH 3 gas is purged with N 2 gas for T4 seconds. By this step, a TiN layer 110a having a physical film thickness of about 0.4 nm is formed on the first high dielectric film 109b. Therefore, in order to make the TiN layer 110a have a desired thickness, it is necessary to repeat the process shown in FIG. 2C at least about four times.

次に、図2(d)及び図3に示すように、TiN層110aに対して、アルミニウム(Al)ソースであるTMA(トリメチルアルミニウム)ガスをT5秒間暴露する。さらに、このTMAガスをNガスでT6秒間パージする。その後、再びNHガスにT7秒間暴露し、最後にNHガスをNガスによりT8秒間パージする。これにより、TiN層110aの上に膜厚が0.1nm程度のAlN層110bが形成される。従って、図2(e)に示すように、AlN層110bを所望の厚さとするには、図2(d)に示す工程を少なくとも5回程度は繰り返す必要がある。この図3に示す一連の工程を1サイクルとして、TiN層110a及びAlN層110bを6サイクル繰り返すことにより、物理膜厚が20nmの各実効仕事関数調整層110、116が形成される。 Next, as shown in FIGS. 2D and 3, the TiN layer 110a is exposed to TMA (trimethylaluminum) gas, which is an aluminum (Al) source, for T5 seconds. Further, the TMA gas is purged with N 2 gas for T6 seconds. Then, it is again exposed to NH 3 gas for T7 seconds, and finally NH 3 gas is purged with N 2 gas for T8 seconds. As a result, an AlN layer 110b having a thickness of about 0.1 nm is formed on the TiN layer 110a. Therefore, as shown in FIG. 2E, in order to make the AlN layer 110b have a desired thickness, the process shown in FIG. The effective work function adjusting layers 110 and 116 having a physical film thickness of 20 nm are formed by repeating the TiN layer 110a and the AlN layer 110b 6 cycles with the series of steps shown in FIG. 3 as one cycle.

なお、図3に示すように、各ガスの暴露時間T1〜T8は、ALD装置により異なり、枚葉機の一例としては、T1が50ms、T2が3s、T3が3s及びT4が1.5sである。また、T5は100ms、T6は3s、T7は3s及びT8は1.5sである。なお、バッチ装置のように、チャンバ内の内容積が大きい場合は、T1が5s、T2が30s、T3が10s及びT4が30sのように、各ガス及びパージの暴露時間はそれぞれ長くなる。   As shown in FIG. 3, the exposure times T1 to T8 of each gas vary depending on the ALD apparatus. As an example of a sheet-fed machine, T1 is 50 ms, T2 is 3 s, T3 is 3 s, and T4 is 1.5 s. is there. T5 is 100 ms, T6 is 3 s, T7 is 3 s, and T8 is 1.5 s. When the internal volume in the chamber is large as in the batch apparatus, the exposure time of each gas and purge becomes longer as T1 is 5 s, T2 is 30 s, T3 is 10 s, and T4 is 30 s.

また、本実施形態においては、各実効仕事関数調整層110、116を構成する、AlとTiとの組成比を1:1としたが、AlとTiとの組成比は、実効仕事関数を決定するパラメータであり、所望の実効仕事関数によってその組成を変化させればよい。また、TiN層110aとAlN層110bとのALDの1サイクルにおける膜厚は、それぞれ原子の吸着率により決定されるため、必ずしも同一の膜厚とはならない。各実効仕事関数調整層110、116の組成比を変更するには、例えば、TiN層110aを1層形成した後に、AlN層110bを2層形成すれば、TiとAlとの比は1:2となる。   In this embodiment, the composition ratio of Al and Ti constituting each effective work function adjusting layer 110 and 116 is 1: 1, but the composition ratio of Al and Ti determines the effective work function. It is only necessary to change the composition according to a desired effective work function. In addition, since the film thickness in one cycle of ALD between the TiN layer 110a and the AlN layer 110b is determined by the adsorption rate of atoms, the film thickness is not necessarily the same. In order to change the composition ratio of each effective work function adjusting layer 110, 116, for example, if one layer of TiN layer 110a is formed and then two layers of AlN layer 110b are formed, the ratio of Ti and Al is 1: 2. It becomes.

なお、本実施形態においては、例えば、第1の高誘電体膜109bの上に、先にTiN層110aを形成する方法を説明したが、先にAlN層110bを形成し、その後、TiN層110aを形成してもよい。   In the present embodiment, for example, the method of forming the TiN layer 110a first on the first high dielectric film 109b has been described. However, the AlN layer 110b is formed first, and then the TiN layer 110a. May be formed.

その後、第1の実効仕事関数調整層110の上に、ポリシリコンからなる第1の上部ゲート電極111を形成する。   Thereafter, a first upper gate electrode 111 made of polysilicon is formed on the first effective work function adjusting layer 110.

以上のように、本実施形態に係るゲートメタル電極である実効仕事関数調整層を有するPMOS及びNMOSによると、従来のようにゲートメタル電極にTiAlN膜を用いる構成においては、ゲート電極が高抵抗となってRC遅延が発生して、トランジスタの電気的特性が劣化するのに対し、本実施形態においては、所望の実効仕事関数を維持したまま、TiAlNからなるゲートメタル電極よりも低抵抗化が可能となるため、トランジスタの電気的特性が向上する。   As described above, according to the PMOS and NMOS having the effective work function adjustment layer, which is the gate metal electrode according to the present embodiment, in the configuration using the TiAlN film for the gate metal electrode as in the prior art, the gate electrode has a high resistance. In this embodiment, the RC delay occurs and the electrical characteristics of the transistor deteriorate. In this embodiment, the resistance can be lowered as compared with the gate metal electrode made of TiAlN while maintaining the desired effective work function. Therefore, the electrical characteristics of the transistor are improved.

図4(a)及び図4(b)に第1の仕事関数調整層110の製造方法の詳細及びメカニズムを説明する。これは、第2の仕事関数調整層116においても、同様である。   FIG. 4A and FIG. 4B describe details and a mechanism of the manufacturing method of the first work function adjusting layer 110. The same applies to the second work function adjustment layer 116.

上述したように、本実施形態の特徴は、実効仕事関数調整層110、116が、従来のようにTiAlNの単層膜ではなく、TiNとAlNとの積層膜であり、さらに、本願発明者らは、種々の検討の結果、TiN層110aとAlN層110bとの厚さを変化させることにより、積層構造の形成が可能であるという知見を得ている。   As described above, the present embodiment is characterized in that the effective work function adjusting layers 110 and 116 are not a single layer film of TiAlN as in the prior art, but a laminated film of TiN and AlN. As a result of various studies, it has been found that a laminated structure can be formed by changing the thicknesses of the TiN layer 110a and the AlN layer 110b.

具体的には、比較用の図4(b)に示すように、形成時に、TiN層110a及びAlN層110bの1層当たりのそれぞれの厚さが1.5nm未満、及び0.5nm未満の場合は、膜の形成中にTi原子とAl原子とが相互拡散することにより、TiAlNからなる単層膜110Aが形成されてしまう。これに対し、それぞれ1層当たりのTiN層110aの厚さが1.5nm以上且つ2.5nm以下で、その上AlN層110bの厚さが0.5nm以上且つ1.0nm以下で積層する場合は、TiN層110aとAlN層110bとで、相互拡散が独立して進行する。従って、TiN層110aとAlN層110bとの積層構造を実現できることを突き止めている。   Specifically, as shown in FIG. 4B for comparison, when the respective thicknesses of the TiN layer 110a and the AlN layer 110b are less than 1.5 nm and less than 0.5 nm at the time of formation. In this case, Ti atoms and Al atoms are interdiffused during the formation of the film, thereby forming a single layer film 110A made of TiAlN. On the other hand, when the thickness of each TiN layer 110a is 1.5 nm to 2.5 nm and the thickness of the AlN layer 110b is 0.5 nm to 1.0 nm. Interdiffusion proceeds independently between the TiN layer 110a and the AlN layer 110b. Therefore, it has been found that a laminated structure of the TiN layer 110a and the AlN layer 110b can be realized.

なお、図4(a)に示すように、TiN/AlNの積層構造を形成した後のTiの濃度及びAlの濃度は、TiN層110aの内部及びAlN層110bの内部においても濃度勾配を有している。すなわち、TiN層110aとAlN層110bとのそれぞれの厚さ方向の中央部分において最も高濃度であり、且つ、TiN膜110aとAlN層110bとの界面において急激に変化する。このとき、TiN層110aがAlを含み、逆に、AlN層110bがTiを含んでいてもよい。   As shown in FIG. 4A, the Ti concentration and the Al concentration after forming the TiN / AlN stacked structure have concentration gradients in the TiN layer 110a and the AlN layer 110b. ing. That is, it has the highest concentration in the central portion in the thickness direction of each of the TiN layer 110a and the AlN layer 110b, and changes rapidly at the interface between the TiN film 110a and the AlN layer 110b. At this time, the TiN layer 110a may contain Al, and conversely, the AlN layer 110b may contain Ti.

図5は、TiN層110aとAlN層110bとの各厚さを変化させた場合のシート抵抗を示している。図5からは、TiAlNの単層領域においては、1層当たりのTiN層及びAlN層の厚さが厚膜化するに従い、シート抵抗Rsが単調増加する。これに対し、TiN/AlNの積層領域に相が変化すると、低抵抗なTiN層110aによって、シート抵抗Rsが減少することが分かる。   FIG. 5 shows the sheet resistance when the thicknesses of the TiN layer 110a and the AlN layer 110b are changed. From FIG. 5, in the single layer region of TiAlN, the sheet resistance Rs monotonously increases as the thickness of the TiN layer and AlN layer per layer increases. On the other hand, when the phase changes in the TiN / AlN stacked region, the sheet resistance Rs is reduced by the low resistance TiN layer 110a.

すなわち、本実施形態に係る半導体装置、すなわちMOSトランジスタは、従来のMOSトランジスタと比較して、Siの価電子帯付近の実効仕事関数(eWF)値を得ながら、ゲート電極のシート抵抗Rsの低抵抗化を実現することができる。さらに、仕事関数調整層(ゲートメタル電極)にAlN層を有することにより、上部ゲート電極からの酸素の拡散を抑制することができる。このため、拡散工程時におけるゲート絶縁膜109、115の膜厚の増大を抑止することができる。   That is, the semiconductor device according to the present embodiment, that is, the MOS transistor, has a lower sheet resistance Rs of the gate electrode while obtaining an effective work function (eWF) value near the valence band of Si as compared with the conventional MOS transistor. Resistance can be realized. Furthermore, by having an AlN layer in the work function adjusting layer (gate metal electrode), diffusion of oxygen from the upper gate electrode can be suppressed. For this reason, an increase in the film thickness of the gate insulating films 109 and 115 during the diffusion process can be suppressed.

図6(a)及び図6(b)は、1層当たりのTiN層とAlN層との各厚さを変化させた場合の、図4(a)の構造におけるシリコン酸化膜換算膜厚(EOT)及び実効仕事関数(eWF)との関係を示すグラフである。なお、ゲートメタル電極の厚さは20nmとしている。参考用として、TiNからなる単層膜の場合の結果と、第1の高誘電体膜109bの上に、TiN層110aから先に形成した場合の結果(TiN先付け)と、AlN層110bから先に形成した場合の結果(AlN先付け)とを示している。TiN/AlNからなる積層構造によって実効仕事関数(eWF)の値を制御するには、TiN/AlNの積層構造を有さない構造と比べて、EOTの増大を抑制しつつ、実効仕事関数を高くすることが望ましい。なお、TiNの単層膜においては、膜厚が20nmにおいて、EOTは1.7nm値度で、eWFは4.78eV程度である。   FIGS. 6A and 6B show the equivalent silicon oxide film thickness (EOT) in the structure of FIG. 4A when the thicknesses of the TiN layer and the AlN layer per layer are changed. ) And the effective work function (eWF). The thickness of the gate metal electrode is 20 nm. For reference, the result in the case of a single layer film made of TiN, the result in the case where the TiN layer 110a is formed first on the first high dielectric film 109b (TiN pre-attachment), and the tip from the AlN layer 110b are used. The results (AlN pre-attachment) are shown. In order to control the value of the effective work function (eWF) by the laminated structure composed of TiN / AlN, the effective work function is increased while suppressing the increase in EOT as compared with the structure not having the laminated structure of TiN / AlN. It is desirable to do. In the single layer film of TiN, when the film thickness is 20 nm, EOT is 1.7 nm and eWF is about 4.78 eV.

図6(a)に示すEOTの結果から、AlNを先付けするAlN先付け構造と比較して、TiNを先付けするTiN先付け構造の方がEOTの薄膜化が実現できることが分かる。さらに、1層当たりのTiN層110aの厚さ及びAlN層110bの厚さが0.8nm以上且つ2.5nm以下、及び0.3nm以上且つ1.0nm以下の各領域において、EOTが極小領域を持つことが分かる。ここで、AlNを先付けにすることによるEOTの増大は、ゲートメタル電極と第1の高誘電体膜109bとの界面におけるAlN層110bが絶縁膜として機能するため、又は1層目のAlN層110bの形成時に、第1の高誘電体膜109bにAl原子が拡散した結果、その誘電率が低下したためと考えられる。   From the result of EOT shown in FIG. 6A, it can be seen that the TiN leading structure in which TiN is preceded can realize the thinning of the EOT as compared with the AlN leading structure in which AlN is preceded. Further, in each region where the thickness of the TiN layer 110a and the thickness of the AlN layer 110b per layer is 0.8 nm to 2.5 nm, and 0.3 nm to 1.0 nm, the EOT has a minimum region. I understand that I have it. Here, the increase in EOT due to the advance of AlN is because the AlN layer 110b at the interface between the gate metal electrode and the first high dielectric film 109b functions as an insulating film, or the first AlN layer 110b. This is probably because the dielectric constant decreased as a result of Al atoms diffusing into the first high dielectric film 109b during the formation of.

さらに、図6(b)に示すeWFの結果から、TiN/AlNの積層構造及びTiAlNの単層構造のいずれにおいても、eWF=4.94eV以上の高eWF値を達成できることが分かる。   Furthermore, from the eWF results shown in FIG. 6B, it can be seen that a high eWF value of eWF = 4.94 eV or more can be achieved in both the TiN / AlN laminated structure and the TiAlN single layer structure.

以上の結果と、図5に示すシート抵抗の結果とを考慮すると、1層当たりのTiN層110aの厚さ及びAlN層110bの厚さが1.5nm以上且つ2.5nm以下、及び0.5nm以上且つ1.0nm以下のTiN/AlNからなる積層構造となる領域において、低シート抵抗値、EOT値の薄膜化及び高eWF値を実現できるため、MOSトランジスタの高速動作が可能となる。   Considering the above results and the sheet resistance results shown in FIG. 5, the thickness of the TiN layer 110a and the thickness of the AlN layer 110b per layer are 1.5 nm or more and 2.5 nm or less, and 0.5 nm. Since the low sheet resistance value, the thin EOT value, and the high eWF value can be realized in the region having a laminated structure of TiN / AlN of 1.0 nm or less, the MOS transistor can be operated at high speed.

(一実施形態の第1変形例)
上述の一実施形態においては、実効仕事関数調整層であるゲートメタル電極の構造が、TiN層110aとAlN層110bとからなる積層膜を所望の膜厚に達するまで積層を繰り返す構造であったのに対し、本変形例においては、実効仕事関数値に大きく影響するのは、第1の高誘電体膜109b膜の直上又はその近傍のAlN層110bであること、且つ、ゲートメタル電極全体の抵抗を低抵抗化するのは、TiN層110aであることに着目した構造である。
(First Modification of One Embodiment)
In the above-described embodiment, the structure of the gate metal electrode, which is the effective work function adjustment layer, is a structure in which the stacked film composed of the TiN layer 110a and the AlN layer 110b is repeatedly stacked until a desired film thickness is reached. On the other hand, in this modification, the effective work function value is greatly affected by the AlN layer 110b immediately above or in the vicinity of the first high dielectric film 109b and the resistance of the entire gate metal electrode. It is a structure that focuses on the TiN layer 110a that lowers the resistance.

すなわち、本変形例においては、実効仕事関数の値の変調層として、高誘電体膜上において、少なくとも所定の実効仕事関数を得ることができる積層数を持つAlN/TiNからなる積層膜を形成し、その後、ゲートメタル電極全体の低抵抗化を図るために、AlN/TiNの積層構造の上に、比較的に厚いTiN層110Bを堆積した構造を持つ。ここで、上部のTiN層110Bの厚さは、所望のゲートメタル電極の厚さから実効仕事関数値の変調に必要なTiN/AlNの積層構造の厚さの差分となる。   That is, in this modification, a multilayer film made of AlN / TiN having a number of layers that can obtain at least a predetermined effective work function is formed on the high dielectric film as the modulation layer of the effective work function value. Thereafter, in order to reduce the resistance of the entire gate metal electrode, a relatively thick TiN layer 110B is deposited on the AlN / TiN laminated structure. Here, the thickness of the upper TiN layer 110B is the difference between the thickness of the TiN / AlN laminated structure necessary for modulation of the effective work function value from the desired thickness of the gate metal electrode.

このように、本変形例は、ゲートメタル電極をTiN/AlNの積層膜を1サイクル又は2サイクル分繰り返し、物理膜厚が最大で7nm程度のTiN/AlNを対とする積層膜を形成した後、形成された積層膜の上に、厚さが13nmで低抵抗のTiN層110Bを堆積することにより、厚さが20nmのゲートメタル電極を形成する。   As described above, in this modification, after the TiN / AlN laminated film is repeated for one cycle or two cycles as the gate metal electrode, and a laminated film of TiN / AlN having a physical film thickness of about 7 nm at the maximum is formed. Then, a TiN layer 110B having a thickness of 13 nm and a low resistance is deposited on the formed laminated film, thereby forming a gate metal electrode having a thickness of 20 nm.

このように、本変形例によると、一実施形態よりもさらにゲートメタル電極部分の低抵抗化を図れるため、トランジスタ能力の向上を実現することができる。   As described above, according to this modification, the resistance of the gate metal electrode portion can be further reduced as compared with the embodiment, so that the transistor capability can be improved.

(製造方法)
以下、本発明の一実施形態に係る半導体装置(CMOSトランジスタ)の製造方法の要部を図8〜図10に基づいて説明する。
(Production method)
The main part of the method for manufacturing a semiconductor device (CMOS transistor) according to one embodiment of the present invention will be described below with reference to FIGS.

まず、図8(a)に示すように、例えばシリコン(Si)からなる半導体基板101の上部に、STI法による素子分離104を選択的に形成する。その後、半導体基板101におけるPMOS領域105にNウェル102を形成し、そのNMOS領域106にPウェル102を形成する。   First, as shown in FIG. 8A, element isolation 104 is selectively formed by STI on an upper portion of a semiconductor substrate 101 made of, for example, silicon (Si). Thereafter, an N well 102 is formed in the PMOS region 105 of the semiconductor substrate 101, and a P well 102 is formed in the NMOS region 106.

続いて、PMOS領域105及びNMOS領域106を含む半導体基板101の上に、厚さが1.5nm以下の酸化シリコン又は酸窒化シリコンからなる界面酸化層109aを形成する。界面酸化層109aは、酸素(O)又は一酸化二窒素(NO)ガスを含む雰囲気中で、処理温度を700℃〜1000℃として形成できる。また、界面酸化層109aとして、シリコン酸窒化膜を形成する場合には、シリコン酸化膜を窒素含有プラズマ照射により窒化処理を行った後、膜質の緻密化を図るために、温度が800℃〜1100℃の酸素を含む雰囲気又は窒素を含む雰囲気中で熱処理することが望ましい。 Subsequently, an interface oxide layer 109 a made of silicon oxide or silicon oxynitride having a thickness of 1.5 nm or less is formed on the semiconductor substrate 101 including the PMOS region 105 and the NMOS region 106. The interface oxide layer 109a can be formed at a treatment temperature of 700 ° C. to 1000 ° C. in an atmosphere containing oxygen (O 2 ) or dinitrogen monoxide (N 2 O) gas. In the case where a silicon oxynitride film is formed as the interface oxide layer 109a, the silicon oxide film is subjected to nitriding treatment by nitrogen-containing plasma irradiation, and then the temperature is set to 800 ° C. to 1100 in order to increase the film quality. It is desirable to perform heat treatment in an atmosphere containing oxygen at 0 ° C. or an atmosphere containing nitrogen.

次に、図8(b)に示すように、界面酸化層109aの上に、膜厚が3nm以下の高誘電体膜109Bを形成する。高誘電体膜には、酸化ハフニウム(HfO)、酸窒化ハフニウム(HfON)及び窒素添加ハフニウムシリケート(HfSiON)の群から選ばれる少なくとも1つを含むことが好ましい。また、高誘電体膜109Bには、ジルコニウム(Zr)、ランタン(La)、アルミニウム(Al)、チタン(Ti)、タンタル(Ta)、炭素(C)、塩素(Cl)、イットリウム(Y)及びゲルマニウム(Ge)の群から選ばれる少なくとも1つを不純物として含めてもよい。 Next, as shown in FIG. 8B, a high dielectric film 109B having a thickness of 3 nm or less is formed on the interfacial oxide layer 109a. The high dielectric film preferably contains at least one selected from the group consisting of hafnium oxide (HfO 2 ), hafnium oxynitride (HfON), and nitrogen-added hafnium silicate (HfSiON). The high dielectric film 109B includes zirconium (Zr), lanthanum (La), aluminum (Al), titanium (Ti), tantalum (Ta), carbon (C), chlorine (Cl), yttrium (Y) and At least one selected from the group of germanium (Ge) may be included as an impurity.

次に、図8(c)に示すように、高誘電体膜109Bの上に、例えば膜厚が10nm程度のTiNからなるハードマスク膜201を形成する。ハードマスク膜201は、四塩化チタン(TiCl)ガスとアンモニア(NH)ガスを用いたALD法又はPVD法により形成することが望ましい。その後、図示はしていないが、ハードマスク膜201の上にその全面を覆うようにレジストを塗布し、リソグラフィ法により、NMOS領域106を開口するレジストパターンを形成する。 Next, as shown in FIG. 8C, a hard mask film 201 made of, for example, TiN having a thickness of about 10 nm is formed on the high dielectric film 109B. The hard mask film 201 is preferably formed by an ALD method or a PVD method using titanium tetrachloride (TiCl 4 ) gas and ammonia (NH 3 ) gas. Thereafter, although not shown, a resist is applied on the hard mask film 201 so as to cover the entire surface, and a resist pattern that opens the NMOS region 106 is formed by lithography.

次に、図8(d)に示すように、レジストパターンをマスクとして、例えば過酸化水素(H)を主成分とする薬液を用いたウェットエッチングにより、レジストパターンのNMOS領域106に露出するハードマスク膜201を選択的に除去する。 Next, as shown in FIG. 8D, the resist pattern is exposed to the NMOS region 106 of the resist pattern by wet etching using a chemical solution containing hydrogen peroxide (H 2 O 2 ) as a main component, for example, using the resist pattern as a mask. The hard mask film 201 to be removed is selectively removed.

次に、図9(a)に示すように、PVD法又はALD法により、PMOS領域105におけるハードマスク膜201の上と、NMOS領域106における、露出した高誘電体膜109Bの上とに、La酸化物(LaO)又はLaからなるLa含有層202を形成する。続いて、形成されたLa含有層202に対して、温度が600℃〜1000℃程度の熱処理を行う。これにより、高誘電体膜109BにおけるNMOS領域には、La原子を拡散した第2の高誘電体膜と、界面酸化層109aとからなる第2のゲート絶縁膜115が形成される。 Next, as shown in FIG. 9A, the PVD method or the ALD method is used to form La on the hard mask film 201 in the PMOS region 105 and on the exposed high dielectric film 109B in the NMOS region 106. A La-containing layer 202 made of oxide (LaO x ) or La is formed. Subsequently, heat treatment is performed on the formed La-containing layer 202 at a temperature of about 600 ° C. to 1000 ° C. As a result, a second gate insulating film 115 composed of the second high dielectric film in which La atoms are diffused and the interface oxide layer 109a is formed in the NMOS region of the high dielectric film 109B.

次に、図9(b)に示すように、例えば塩化水素(HCl)を主成分とする薬液を用いたウェットエッチングにより、熱処理後に残留したLa含有層202を除去する。   Next, as shown in FIG. 9B, the La-containing layer 202 remaining after the heat treatment is removed by, for example, wet etching using a chemical solution mainly composed of hydrogen chloride (HCl).

次に、図9(c)に示すように、例えばHを主成分とする薬液を用いたウェットエッチングにより、PMOS領域105に残るハードマスク膜201を除去する。なお、図示はしていないが、高誘電体膜109BにおけるPMOS領域105に、Al原子を拡散する場合は、高誘電体膜109Bを形成した後(図8(b))に、Al含有層を形成する。その後、ハードマスク層を高誘電体109Bの上の全面に形成し、NMOS領域106のハードマスク層及びAl含有層をウェットエッチングにより除去することにより、PMOS領域105に、Alを含む第1の高誘電体膜109bを形成する。これにより、PMOS領域105においては、Al原子を拡散した第1の高誘電体膜109bと界面酸化層109aとからなる第1のゲート絶縁膜109が形成される。 Next, as shown in FIG. 9C, the hard mask film 201 remaining in the PMOS region 105 is removed by, for example, wet etching using a chemical solution mainly composed of H 2 O 2 . Although not shown, when Al atoms are diffused in the PMOS region 105 in the high dielectric film 109B, the Al-containing layer is formed after the high dielectric film 109B is formed (FIG. 8B). Form. Thereafter, a hard mask layer is formed on the entire surface of the high dielectric 109B, and the hard mask layer and the Al-containing layer in the NMOS region 106 are removed by wet etching, so that the PMOS region 105 has a first high Al-containing layer. A dielectric film 109b is formed. As a result, in the PMOS region 105, a first gate insulating film 109 composed of the first high dielectric film 109b in which Al atoms are diffused and the interface oxide layer 109a is formed.

次に、図9(d)に示すように、TiClとNHとを用いたALD法により、第1のゲート絶縁膜109及び第2のゲート絶縁膜115の上に、膜厚が1.5nm以上且つ2.5nm以下のTiN層110aを形成する。 Next, as shown in FIG. 9D, the film thickness is 1. on the first gate insulating film 109 and the second gate insulating film 115 by the ALD method using TiCl 4 and NH 3 . A TiN layer 110a having a thickness of 5 nm or more and 2.5 nm or less is formed.

次に、図10(a)に示すように、TMAとNHとを用いたALD法により、TiN層110aの上の全面に、膜厚が0.5nm以上1.0nm以下のAlN層110bを形成する。 Next, as shown in FIG. 10A, an AlN layer 110b having a thickness of 0.5 nm or more and 1.0 nm or less is formed on the entire surface of the TiN layer 110a by an ALD method using TMA and NH 3. Form.

次に、図10(b)に示すように、AlN層110bの上に、さらに、TiN層110aとAlN層110bとを交互に堆積することにより、膜厚が15nm以上且つ20nm以下のTiN/AlNの積層構造を持つ実効仕事関数調整層110Cを形成する。   Next, as shown in FIG. 10B, TiN layers 110a and AlN layers 110b are alternately deposited on the AlN layer 110b, thereby forming a TiN / AlN film having a thickness of 15 nm or more and 20 nm or less. The effective work function adjusting layer 110C having the laminated structure is formed.

次に、図10(c)に示すように、形成された実効仕事関数調整層110Cの上にポリシリコン膜を形成し、形成したポリシリコン膜のPMOS領域105には、ホウ素(B)等のp型の不純物を選択的にドープし、また、形成したポリシリコン膜のNMOS領域106には、ヒ素(As)又は燐(P)等のn型の不純物を選択的にドープする。続いて、リソグラフィ法及びエッチング法により、PMOS領域105において、p型不純物がドープされたポリシリコン膜、実効仕事関数調整層110C、第1のゲート絶縁膜109に対して、また、NMOS領域106においては、n型不純物がドープされたポリシリコン膜、実効仕事関数調整層110C、第2のゲート絶縁膜115に対して、それぞれゲート電極を得るパターニングを行う。これにより、PMOS領域105においては、第1の実効仕事関数調整層110及びその上のTiN/AlNの積層構造を持つ第1の実効仕事関数調整層110からなるゲート電極が形成され、NMOS領域106においては、第2の実効仕事関数調整層116及びその上のTiN/AlNの積層構造を持つ第2の実効仕事関数調整層116からなるゲート電極が形成される。   Next, as shown in FIG. 10C, a polysilicon film is formed on the formed effective work function adjusting layer 110C, and in the PMOS region 105 of the formed polysilicon film, boron (B) or the like is formed. A p-type impurity is selectively doped, and the NMOS region 106 of the formed polysilicon film is selectively doped with an n-type impurity such as arsenic (As) or phosphorus (P). Subsequently, by the lithography method and the etching method, in the PMOS region 105, the polysilicon film doped with the p-type impurity, the effective work function adjustment layer 110 </ b> C, the first gate insulating film 109, and in the NMOS region 106. Performs patterning for obtaining a gate electrode on the polysilicon film doped with the n-type impurity, the effective work function adjustment layer 110C, and the second gate insulating film 115, respectively. As a result, in the PMOS region 105, a gate electrode including the first effective work function adjustment layer 110 and the first effective work function adjustment layer 110 having a laminated structure of TiN / AlN formed thereon is formed. In FIG. 2, a gate electrode is formed which includes the second effective work function adjusting layer 116 and the second effective work function adjusting layer 116 having a TiN / AlN laminated structure thereon.

続いて、通常のCMOS製造フローに従って、PMOS領域105においては、p型の第1のエクステンション領域108、第1のサイドウォール112及びp型の第1のソースドレイン領域107を形成する。また、NMOS領域106においては、n型の第2のエクステンション領域114、第2のサイドウォール118及びn型の第2のソースドレイン領域113を形成して、図1(a)に示す、PMOS及びNMOSからなるCMOSトランジスタを得る。   Subsequently, in the PMOS region 105, a p-type first extension region 108, a first sidewall 112, and a p-type first source / drain region 107 are formed according to a normal CMOS manufacturing flow. Further, in the NMOS region 106, an n-type second extension region 114, a second sidewall 118, and an n-type second source / drain region 113 are formed, and the PMOS and the NMOS shown in FIG. A CMOS transistor made of NMOS is obtained.

本発明に係る半導体装置及び半導体装置の製造方法は、ゲートメタル電極の低抵抗化によるスイッチング応答速度の向上及び高い実効仕事関数値を得られる結果、MOSトランジスタの高機能化及び低消費電力化を実現でき、特に、ゲートメタル電極及び高誘電体ゲート絶縁膜を有する半導体装置及びその製造方法等に有用である。   The semiconductor device and the method for manufacturing the semiconductor device according to the present invention can improve the switching response speed by reducing the resistance of the gate metal electrode and obtain a high effective work function value. In particular, it is useful for a semiconductor device having a gate metal electrode and a high dielectric gate insulating film, a manufacturing method thereof, and the like.

101 半導体基板
102 Nウェル
103 Pウェル
104 素子分離
105 PMOS領域
106 NMOS領域
107 第1のソースドレイン領域
108 第1のエクステンション領域
109 第1のゲート絶縁膜
109a 界面酸化層
109b 第1の高誘電体膜
109B 高誘電体膜
110 第1の実効仕事関数調整層
110A 単層膜
110B TiN層(第3の金属窒化膜)
110C 仕事関数調整層
110a TiN層(第1の金属窒化膜)
110b AlN層(第2の金属窒化膜)
111 第1の上部ゲート電極
112 第1のサイドウォール
113 第2のソースドレイン領域
114 第2のエクステンション領域
115 第2のゲート絶縁膜
116 第2の実効仕事関数調整層
117 第2の上部ゲート電極
118 第2のサイドウォール
201 ハードマスク膜
202 La含有層
101 Semiconductor substrate 102 N well 103 P well 104 Element isolation 105 PMOS region 106 NMOS region 107 First source / drain region 108 First extension region 109 First gate insulating film 109a Interfacial oxide layer 109b First high dielectric film 109B High dielectric film 110 First effective work function adjustment layer 110A Single layer film 110B TiN layer (third metal nitride film)
110C Work function adjusting layer 110a TiN layer (first metal nitride film)
110b AlN layer (second metal nitride film)
111 First upper gate electrode 112 First sidewall 113 Second source / drain region 114 Second extension region 115 Second gate insulating film 116 Second effective work function adjustment layer 117 Second upper gate electrode 118 Second sidewall 201 Hard mask film 202 La-containing layer

Claims (13)

第1導電型の半導体領域の上に形成されたゲート絶縁膜と、
前記ゲート絶縁膜の上に形成されたゲート電極とを備え、
前記ゲート絶縁膜は、高誘電体膜を含み、
前記ゲート電極は、前記高誘電体膜の上に形成され、第1の金属窒化膜と第2の金属窒化膜とが交互に積層された実効仕事関数調整層を含み、
前記第1の金属窒化膜は前記第2の金属窒化膜よりも抵抗が小さく、且つ、前記第2の金属窒化膜は前記第1の金属窒化膜よりも実効仕事関数の調整量が大きいことを特徴とする半導体装置。
A gate insulating film formed on the semiconductor region of the first conductivity type;
A gate electrode formed on the gate insulating film,
The gate insulating film includes a high dielectric film,
The gate electrode includes an effective work function adjusting layer formed on the high dielectric film, wherein the first metal nitride film and the second metal nitride film are alternately stacked,
The first metal nitride film has a smaller resistance than the second metal nitride film, and the second metal nitride film has a larger effective work function adjustment amount than the first metal nitride film. A featured semiconductor device.
前記ゲート電極は、前記実効仕事関数調整層の上に形成され、第2導電型のシリコンからなる上部電極を有していることを特徴とする請求項1に記載の半導体装置。   The semiconductor device according to claim 1, wherein the gate electrode includes an upper electrode formed on the effective work function adjusting layer and made of second conductivity type silicon. 前記実効仕事関数調整層は、前記第1の金属窒化膜と前記第2の金属窒化膜とを交互に積層してなる積層構造の上に形成され、その主成分が前記第1の金属窒化膜と同一で且つその膜厚が前記第1の金属窒化膜よりも大きい第3の金属窒化膜を有していることを特徴とする請求項1又は2に記載の半導体装置。   The effective work function adjusting layer is formed on a laminated structure in which the first metal nitride film and the second metal nitride film are alternately laminated, and the main component thereof is the first metal nitride film. 3. The semiconductor device according to claim 1, further comprising: a third metal nitride film that has the same thickness as the first metal nitride film and is larger than the first metal nitride film. 前記第1の金属窒化膜の第1層は、前記ゲート絶縁膜の上に形成され、
前記第2の金属窒化膜の第1層は、前記第1層の第1の金属窒化膜の上に形成されていることを特徴とする請求項1〜3のいずれか1項に記載の半導体装置。
A first layer of the first metal nitride film is formed on the gate insulating film;
4. The semiconductor according to claim 1, wherein the first layer of the second metal nitride film is formed on the first metal nitride film of the first layer. 5. apparatus.
前記第1の金属窒化膜は、その組成にチタンと窒素とを含み、
前記第2の金属窒化膜は、その組成にアルミニウムと窒素とを含むことを特徴とする請求項1〜4のいずれか1項に記載の半導体装置。
The first metal nitride film includes titanium and nitrogen in its composition,
5. The semiconductor device according to claim 1, wherein the second metal nitride film contains aluminum and nitrogen in its composition.
前記第1の金属窒化膜及び第2の金属窒化膜は、不純物として、炭素、塩素、フッ素、酸素及びシリコンの少なくとも1つを含むことを特徴とする請求項5に記載の半導体装置。   6. The semiconductor device according to claim 5, wherein the first metal nitride film and the second metal nitride film contain at least one of carbon, chlorine, fluorine, oxygen, and silicon as impurities. 前記第1の金属窒化膜の膜厚は、1.5nm以上且つ2.5nm以下であり、
前記第2の金属窒化膜の膜厚は、0.5nm以上且つ1.0nm以下であることを特徴とする請求項5又は6に記載の半導体装置。
The thickness of the first metal nitride film is 1.5 nm or more and 2.5 nm or less,
7. The semiconductor device according to claim 5, wherein a film thickness of the second metal nitride film is not less than 0.5 nm and not more than 1.0 nm.
前記第1導電型はp型であり、
前記高誘電体膜は、その組成にハフニウム、ランタン及び酸素を含むことを特徴とする請求項1〜7のいずれか1項に記載の半導体装置。
The first conductivity type is p-type;
The semiconductor device according to claim 1, wherein the high dielectric film includes hafnium, lanthanum, and oxygen in its composition.
前記第1導電型はn型であり、
前記高誘電体膜は、その組成にハフニウム及び酸素を含むことを特徴とする請求項1〜7のいずれか1項に記載の半導体装置。
The first conductivity type is n-type;
The semiconductor device according to claim 1, wherein the high dielectric film contains hafnium and oxygen in its composition.
第1導電型の半導体領域の上に、高誘電体膜を含むゲート絶縁膜を形成する工程と、
前記ゲート絶縁膜の上にゲート電極を形成する工程と備え、
前記ゲート電極を形成する工程は、前記ゲート絶縁膜の上に、第1の金属窒化膜と第2の金属窒化膜とを交互に積層することにより、実効仕事関数調整層を形成する工程を含み、
前記第1の金属窒化膜は、前記第2の金属窒化膜よりも抵抗が小さく、
前記第2の金属窒化膜は、前記第1の金属窒化膜よりも実効仕事関数の調整量が大きいことを特徴とする半導体装置の製造方法。
Forming a gate insulating film including a high dielectric film on the semiconductor region of the first conductivity type;
Forming a gate electrode on the gate insulating film; and
The step of forming the gate electrode includes a step of forming an effective work function adjusting layer by alternately laminating a first metal nitride film and a second metal nitride film on the gate insulating film. ,
The first metal nitride film has a smaller resistance than the second metal nitride film,
The method of manufacturing a semiconductor device, wherein the second metal nitride film has a larger effective work function adjustment amount than the first metal nitride film.
前記ゲート電極を形成する工程は、前記実効仕事関数調整層の上に、第2導電型のシリコンからなる上部電極を形成する工程を含むことを特徴とする請求項10に記載の半導体装置の製造方法。   11. The method of manufacturing a semiconductor device according to claim 10, wherein the step of forming the gate electrode includes a step of forming an upper electrode made of silicon of the second conductivity type on the effective work function adjusting layer. Method. 前記実効仕事関数調整層を形成する工程は、前記第1の金属窒化膜及び第2の金属窒化膜の形成に原子層堆積法を用い、
前記第1の金属窒化膜は、チタンを含む第1のガスと窒素原子を含む第1の窒化材とを用いて形成し、
前記第2の金属窒化膜は、アルミニウムを含む第2のガスと窒素原子を含む第2の窒化材とを用いて形成することを特徴とする請求項11に記載の半導体装置の製造方法。
The step of forming the effective work function adjustment layer uses an atomic layer deposition method for forming the first metal nitride film and the second metal nitride film,
The first metal nitride film is formed using a first gas containing titanium and a first nitride material containing nitrogen atoms,
12. The method of manufacturing a semiconductor device according to claim 11, wherein the second metal nitride film is formed by using a second gas containing aluminum and a second nitride material containing nitrogen atoms.
前記実効仕事関数調整層において、前記第1の金属窒化膜の膜厚は、1.5nm以上且つ2.5nm以下であり、前記第2の金属窒化膜の膜厚は、0.5nm以上且つ1.0nm以下であることを特徴とする請求項12に記載の半導体装置の製造方法。   In the effective work function adjustment layer, the first metal nitride film has a thickness of 1.5 nm to 2.5 nm, and the second metal nitride film has a thickness of 0.5 nm to 1 nm. The method of manufacturing a semiconductor device according to claim 12, wherein the thickness is 0.0 nm or less.
JP2010271513A 2010-12-06 2010-12-06 Semiconductor device and method of manufacturing the same Withdrawn JP2012124215A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2010271513A JP2012124215A (en) 2010-12-06 2010-12-06 Semiconductor device and method of manufacturing the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2010271513A JP2012124215A (en) 2010-12-06 2010-12-06 Semiconductor device and method of manufacturing the same

Publications (1)

Publication Number Publication Date
JP2012124215A true JP2012124215A (en) 2012-06-28

Family

ID=46505389

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010271513A Withdrawn JP2012124215A (en) 2010-12-06 2010-12-06 Semiconductor device and method of manufacturing the same

Country Status (1)

Country Link
JP (1) JP2012124215A (en)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2014112572A1 (en) * 2013-01-18 2014-07-24 株式会社日立国際電気 Semiconductor device producing method and substrate treatment device
JP2014143252A (en) * 2013-01-22 2014-08-07 Hitachi Kokusai Electric Inc Method of manufacturing semiconductor device, substrate processing device, and program
WO2015145751A1 (en) * 2014-03-28 2015-10-01 株式会社日立国際電気 Substrate processing device, semiconductor device manufacturing method and recording medium
US9337057B2 (en) 2014-07-21 2016-05-10 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same
US9337295B2 (en) 2013-07-23 2016-05-10 Samsung Electronics Co., Ltd. Semiconductor devices and methods of manufacturing the same
US9786759B2 (en) 2015-06-04 2017-10-10 Samsung Electronics Co., Ltd. Semiconductor device having multiwork function gate patterns
US10103231B2 (en) 2016-09-15 2018-10-16 Kabushiki Kaisha Toshiba Semiconductor device
KR101913434B1 (en) * 2012-06-29 2018-10-30 에스케이하이닉스 주식회사 A semiconductor device and method for manufacturing of the same
US10134732B2 (en) 2014-04-07 2018-11-20 International Business Machines Corporation Reduction of negative bias temperature instability
US10388530B2 (en) 2013-01-18 2019-08-20 Kokusai Electric Corporation Method of manufacturing semiconductor device and substrate processing apparatus
US11296078B2 (en) 2018-11-02 2022-04-05 Samsung Electronics Co., Ltd. Semiconductor device
WO2024045259A1 (en) * 2022-09-01 2024-03-07 长鑫存储技术有限公司 Semiconductor structure and manufacturing method therefor

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101913434B1 (en) * 2012-06-29 2018-10-30 에스케이하이닉스 주식회사 A semiconductor device and method for manufacturing of the same
WO2014112572A1 (en) * 2013-01-18 2014-07-24 株式会社日立国際電気 Semiconductor device producing method and substrate treatment device
US10388530B2 (en) 2013-01-18 2019-08-20 Kokusai Electric Corporation Method of manufacturing semiconductor device and substrate processing apparatus
JP2014143252A (en) * 2013-01-22 2014-08-07 Hitachi Kokusai Electric Inc Method of manufacturing semiconductor device, substrate processing device, and program
US9337295B2 (en) 2013-07-23 2016-05-10 Samsung Electronics Co., Ltd. Semiconductor devices and methods of manufacturing the same
WO2015145751A1 (en) * 2014-03-28 2015-10-01 株式会社日立国際電気 Substrate processing device, semiconductor device manufacturing method and recording medium
JPWO2015145751A1 (en) * 2014-03-28 2017-04-13 株式会社日立国際電気 Substrate processing apparatus, semiconductor device manufacturing method, and recording medium
US10134732B2 (en) 2014-04-07 2018-11-20 International Business Machines Corporation Reduction of negative bias temperature instability
US10622355B2 (en) 2014-04-07 2020-04-14 International Business Machines Corporation Reduction of negative bias temperature instability
US9337057B2 (en) 2014-07-21 2016-05-10 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same
US9786759B2 (en) 2015-06-04 2017-10-10 Samsung Electronics Co., Ltd. Semiconductor device having multiwork function gate patterns
US10103231B2 (en) 2016-09-15 2018-10-16 Kabushiki Kaisha Toshiba Semiconductor device
US11296078B2 (en) 2018-11-02 2022-04-05 Samsung Electronics Co., Ltd. Semiconductor device
WO2024045259A1 (en) * 2022-09-01 2024-03-07 长鑫存储技术有限公司 Semiconductor structure and manufacturing method therefor

Similar Documents

Publication Publication Date Title
JP2012124215A (en) Semiconductor device and method of manufacturing the same
US8143676B2 (en) Semiconductor device having a high-dielectric-constant gate insulating film
KR101282343B1 (en) Semiconductor device with metal gate and method for manufacturing the same
US7884423B2 (en) Semiconductor device and fabrication method thereof
TWI453820B (en) Semiconductor device and the fabrication method thereof
US8865581B2 (en) Hybrid gate last integration scheme for multi-layer high-k gate stacks
JP5336814B2 (en) Semiconductor device and manufacturing method thereof
JP2007208260A (en) Cmos semiconductor device equipped with double work function metallic gate stack
US9312190B2 (en) Semiconductor device and method of manufacturing the same
US8410555B2 (en) CMOSFET device with controlled threshold voltage and method of fabricating the same
US8624325B2 (en) Semiconductor device and method of manufacturing the same
JP5456150B2 (en) Semiconductor device and manufacturing method thereof
JP2009194068A (en) Semiconductor device
WO2010146641A1 (en) Semiconductor device and process for manufacture thereof
JP2005064317A (en) Semiconductor device
TWI478242B (en) Dual work function semiconductor device and method for manufacturing the same
TWI508189B (en) Passivating point defects in high-k gate dielectric layers during gate stack formation
US11289335B2 (en) Method for fabricating a semiconductor device
WO2011027487A1 (en) Semiconductor device and method for manufacturing same
US9153586B2 (en) Semiconductor device having metal carbon nitride electrodes with different work functions
KR20090081346A (en) Semiconductor device and method for fabricating the same
JP2011054843A (en) Semiconductor device and method of manufacturing the same
JP2012054531A (en) Semiconductor device and manufacturing method of the same
JP2011243750A (en) Semiconductor device and its manufacturing method
KR100790567B1 (en) Semiconductor device having high-k composite gate insulating layer and method of fabricating the same

Legal Events

Date Code Title Description
A300 Withdrawal of application because of no request for examination

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20140304