JP2011023766A - Dry etching method and device thereof - Google Patents

Dry etching method and device thereof Download PDF

Info

Publication number
JP2011023766A
JP2011023766A JP2010247299A JP2010247299A JP2011023766A JP 2011023766 A JP2011023766 A JP 2011023766A JP 2010247299 A JP2010247299 A JP 2010247299A JP 2010247299 A JP2010247299 A JP 2010247299A JP 2011023766 A JP2011023766 A JP 2011023766A
Authority
JP
Japan
Prior art keywords
gas
processed
etching
substrate
dry etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2010247299A
Other languages
Japanese (ja)
Other versions
JP5411105B2 (en
Inventor
Nobuyuki Negishi
伸幸 根岸
Masaru Izawa
勝 伊澤
Masatsugu Arai
雅嗣 荒井
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi High Tech Corp
Original Assignee
Hitachi High Technologies Corp
Hitachi High Tech Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi High Technologies Corp, Hitachi High Tech Corp filed Critical Hitachi High Technologies Corp
Priority to JP2010247299A priority Critical patent/JP5411105B2/en
Publication of JP2011023766A publication Critical patent/JP2011023766A/en
Application granted granted Critical
Publication of JP5411105B2 publication Critical patent/JP5411105B2/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Abstract

<P>PROBLEM TO BE SOLVED: To perform high-precision processing by suppressing pitting or striation due to resist damage when the pattern is formed by a dry etching method using a resist film of the post ArF lithography generation or the subsequent as a mask. <P>SOLUTION: A period of time until application of bias electric power is controlled according to a plasma ignition detection signal. A backside gas pressure is set smaller than that in a main etching condition for a given period of time from the start of etching. Further, for a given period of time from the start of etching, a CxFy gas having a lower C/F ratio or a lower CxFy gas flow rate than that in the main etching condition is used. Moreover, a radical amount in plasma is monitored and the values of the above means are controlled for each wafer according to the measured value. A means for preheating wafers other than the above means is placed in a wafer conveyance system. <P>COPYRIGHT: (C)2011,JPO&INPIT

Description

本発明はエッチング工程の中でも層間絶縁膜のエッチングに用いられるドライエッチン
グ装置およびエッチング方法に関し、ArFリソグラフィー以降のレジストパターンを用
いた、ビア形成、高アスペクト比コンタクト形成、自己整合コンタクト形成、トレンチ形
成、ダマシン形成、ゲートマスク形成等においてレジストダメージを低減できる方法に関
するものである。
The present invention relates to a dry etching apparatus and an etching method used for etching an interlayer insulating film in an etching process, and relates to via formation, high aspect ratio contact formation, self-aligned contact formation, trench formation using a resist pattern after ArF lithography, The present invention relates to a method capable of reducing resist damage in damascene formation, gate mask formation, and the like.

半導体装置において、ウエハ上に形成されたトランジスタと金属配線間および金属配線
間を電気的に接続するために、トランジスタ構造の上部および配線間に形成された層間絶
縁膜に、プラズマを利用したドライエッチング方法でコンタクトホールを形成し、コンタ
クトホール内に、半導体もしくは金属を充填する。特に、90nmノード以降の高集積・
高速Logicデバイス製造では、誘電率が低いLow−k材料である層間絶縁膜にドラ
イエッチング方法にて溝やビアを形成しCuを配線材料として埋め込むダマシン工程と、
より微細なパターン形成を行うために193nmの光源を用いたArFリソグラフィーが
用いられている。ドライエッチング方法は、真空容器内に導入されたエッチングガスを外
部から印加された高周波電力によりプラズマ化し、プラズマ中で生成された反応性ラジカ
ルやイオンをウエハ上で高精度に反応させることで、レジストに代表されるマスク材料や
、ビア、コンタクトホールの下にある配線層や下地基板に対し選択的に被加工膜をエッチ
ングする技術である。
In a semiconductor device, dry etching using plasma is applied to an upper part of a transistor structure and an interlayer insulating film formed between wirings in order to electrically connect transistors formed on a wafer to metal wirings and between metal wirings. A contact hole is formed by the method, and a semiconductor or metal is filled in the contact hole. Especially, high integration of 90nm node and beyond
In high-speed logic device manufacturing, a damascene process in which grooves and vias are formed in an interlayer insulating film, which is a low-k material having a low dielectric constant, by a dry etching method and Cu is embedded as a wiring material;
In order to form a finer pattern, ArF lithography using a 193 nm light source is used. In the dry etching method, an etching gas introduced into a vacuum vessel is turned into plasma by high-frequency power applied from the outside, and reactive radicals and ions generated in the plasma are reacted on the wafer with high accuracy, thereby producing a resist. In this technique, a film to be processed is selectively etched with respect to a mask material typified by, a wiring layer under a via or a contact hole, or a base substrate.

通常、半導体回路の配線パターン形成の際には、被加工膜上に有機膜系反射防止膜(B
ARC)が形成され、更にその上にレジスト膜が形成される。BARCは、リソグラフィ
の光源であるレーザ光の干渉による異常パターン形成を防止するために用いられる。レジ
ストパターン形成後、BARCエッチングを行ない、その後、被加工膜のエッチング(メ
インエッチング)が行なわれる。BARCエッチングでは、BARCの材質がレジストと
同様にCリッチであるために、CF4、CHF3等のFリッチなフロロカーボンガス及び
Arに代表される希ガス及び酸素ガスの混合ガスを導入し、0.5Paから10Paの圧
力領域でプラズマを形成し、ウエハに入射するイオンエネルギーを0.1kVから1.0
kVの範囲で制御してエッチングを行う。
Usually, when forming a wiring pattern of a semiconductor circuit, an organic antireflection film (B
ARC) is formed, and a resist film is further formed thereon. BARC is used to prevent abnormal pattern formation due to interference of laser light, which is a lithography light source. After the resist pattern is formed, BARC etching is performed, and then the film to be processed (main etching) is performed. In the BARC etching, since the material of BARC is C-rich like the resist, a mixed gas of F-rich fluorocarbon gas such as CF4 and CHF3 and a rare gas typified by Ar and oxygen gas is introduced, and 0.5 Pa To 10 Pa in the pressure region, and the ion energy incident on the wafer is changed from 0.1 kV to 1.0 kV.
Etching is performed in a controlled range of kV.

また、ビアやコンタクトホール形成では、プラズマガスとして、CF4、CHF3、C
2F6、C3F6O、C4F8、C5F8、C4F6等のフロロカーボンガス及びArに
代表される希ガス及び酸素ガス及びCOガス等の混合ガスを導入し、0.5Paから10
Paの圧力領域でプラズマを形成し、ウエハに入射するイオンエネルギーを0.5kVか
ら2.5kVまで加速する。
In the formation of vias and contact holes, as plasma gases, CF4, CHF3, C
Fluorocarbon gas such as 2F6, C3F6O, C4F8, C5F8, and C4F6 and a mixed gas such as rare gas represented by Ar, oxygen gas, and CO gas are introduced, and 0.5 Pa to 10
Plasma is formed in the Pa pressure region, and the ion energy incident on the wafer is accelerated from 0.5 kV to 2.5 kV.

これらのエッチングでは、プラズマが着火してから、十分にプラズマが成長してからウ
エハにバイアス電力を印加していた。仮にプラズマが十分に成長しない、若しくはプラズ
マ条件によってはプラズマが着火しない条件下でウエハにバイアス電力を印加した場合、
ウエハに流れ込む電流が十分確保できない若しくは全く電流が流れないために、バイアス
電力供給線路やウエハを設置する電極、若しくはウエハに異常に高い電圧がかかってしま
う。それにより、バイアス電力供給線路の絶縁破壊や電極上の溶射膜破壊、若しくはウエ
ハの割れを引き起こす可能性がある。従って、量産性の観点から通常プラズマ着火を検出
する手段(発光強度のモニタ)を設け、着火検出から一定時間後にウエハバイアス電力を
印加していた。また、ガス条件(ガス種、ガス流量)、ウエハ冷却用の裏面ガス圧力は基
本的にエッチング開始からエッチング終了まで同一条件にて処理を行っていた。
In these etchings, a bias power is applied to the wafer after the plasma has ignited and has sufficiently grown. If the bias power is applied to the wafer under the condition that the plasma does not grow sufficiently or the plasma does not ignite depending on the plasma conditions,
Since a sufficient current flowing into the wafer cannot be secured or no current flows at all, an abnormally high voltage is applied to the bias power supply line, the electrode on which the wafer is installed, or the wafer. This may cause dielectric breakdown of the bias power supply line, sprayed film breakdown on the electrode, or cracking of the wafer. Therefore, from the viewpoint of mass productivity, means for detecting normal plasma ignition (monitoring of light emission intensity) is provided, and the wafer bias power is applied after a certain time from the detection of ignition. Further, the gas conditions (gas type, gas flow rate) and the backside gas pressure for cooling the wafer were basically processed under the same conditions from the start of etching to the end of etching.

このような、エッチング工程において、ArFリソグラフィー以降のレジスト材料は、
従来のKrFレジストやi線レジストに比べエッチングによるレジストレートが大きいこ
と、レジストダメージに起因する表面荒れが大きいことが問題となる。
In such an etching process, the resist material after ArF lithography is:
There are problems in that the resist rate by etching is larger than that of conventional KrF resists and i-line resists, and the surface roughness due to resist damage is large.

KrFレジストではそのエッチング耐性がArFに比べ十分に大きく、また、デバイス
の集積度もそれほど大きくなかったため、ストライエーションやラインエッジラフネスは
大きな問題とはならなかった。しかしながら、特にゲート電極形成のためのSiO2に代
表されるハードマスクエッチングや素子分離形成用マスクとして用いるSiNマスクエッ
チング等仕上り寸法精度が要求されるエッチングでは、エッチング後のレジスト粗さに起
因するラインエッジラフネスの悪化はデバイス特性に大きな影響を与える。また、現在高
集積Logicデバイス製造で導入が進められている層間絶縁膜であるLow−k材料(
SiOC膜)のエッチングでは、比較的高いバイアスによる高エネルギーのイオン照射や
、O2リッチなガス雰囲気でエッチング処理を行うため、パターン側壁のストライエーシ
ョン発生に加え、パターンの無いところに局所的な穴があいてしまうレジスト突き抜け現
象が発生する。
Since KrF resist has sufficiently higher etching resistance than ArF and the degree of device integration is not so high, striation and line edge roughness have not been a major problem. However, especially in etching that requires finished dimensional accuracy, such as hard mask etching represented by SiO2 for gate electrode formation and SiN mask etching used as an element isolation formation mask, the line edge caused by the resist roughness after etching The deterioration of roughness greatly affects the device characteristics. In addition, a low-k material (an interlayer insulating film that is currently being introduced in the manufacture of highly integrated logic devices)
In the etching of the SiOC film), high-energy ion irradiation with a relatively high bias and etching processing are performed in an O2-rich gas atmosphere. Therefore, in addition to the occurrence of striations on the pattern side wall, local holes are formed where there is no pattern. A resist punch-through phenomenon occurs.

そこで、本発明は、ArFリソグラフィー世代以降のレジストをマスクとして用いるエ
ッチングプロセスにおいて、レジストのエッチング耐性を確保するエッチング方法ならび
に本方法を実現するエッチング装置を提供することを目的とする。
Accordingly, an object of the present invention is to provide an etching method for ensuring etching resistance of a resist and an etching apparatus for realizing the method in an etching process using a resist of ArF lithography generation or later as a mask.

本発明は、以下のいずれかの手段を用いることによりエッチング初期におけるウエハへ
のカーボン堆積を従来よりも低減し、レジストのエッチング耐性を確保する。
The present invention uses any of the following means to reduce carbon deposition on the wafer at the initial stage of etching as compared with the prior art and to ensure the etching resistance of the resist.

第1の手段においては、ArFレジスト等、従来のレジスト材料に比べてエッチング耐
性が低いレジスト材料を用いたエッチングプロセスにおいて、有機系反射防止膜のエッチ
ングまたは被加工層のエッチングにおけるプラズマ着火からウエハにバイアス電力を印加
するまでの時間を制御する(望ましくは1秒以内)ことにより前記の課題を解決する。
In the first means, in an etching process using a resist material having a lower etching resistance than a conventional resist material such as an ArF resist, the plasma is ignited from the plasma ignition in the etching of the organic antireflection film or the etching of the layer to be processed. The above problem is solved by controlling the time until the bias power is applied (preferably within one second).

第2の手段においては、エッチング開始からウエハ温度が一定値に飽和するまでのガス
条件として、実際のエッチング条件よりも低C/F比のガスを用いること、または、低流
量のCxFyガスを用いることにより、前記の課題を解決する。
In the second means, as a gas condition from the start of etching until the wafer temperature is saturated to a constant value, a gas having a lower C / F ratio than the actual etching condition is used, or a CxFy gas having a low flow rate is used. This solves the above-mentioned problem.

第3の手段においては、エッチング開始からある一定の時間の間、実際のエッチングで
の裏面ガス圧力を低く設定することにより、前記課題を解決する。
In the third means, the problem is solved by setting the backside gas pressure in the actual etching low for a certain period of time from the start of etching.

第4の手段においては、ウエハを真空容器内に搬送するまでにウエハを所望の温度まで
昇温しておくことにより、前記課題を解決する。
In the fourth means, the above-mentioned problem is solved by raising the temperature of the wafer to a desired temperature before the wafer is transferred into the vacuum container.

第5の手段においては、プラズマ中のラジカル量を計測し、その計測値に基づきバイア
ス電力を印加するタイミング、エッチング初期のガス条件、裏面ガス圧力等を制御するこ
とにより、前記課題を解決する。
In the fifth means, the amount of radicals in the plasma is measured, and the above problem is solved by controlling the timing of applying bias power, the gas condition at the initial stage of etching, the backside gas pressure, and the like based on the measured value.

第6の手段においては、ウエハに対し対向もしくは斜方もしくは裏面から、直接もしく
は間接的にウエハ表面温度をモニタすることで、上記制御を高精度に行うことができる。
In the sixth means, the above control can be performed with high accuracy by directly or indirectly monitoring the wafer surface temperature from the opposite, oblique or back side to the wafer.

第7の手段においては、処理条件によるウエハ表面温度のエッチング時間依存性を計算
によって予め予測し、それが所望のプロファイルとなるように手動もしくは自動でウエハ
裏面ガス圧力とその時間を設定することで、高精度なエッチングが可能となる。
In the seventh means, the etching time dependence of the wafer surface temperature depending on the processing conditions is predicted in advance by calculation, and the wafer backside gas pressure and time are set manually or automatically so that the desired profile is obtained. Highly accurate etching is possible.

本発明により、エッチング耐性が弱いArFリソグラフィー以降のレジストを用いたパ
ターン形成において問題となるレジストダメージを効率的に抑制でき、レジストダメージ
に起因するレジスト突き抜けやストライエーションを改善できる。また、プラズマ中のラ
ジカルをモニタすることでエッチング雰囲気に合わせた制御が可能となり、長期安定性の
向上にも貢献できる。
According to the present invention, resist damage that becomes a problem in pattern formation using resists after ArF lithography with low etching resistance can be efficiently suppressed, and resist penetration and striation caused by resist damage can be improved. In addition, by monitoring radicals in the plasma, it is possible to control in accordance with the etching atmosphere, which can contribute to improvement of long-term stability.

ウエハにバイアス電力を印加してからの時間とウエハ表面温度の関係を示す図The figure which shows the relation between time after applying bias electric power to the wafer and wafer surface temperature レジスト上に堆積したCFポリマーの厚さによるレジストダメージの概念図Conceptual diagram of resist damage due to thickness of CF polymer deposited on resist プラズマ電力、バイアス電力、裏面ヘリウム圧力に着目したエッチングシーケンス図Etching sequence diagram focusing on plasma power, bias power, and backside helium pressure 裏面ヘリウム圧力とウエハ表面温度の関係を示す図Diagram showing relationship between backside helium pressure and wafer surface temperature 各種シーケンスにおけるトレンチ及びホールのエッチング形状を示す走査型電子顕微鏡写真Scanning electron micrographs showing etched shapes of trenches and holes in various sequences エッチング定常状態でのCF堆積膜厚とフロロカーボンガスのC/F比の関係を示す図The figure which shows the relationship between CF deposit film thickness in the steady state of etching, and C / F ratio of fluorocarbon gas エッチング開始時の低堆積ステップ導入有無によるトレンチパターンエッチング形状を示す走査型電子顕微鏡写真Scanning electron micrograph showing trench pattern etching shape with and without low deposition step at the start of etching 放電開始からの時間と発光強度比(C2/O比)の関係を示す図The figure which shows the relationship between the time after discharge start, and luminous intensity ratio (C2 / O ratio) 本発明の実施例2を実現するためのエッチング装置の概略図Schematic of an etching apparatus for realizing Example 2 of the present invention 本発明の実施例3を実現するためのエッチングシステムの概略図Schematic of the etching system for realizing Example 3 of the present invention 本発明の実施例5を実現するための電極の概略図Schematic of the electrode for realizing Example 5 of the present invention 本発明の実施例5における裏面ヘリウム圧力制御の有無によるホールエッチング形状を示す走査型電子顕微鏡写真Scanning electron micrograph showing hole etching shape with and without back surface helium pressure control in Example 5 of the present invention 本発明の実施例1における放射温度計を誘電体部に設置する場合の概略図Schematic when installing the radiation thermometer in Example 1 of the present invention in the dielectric part 本発明の実施例1における放射温度計を用いてシリコン円板の裏面からウエハ表面温度をモニタする場合の概略図Schematic in the case of monitoring wafer surface temperature from the back surface of a silicon disk using the radiation thermometer in Example 1 of this invention 本発明の実施例3におけるヒータを用いた予備加熱の概略図Schematic of preheating using a heater in Example 3 of the present invention 本発明の実施例3におけるランプを用いた予備加熱の概略図Schematic of preheating using a lamp in Example 3 of the present invention

ArFリソグラフィー世代以降のレジストをマスクとして用いるエッチングプロセスに
おいて、レジストダメージを抑制する手段は、BARC加工とコンタクト形成などのメイ
ンエッチングで異なる。具体的には特願2003―303961に記載されている。それ
によれば、堆積が少ないエッチング条件にて処理を行うBARC加工では、イオンスパッ
タ成分を低減することが重要であり、そのために希釈ガスとして用いるArの流量比を全
プラズマガス流量に対して10%以下(望ましくは0%)とする。これにより、BARC
加工後のレジスト表面がスムースとなり、次に処理をするメインエッチング条件(例えば
コンタクト加工)にてレジストダメージの程度を抑制できる。
In an etching process using a resist from the ArF lithography generation as a mask, a means for suppressing resist damage differs between main etching such as BARC processing and contact formation. Specifically, it is described in Japanese Patent Application No. 2003-303961. Accordingly, it is important to reduce the ion sputtering component in the BARC processing in which the processing is performed under an etching condition with less deposition, and for this purpose, the flow rate ratio of Ar used as a dilution gas is 10% of the total plasma gas flow rate. Below (desirably 0%). As a result, BARC
The resist surface after processing becomes smooth, and the degree of resist damage can be suppressed under main etching conditions (for example, contact processing) to be processed next.

一方、堆積が多いコンタクト加工では、プラズマ中の解離を抑制するためにイオン化エ
ネルギーの小さいXeやKrガスで希釈する、若しくは、通常希釈ガスとして用いるAr
ガスにXeやKrを添加することが有効である。すなわち、エッチング中の堆積膜質(例
えばXPS(X-Ray Photoelectron Spectroscopy)で
測定したF/C比)がFリッチであるほど、また、堆積量そのものが少ないほど、レジス
トダメージを抑制できる。
On the other hand, in contact processing with a large amount of deposition, in order to suppress dissociation in the plasma, it is diluted with Xe or Kr gas with low ionization energy, or is normally used as a dilution gas
It is effective to add Xe or Kr to the gas. That is, the resist damage can be suppressed as the deposition film quality during etching (for example, the F / C ratio measured by XPS (X-Ray Photoelectron Spectroscopy)) is F-rich and the deposition amount itself is small.

本発明では、それらの結果を鑑み、更にレジストダメージを抑制する手段を提供するも
のである。
In view of these results, the present invention provides a means for further suppressing resist damage.

エッチング初期のウエハ温度が低い条件下ではウエハ温度が上昇したエッチング定常状
態の場合に比べ堆積膜厚が厚くなる。この過剰堆積を抑制するためには大きく3つのアプ
ローチが考えられる。
Under the condition where the wafer temperature at the initial stage of etching is low, the deposited film thickness is thicker than in the steady state of etching where the wafer temperature is increased. Three approaches are conceivable to suppress this excessive deposition.

1つめは、プラズマが着火してから、イオンを加速するために必要なバイアス電力を印
加するまでの時間を極力短くすることである。しかしながら、プラズマの成長が不十分な
時点でバイアス印加するとウエハに流れ込む電流を十分確保できず、正常時に比べ異常に
高い電圧がバイアス電力伝送線路や電極、ウエハにかかってしまうため、各部の絶縁破壊
、ウエハ割れを引き起こす恐れがある。従って、プラズマ着火をモニタし、そのモニタ値
に従ってバイアス印加のタイミングを制御することが重要である。
The first is to shorten the time from when the plasma is ignited until the bias power necessary for accelerating ions is applied. However, if a bias is applied when plasma growth is insufficient, sufficient current flowing into the wafer cannot be secured, and an abnormally high voltage is applied to the bias power transmission line, electrode, and wafer as compared to normal operation. There is a risk of causing wafer cracks. Therefore, it is important to monitor plasma ignition and control the timing of bias application according to the monitored value.

2つめは、エッチングの開始段階に低堆積条件のエッチングステップを挿入することで
ある。具体的には、メインエッチング条件で用いるCxFyガスに比べ低C/F比のガス
種を用いることである。基本的に、プラズマ形成電力が一定な条件下においては、図7に
示すようにフロロカーボンガス(CxFy)のC/F比を小さくするにともない堆積量は
低減する。従って、エッチング定常状態となっていないエッチング開始時に低C/F比ガ
スを用いることで、ウエハ温度が定常状態となるまでの間にウエハに堆積するCFポリマ
ーを抑制できる。その後、実際のメインエッチング条件に移行することでエッチング性能
に影響を与えることなく、レジストダメージを抑制可能である。また、同様の効果をもた
らす手段として、CxFyガス流量の制御がある。エッチング開始時のガス流量を実エッ
チング条件のガス流量よりも低減することにより、エッチング開始時の過剰堆積を抑制で
きる。
The second is to insert a low deposition condition etch step at the beginning of the etch. Specifically, a gas type having a low C / F ratio is used as compared with the CxFy gas used in the main etching conditions. Basically, under the condition that the plasma forming power is constant, the deposition amount decreases as the C / F ratio of the fluorocarbon gas (CxFy) decreases as shown in FIG. Therefore, by using a low C / F ratio gas at the start of etching that is not in the steady etching state, CF polymer deposited on the wafer before the wafer temperature reaches the steady state can be suppressed. Then, resist damage can be suppressed without affecting the etching performance by shifting to the actual main etching conditions. As a means for providing the same effect, there is control of the CxFy gas flow rate. By reducing the gas flow rate at the start of etching below the gas flow rate under actual etching conditions, excessive deposition at the start of etching can be suppressed.

3つめは、エッチング開始時に、実際のエッチング条件下での裏面ガス圧力よりも低い
圧力のステップを導入することである。これにより、エッチング初期のウエハ温度を高温
度化できる。通常、ウエハ温度を制御するためには、ウエハを設置する電極内部にフロリ
ナートなどの冷媒を流し、ウエハと電極間に熱伝導の高いヘリウムガスを封じ込めて熱接
触を向上させる。冷媒温度をある設定値に制御し、バイアス電力をウエハに印加した場合
、ウエハ温度は裏面ヘリウムガスの圧力により一意に決定される。(図4)
また、これらの手段をプラズマ中のラジカル量のモニタ値に従って制御することが有効
である。量産現場でウエハを何枚も処理する場合、処理枚数に伴い壁に堆積するCF系の
ポリマーが増大するため、処理枚数に伴い壁からCF系のラジカルがプラズマ中に放出さ
れる。それに従いウエハ上への堆積が次第に多くなりレジストダメージの発生が懸念され
る。しかしながら、例えばC2の発光強度をモニタし、その値に従ってエッチング初期に
導入するステップでのガス条件(ガス流量やガス種)やステップ時間などを制御すること
で、処理枚数に係わらず、常にレジストダメージの少ないエッチングを実現できる。
Third, at the start of etching, a step with a pressure lower than the backside gas pressure under actual etching conditions is introduced. Thereby, the wafer temperature at the initial stage of etching can be increased. Usually, in order to control the wafer temperature, a coolant such as Fluorinart is allowed to flow inside the electrode on which the wafer is placed, and helium gas having high thermal conductivity is sealed between the wafer and the electrode to improve thermal contact. When the coolant temperature is controlled to a certain set value and bias power is applied to the wafer, the wafer temperature is uniquely determined by the pressure of the backside helium gas. (Fig. 4)
It is also effective to control these means according to the monitor value of the radical amount in the plasma. When many wafers are processed at a mass production site, the CF-based polymer deposited on the wall increases with the number of processed wafers, so that CF-based radicals are released from the walls into the plasma with the number of processed wafers. Accordingly, the deposition on the wafer gradually increases, and there is a concern that resist damage may occur. However, for example, by monitoring the emission intensity of C2 and controlling the gas conditions (gas flow rate and gas type) and the step time in the step introduced at the beginning of etching according to the value, resist damage is always maintained regardless of the number of processed sheets. Etching with less can be realized.

[実施例1]
本実施例では、プラズマ着火からバイアス電力ONまでのタイミングと裏面ヘリウム導
入のタイミングを変えてレジストダメージに起因したストライエーションを低減する方法
を説明する。図1に、コンタクト加工時に測定したウエハにバイアス電力を印加してから
の時間とウエハ表面温度の関係を示す。ウエハは8インチであり、バイアス電力の設定値
は1500Wである。この図に示すように、バイアス電力が比較的高いエッチング条件で
は、ウエハ表面温度は主にバイアス電力にて決定される。この条件下では、バイアス電力
印加前の表面温度に比べ、エッチング定常状態ではおよそ35℃程度表面温度が高温度化
していることがわかる。また、ウエハを設置する電極には熱容量があるため、温度が飽和
するまで10秒程度時間がかかる。本コンタクト加工条件では、レジストに対する選択比
を確保するために、エッチングガスにAr、C4F6、O2、COガスの混合ガスを用い
ているが、その場合、温度が飽和するまでの時間にウエハ表面に過剰な堆積が生じること
となる。
[Example 1]
In this embodiment, a method of reducing striations caused by resist damage by changing the timing from plasma ignition to bias power ON and the timing of backside helium introduction will be described. FIG. 1 shows the relationship between the time after applying bias power to the wafer measured during contact processing and the wafer surface temperature. The wafer is 8 inches and the bias power setting is 1500W. As shown in this figure, under etching conditions with a relatively high bias power, the wafer surface temperature is mainly determined by the bias power. Under this condition, it can be seen that the surface temperature is increased by about 35 ° C. in the steady state of etching compared to the surface temperature before application of the bias power. Further, since the electrode on which the wafer is installed has a heat capacity, it takes about 10 seconds until the temperature is saturated. In this contact processing condition, a mixed gas of Ar, C4F6, O2, and CO gas is used as an etching gas in order to ensure a selection ratio with respect to the resist. Excessive deposition will occur.

図2はレジスト表面を拡大したときのエッチング時の模式図である。図2(a)はフロ
ロカーボン堆積膜1が少ない場合、図2(b)はフロロカーボン堆積膜1が過剰な場合を
示す。次にイオンが入射して図2(a)や(b)の表面にエネルギーを与えエッチングが
進展するが、図2(a)の場合は、堆積の厚さが適度であるため、イオンのエネルギーが
フロロカーボン堆積膜1でそれほど減衰されず、下地のレジスト2の表面まで到達する。
FIG. 2 is a schematic diagram at the time of etching when the resist surface is enlarged. FIG. 2A shows a case where the fluorocarbon deposit film 1 is small, and FIG. 2B shows a case where the fluorocarbon deposit film 1 is excessive. Next, ions enter and energy is applied to the surfaces of FIGS. 2A and 2B, and etching progresses. In the case of FIG. 2A, since the deposition thickness is appropriate, the energy of the ions Is not attenuated so much by the fluorocarbon deposition film 1 and reaches the surface of the underlying resist 2.

従って図2(c)に示すように、レジスト2の表面の凹凸は図2(a)と同程度の状態を
保つことができる。一方、フロロカーボン堆積膜1が過剰である図2(b)の場合は、凹
部分ではイオンエネルギーがそれほど減衰されないためにエッチングが進展して図2(c
)の凹部分と同等の深さまでエッチングが進展するが、凸部分ではフロロカーボン堆積膜
1が厚いためにイオンのエネルギーがレジスト表面まで十分に到達できずエッチングが進
展しない。そのため、図2(d)に示すように、図2(b)に比べ凹凸が激しくなり、レ
ジストダメージが進展することとなる。つまり、過剰の堆積がレジストダメージの大きな
要因となるのである。ここではエッチング初期の過剰堆積を抑制するためにエッチングシ
ーケンスを変えてレジストダメージを評価した結果を述べる。ガス条件は、Arを500
ml/min、C4F6を30ml/min、O2を36ml/min、COを200m
l/minとして、そのときのガス圧力を2Paに設定した。プラズマ発生用高周波電力
は本条件では400Wである。
Therefore, as shown in FIG. 2C, the unevenness on the surface of the resist 2 can be maintained in the same level as in FIG. On the other hand, in the case of FIG. 2B in which the fluorocarbon deposited film 1 is excessive, the ion energy is not attenuated so much in the concave portion, so that etching progresses and FIG.
The etching progresses to a depth equivalent to the concave portion of (). However, since the fluorocarbon deposition film 1 is thick at the convex portion, the energy of ions cannot sufficiently reach the resist surface and the etching does not progress. Therefore, as shown in FIG. 2 (d), the unevenness becomes intense as compared with FIG. 2 (b), and the resist damage progresses. That is, excessive deposition is a major factor in resist damage. Here, the results of evaluating resist damage by changing the etching sequence in order to suppress excessive deposition at the initial stage of etching will be described. The gas condition is Ar 500
ml / min, C4F6 30 ml / min, O2 36 ml / min, CO 200 m
The gas pressure at that time was set to 2 Pa as l / min. The high frequency power for plasma generation is 400 W under this condition.

図3(a)、(b)、(c)は評価を行った3種類のエッチングシーケンスである。(
夫々シーケンスA、シーケンスB、シーケンスCとする。)シーケンスAはプラズマ発生
用高周波電源出力がON(プラズマが着火)してから5秒後にウエハにバイアス電力を印
加した例である。その際、ウエハと電極の間にヘリウムガスをプラズマ着火以前から導入
しており、プラズマ着火の時点では設定圧力(1.5kPa)に対し70%程度まで高圧
化している。この場合、プラズマ着火してからウエハにバイアス電力がONするまではプ
ラズマ中で解離したガスがCF系のラジカルとなり、ウエハに堆積する。さらに、裏面ヘ
リウム圧力が既に高いためにウエハ温度は低く保たれ堆積を促進する。一方、シーケンス
B、Cに改善後のシーケンスを示す。シーケンスBではプラズマ着火から1秒後にバイア
スが印加され、裏面ヘリウムガスに関しては、シーケンスAと同様である。シーケンスC
ではプラズマ着火から1秒後にバイアスが印加され、さらに裏面ヘリウムガスはウエハへ
バイアス印加と同時に導入されている。図4に示すように裏面ヘリウム圧力とウエハ表面
温度は密接に関係しており、圧力が高い程表面温度は低下する。変化率は本実験条件では
およそ3.3℃/0.1kPaである。従って、シーケンスCではウエハ温度もシーケン
スA、Bに比べエッチング初期で高温度化していると考えられる。
3A, 3B, and 3C show three types of etching sequences that were evaluated. (
Let them be sequence A, sequence B, and sequence C, respectively. ) Sequence A is an example in which a bias power is applied to the wafer 5 seconds after the high frequency power supply output for plasma generation is turned on (plasma is ignited). At that time, helium gas is introduced between the wafer and the electrode before the plasma ignition, and the pressure is increased to about 70% of the set pressure (1.5 kPa) at the time of the plasma ignition. In this case, from the time the plasma is ignited until the bias power is turned on, the gas dissociated in the plasma becomes a CF radical and deposits on the wafer. In addition, because the backside helium pressure is already high, the wafer temperature is kept low to facilitate deposition. On the other hand, sequences B and C show improved sequences. In sequence B, a bias is applied 1 second after plasma ignition, and the backside helium gas is the same as in sequence A. Sequence C
Then, a bias is applied 1 second after the plasma ignition, and the backside helium gas is introduced into the wafer simultaneously with the bias application. As shown in FIG. 4, the backside helium pressure and the wafer surface temperature are closely related, and the surface temperature decreases as the pressure increases. The rate of change is approximately 3.3 ° C./0.1 kPa under the present experimental conditions. Therefore, in sequence C, the wafer temperature is considered to be higher at the initial stage of etching than in sequences A and B.

この3つのシーケンスにて処理を行ったときの走査電子顕微鏡像(SEM像)を図5に
示した。膜構造は、ArFリソグラフィー対応レジスト、レーザの反射干渉による異常パ
ターン形成を抑制するための有機系反射防止膜(BARC)、被加工膜であるシリコン酸
化膜、下地シリコン基板である。レジストダメージが被加工膜であるシリコン酸化膜に転
写して形成された縦筋(ストライエーション6)を観察するために、エッチング処理後の
サンプルはアッシング処理にてレジスト、BARCの2層を除去してある。図5(a)の
シーケンスAを適用した場合は、密ホールパターン4のストライエーションとパターンが
存在しないところに孔が開く現象(ピッティング5)が多く見られ、トレンチパターン3
の荒れ具合の指標であるラインエッジラフネスが18.1nmであった。それに対し、図
5(b)のシーケンスBを適用した場合では、ストライエーション6、ピッティング5共
に若干改善し、トレンチパターン3のラインエッジラフネスは13.1nmまで改善した
。さらに図5(c)のシーケンスCを適用した場合では、ストライエーション6、ピッテ
ィング5共に改善しており、トレンチパターン3のラインエッジラフネスも9.2nmと
なった。
A scanning electron microscope image (SEM image) when processing is performed in these three sequences is shown in FIG. The film structure is a resist for ArF lithography, an organic antireflection film (BARC) for suppressing abnormal pattern formation due to laser reflection interference, a silicon oxide film as a film to be processed, and a base silicon substrate. In order to observe the vertical streaks (striation 6) formed by transferring resist damage to the silicon oxide film that is the film to be processed, the two layers of resist and BARC are removed from the sample after etching by ashing. It is. When the sequence A of FIG. 5A is applied, striations of the dense hole pattern 4 and a phenomenon in which holes are opened where the pattern does not exist (pitting 5) are often observed.
The line edge roughness, which is an index of the roughness of the film, was 18.1 nm. On the other hand, when the sequence B of FIG. 5B was applied, both striation 6 and pitting 5 were slightly improved, and the line edge roughness of the trench pattern 3 was improved to 13.1 nm. Further, when the sequence C of FIG. 5C is applied, both striation 6 and pitting 5 are improved, and the line edge roughness of the trench pattern 3 is also 9.2 nm.

なお、これらの処理を行う場合、予め予備実験を行い、各ステップで裏面ヘリウム圧力
を設定しても良いが、図9に図示したウエハと対向する誘電体114内に斜めに設置した
放射温度計128により常にウエハ表面温度をモニタし、そのモニタ値が所望の値となる
ように、裏面ヘリウム圧力を制御することも効果的である。また、ウエハ表面温度のモニ
タを行う代わりに、エッチング条件からウエハ表面温度の処理時間依存性を算出し、それ
が所望のプロファイルとなるように自動もしくは手動で裏面ヘリウム圧力を設定しても良
い。ちなみに、上記放射温度計を設置する際には、図13の放射温度計部の拡大図に示す
ように細管401の奥に設置するのが良い。それによってプラズマ中で生成されたフロロ
カーボン系の堆積による温度計測定部の曇りを防止することができる。一方、図14に示
したようにシリコン円板116の裏側から放射温度計を設置する方法もある。この場合、
電界による異常放電を抑制するために、石英ロッド402を挿入すると良い。
When these processes are performed, preliminary experiments may be performed in advance, and the backside helium pressure may be set in each step. However, a radiation thermometer installed obliquely in the dielectric 114 facing the wafer illustrated in FIG. It is also effective to always monitor the wafer surface temperature by 128 and control the backside helium pressure so that the monitored value becomes a desired value. Further, instead of monitoring the wafer surface temperature, the processing time dependence of the wafer surface temperature may be calculated from the etching conditions, and the backside helium pressure may be set automatically or manually so that it becomes a desired profile. Incidentally, when installing the radiation thermometer, it is preferable to install it behind the narrow tube 401 as shown in the enlarged view of the radiation thermometer section of FIG. As a result, fogging of the thermometer measurement part due to the deposition of the fluorocarbon system generated in the plasma can be prevented. On the other hand, there is also a method of installing a radiation thermometer from the back side of the silicon disc 116 as shown in FIG. in this case,
In order to suppress abnormal discharge due to an electric field, a quartz rod 402 is preferably inserted.

次に、エッチング初期にガス条件を変更した場合による実施例を示す。メインエッチン
グのガス条件は、Arを500ml/min、C4F6を30ml/min、O2を36
ml/min、COを200ml/minとし、処理圧力は2Paに設定した。ウエハ表
面温度が低いエッチング開始時の堆積を抑制するために、メインエッチングの前にガス条
件を変えたステップを12秒挿入した。ガス条件はArを125ml/min、C4F6
を7.5ml/min、O2を7ml/min、COを50ml/minとし、圧力は0
.5Paである。このときのプラズマ発生用電力はメインエッチング条件と同様に400
Wとした。この条件では、メインエッチング条件に比べ堆積量が40%低減できる。本条
件の適用前と適用後のエッチング結果を夫々図6(a)、(b)に示した。トレンチパタ
ーン3のラインエッジラフネスは13.6nmから9.0nmに低減した。ここでは、ガ
ス種を変更しないで流量、圧力を変更した条件をエッチング開始時に挿入した例を示した
が、ガス種を変更することでも効果がある。図7はCxFyガスのC/F比とエッチング
表面に堆積したCF堆積量の関係を示したものである。この結果から明らかなように、ガ
ス種を低C/F比化することでも堆積量を低減できる。なお、バイアス電力ONのタイミ
ング、裏面ヘリウムONのタイミングとガス条件の変更を併せて行うことで効果を増大で
きることは言うまでもない。
Next, an example in which the gas conditions are changed at the initial stage of etching will be described. The main etching gas conditions are Ar: 500 ml / min, C4F6: 30 ml / min, O2: 36
ml / min, CO was 200 ml / min, and the treatment pressure was set to 2 Pa. In order to suppress the deposition at the start of etching with a low wafer surface temperature, a step of changing the gas conditions was inserted for 12 seconds before the main etching. Gas conditions are Ar 125ml / min, C4F6
Is 7.5 ml / min, O2 is 7 ml / min, CO is 50 ml / min, and the pressure is 0
. 5 Pa. At this time, the power for generating plasma is 400 as in the main etching conditions.
W. Under this condition, the deposition amount can be reduced by 40% compared to the main etching condition. The etching results before and after the application of this condition are shown in FIGS. 6 (a) and 6 (b), respectively. The line edge roughness of the trench pattern 3 was reduced from 13.6 nm to 9.0 nm. Here, an example has been shown in which conditions under which the flow rate and pressure are changed without changing the gas type are inserted at the start of etching, but changing the gas type is also effective. FIG. 7 shows the relationship between the C / F ratio of CxFy gas and the amount of CF deposited on the etching surface. As is clear from this result, the deposition amount can also be reduced by reducing the gas species to a low C / F ratio. Needless to say, the effect can be increased by changing the bias power ON timing, the backside helium ON timing, and the gas condition.

また、過剰な堆積を抑制するという観点から、メインエッチング条件を低圧力且つ低流
量条件に変更することが望ましい。具体的には、Ar流量が0ml/minから200m
l/minで、CxFyガス流量がAr流量の2%から10%の範囲内、且つ処理圧力が
0.1Paから1.0Paの範囲内が望ましい。
Further, from the viewpoint of suppressing excessive deposition, it is desirable to change the main etching condition to a low pressure and low flow rate condition. Specifically, the Ar flow rate is from 0 ml / min to 200 m.
At 1 / min, the CxFy gas flow rate is preferably in the range of 2% to 10% of the Ar flow rate, and the processing pressure is preferably in the range of 0.1 Pa to 1.0 Pa.

[実施例2]
本実施例ではプラズマ中のラジカル量をモニタし、そのモニタ値に従って、エッチング
初期の堆積抑制ステップを制御する実施例を説明する。図8は、真空容器の壁が冷たい状
態にてプラズマを着火させ、発光強度比C2/O比をモニタした結果である。ここではカ
ーボン系堆積のラジカル種としてC2を、また堆積種を除去するラジカル種としてOに着
目した。放電開始から200秒程度までは壁が冷たいためにプラズマ中のラジカルが壁に
吸着して、本来の値よりも小さい値を示しているが、それ以降では壁への吸着と壁からの
脱離がバランスし、飽和傾向を示しながらも漸増していることが分かる。すなわち、量産
現場にて同一条件にてエッチング処理を行う場合、ウエハ処理枚数が多くなるに従ってエ
ッチング初期の堆積量が多くなることを示している。実施例1で説明したように、エッチ
ング初期の堆積量を制御(抑制)することでArFリソグラフィー対応レジストのダメー
ジを低減できるが、量産現場では1枚目からN枚目まで如何にエッチング性能を安定に保
持するかが非常に重要となる。
[Example 2]
In this embodiment, a description will be given of an embodiment in which the amount of radicals in plasma is monitored, and the deposition suppression step at the initial stage of etching is controlled according to the monitored value. FIG. 8 shows a result of monitoring the emission intensity ratio C2 / O ratio by igniting plasma with the wall of the vacuum vessel being cold. Here, attention was focused on C2 as a radical species for carbon-based deposition and O as a radical species for removing the deposited species. Since the wall is cold until about 200 seconds from the start of discharge, the radicals in the plasma are adsorbed on the wall and show a value smaller than the original value, but after that, adsorption to the wall and desorption from the wall Are balanced and gradually increasing while showing a saturation tendency. That is, when the etching process is performed under the same conditions at the mass production site, the deposition amount at the initial stage of etching increases as the number of wafers processed increases. As described in the first embodiment, the damage of the resist for ArF lithography can be reduced by controlling (suppressing) the deposition amount at the initial stage of etching, but how stable the etching performance is from the 1st sheet to the Nth sheet at the mass production site. It is very important to hold it.

図9は本実施例を実現するためのエッチング装置の概略図である。通常のエッチング装
置と構成は大きく変わらないが、プラズマからの発光をモニタするための発光分光計測系
が具備されている。発光分光計測系は光ファイバ122、モノクロメータ123、光電子
増倍管124、データサンプリングを行う計測用パソコン125から成っている。光電子
増倍管124の代わりにCCDカメラを用いて複数の波長の光を同時に計測する構成でも
良い。一方、エッチング条件を制御する制御用パソコン127と計測用パソコン125の
間には、計測用パソコンから出力される計測値によってエッチング条件の自動変更を指示
するためのデータベース用パソコン126がある。データベースには予め対象とする発光
強度若しくは発光強度比に対してエッチング初期のエッチング条件(バイアス電力ONの
タイミング、裏面ヘリウムONのタイミングとガス条件)が格納されている。この制御指
針はあらかじめ実験にて規則性を求めておいても良いし、シミュレーションにより自動的
に生成することでも構わない。次に具体的な流れを示す。まず1枚目のウエハの処理を開
始する。この際、エッチング初期のエッチング条件は予め決められた条件を適用する。常
に発光分光計測系にてプラズマの発光はモニタされており、メインエッチングのステップ
に入ってからある決められた時間t1での発光強度比(R1_1)と、メインエッチング
のステップが終了する付近のある決められた時間t2での発光強度比(R1_2)をモニ
タする。また1枚目と同様の条件にて処理された2枚目のウエハからt1、t2での発光
強度比(R2_1、R2_2)をモニタする。これら4つのデータの比較から、3枚目の
R3_1を予測し、エッチング初期のステップに用いるエッチング条件を決定する。ここ
では、前のウエハまでの発光データから次に処理するウエハの発光データを予測し処理条
件を決定する方法を示したが、実際にエッチングを開始した時点での発光データからリア
ルタイムに処理条件を変更することでも同様の効果が得られる。但し、あくまでもエッチ
ング初期のウエハ温度が過渡状態にある時間帯のエッチング条件の制御であって、メイン
エッチング条件を変更するものではない。
FIG. 9 is a schematic view of an etching apparatus for realizing the present embodiment. Although the configuration is not largely different from that of a normal etching apparatus, an emission spectroscopic measurement system for monitoring emission from plasma is provided. The emission spectroscopic measurement system includes an optical fiber 122, a monochromator 123, a photomultiplier tube 124, and a measurement personal computer 125 that performs data sampling. Instead of the photomultiplier tube 124, a configuration may be used in which light of a plurality of wavelengths is simultaneously measured using a CCD camera. On the other hand, between the control personal computer 127 for controlling the etching conditions and the measurement personal computer 125, there is a database personal computer 126 for instructing the automatic change of the etching conditions according to the measurement value output from the measurement personal computer. The database stores in advance etching conditions (bias power ON timing, backside helium ON timing and gas conditions) at the initial stage of etching with respect to the target emission intensity or emission intensity ratio. This control guideline may be obtained in advance by experimentation, or may be automatically generated by simulation. Next, a specific flow is shown. First, processing of the first wafer is started. At this time, a predetermined condition is applied as the etching condition at the initial stage of etching. The emission of the plasma is always monitored by the emission spectroscopic measurement system, and the emission intensity ratio (R1_1) at a predetermined time t1 after entering the main etching step is near the end of the main etching step. The emission intensity ratio (R1_2) at the determined time t2 is monitored. Further, the emission intensity ratio (R2_1, R2_2) at t1 and t2 is monitored from the second wafer processed under the same conditions as the first sheet. From the comparison of these four data, the third R3_1 is predicted, and the etching conditions used for the initial etching step are determined. Here, the method of predicting the light emission data of the wafer to be processed next from the light emission data up to the previous wafer and determining the processing conditions is shown, but the processing conditions are determined in real time from the light emission data at the time of actually starting etching. The same effect can be obtained by changing. However, this is only for controlling the etching conditions in the time zone in which the wafer temperature at the initial stage of etching is in a transient state, and does not change the main etching conditions.

[実施例3]
本実施例では、プロセス条件ではなく、処理前にウエハ温度を高温度化する実施例を説
明する。図10はエッチングシステムの概略を示した図である。ウエハ206はカセット
から取り出された後アライメント調整を行う工程を経てロードロック室201に搬送され
真空引きされる。その後バッファ室202を経てエッチングを行うためのエッチングチャ
ンバ204に導入される。エッチング室で所定の処理が行なわれた後、ウエハはアンロー
ド室206より装置外に搬出される。ここでは、アライメント調整を大気中で行う例を示
したが、これは真空中で行っても構わない。本実施例の特徴は、ウエハ206を予め予備
過熱をしておくことである。予備加熱の手段としては、例えばバッファ室202の真空搬
送用ロボットのアーム203にヒータを設置すると良い。なお、図示されてはいないが、
バッファ室202のアームに設置されたヒータには、ヒータを設定温度に制御するための
制御装置が設けられている。また、当該制御装置と、図9に示すデータベース用パソコン
126を信号伝送線路で接続し、データベースパソコン126からバッファ室202へ最
適な設定温度を伝送するようにしても良い。また、予備加熱の方法としては、ウエハをエ
ッチングチャンバに搬送した後でも可能である。その場合には図15に示すように電極に
埋め込まれたヒータ403を用いて処理前にウエハ温度を所定の温度まで高温度化してか
ら処理を開始する。一方、図16に示すように石英に代表される誘電体114を介してチ
ャンバ外部からランプ404によって加熱を行うことも有効である。その場合、電磁波の
漏れを防ぐために導体板に穴を開けたパンチメタル405を設置するのが望ましい。
[Example 3]
In the present embodiment, an embodiment will be described in which the wafer temperature is raised before processing instead of the process conditions. FIG. 10 is a diagram showing an outline of the etching system. After being taken out of the cassette, the wafer 206 is transferred to the load lock chamber 201 through a process of adjusting the alignment and evacuated. After that, it is introduced into the etching chamber 204 for performing etching through the buffer chamber 202. After predetermined processing is performed in the etching chamber, the wafer is unloaded from the unload chamber 206 to the outside of the apparatus. Here, an example in which the alignment adjustment is performed in the atmosphere has been shown, but this may be performed in a vacuum. The feature of this embodiment is that the wafer 206 is preheated in advance. As a preheating means, for example, a heater may be installed on the arm 203 of the vacuum transfer robot in the buffer chamber 202. Although not shown,
The heater installed on the arm of the buffer chamber 202 is provided with a control device for controlling the heater to a set temperature. Further, the controller and the database personal computer 126 shown in FIG. 9 may be connected by a signal transmission line so that the optimum set temperature may be transmitted from the database personal computer 126 to the buffer chamber 202. Further, as a preheating method, it is possible even after the wafer is transferred to the etching chamber. In that case, as shown in FIG. 15, the processing is started after the wafer temperature is raised to a predetermined temperature before processing using a heater 403 embedded in the electrode. On the other hand, as shown in FIG. 16, it is also effective to heat the lamp 404 from outside the chamber through a dielectric 114 typified by quartz. In that case, in order to prevent leakage of electromagnetic waves, it is desirable to install a punch metal 405 having a hole in the conductor plate.

エッチング定常状態でのウエハ表面温度の上昇温度ΔTは、ウエハ206に印加される
バイアス電力に起因する入熱Qと各部の熱抵抗(ウエハR1、裏面ヘリウムR2、電極R
3)を用いるとΔT=Q×R1+Q×R2+Q×R3にて決定される。従って、バイアス
電力に対し一意にΔTが決まり、エッチング定常状態における表面温度Tは電極に流れる
冷媒の温度T1を用いてT=T1+ΔTと表される。従って、少なくともエッチング定常
状態で予測されるウエハ表面温度T程度にウエハを加熱しておけば、エッチング初期での
低温度状態が回避される。また、ウエハ設置による温度低下を考慮して、予備過熱温度を
Tよりも高く温度制御しておくことも、エッチング初期での低温度状態を予防する上で効
果的である。電極にウエハが設置された場合、電極の温度が低いためにウエハ温度が低下
する場合があるためである。ウエハ設置と同時若しくはできるだけ早い段階でエッチング
を開始しても良い。そのため、エッチング開始のタイミングを、ウエハ設置のタイミング
を基準として制御しても良い。
The rising temperature ΔT of the wafer surface temperature in the steady state of etching is the heat input Q caused by the bias power applied to the wafer 206 and the thermal resistance of each part (wafer R1, backside helium R2, electrode R).
When 3) is used, it is determined by ΔT = Q × R1 + Q × R2 + Q × R3. Therefore, ΔT is uniquely determined with respect to the bias power, and the surface temperature T in the etching steady state is expressed as T = T1 + ΔT using the temperature T1 of the refrigerant flowing through the electrode. Therefore, if the wafer is heated to at least the wafer surface temperature T predicted in the etching steady state, a low temperature state in the initial etching can be avoided. In addition, it is also effective to prevent the low temperature state at the initial stage of etching by controlling the preliminary superheating temperature higher than T in consideration of the temperature drop due to the wafer placement. This is because when the wafer is placed on the electrode, the temperature of the electrode may be low, and thus the wafer temperature may decrease. Etching may be started at the same time as wafer placement or as early as possible. Therefore, the etching start timing may be controlled on the basis of the wafer installation timing.

[実施例4]
本実施例は、以下の特徴を有する半導体装置の製造方法について記載する。
[Example 4]
This embodiment describes a method for manufacturing a semiconductor device having the following characteristics.

半導体基板上に所定の薄膜を形成する工程と、前記薄膜上に有機系反射防止層を形成す
る工程と、前記有機系反射防止層上にベンゼン環の重量比率が20%以下でC=O結合を
有するレジストパターンを形成する工程と、前記レジストパターンをマスクとして前記有
機系反射防止膜をエッチングする工程と、前記レジストの残膜および前記有機系反射防止
膜をマスクとして、被加工層をエッチングする半導体装置の製造方法において、プラズマ
の着火を検出する手段を有し、前記有機系反射防止膜および被加工層のエッチングを開始
する際にプラズマが着火してから半導体基板にバイアス電力を印加するまでの時間を前記
検出値に合わせて制御することを特徴とする半導体装置の製造方法。
A step of forming a predetermined thin film on a semiconductor substrate, a step of forming an organic antireflection layer on the thin film, and a C = O bond with a benzene ring weight ratio of 20% or less on the organic antireflection layer. Forming a resist pattern comprising: etching the organic antireflection film using the resist pattern as a mask; and etching the layer to be processed using the remaining resist film and the organic antireflection film as a mask. In a method of manufacturing a semiconductor device, the method includes means for detecting plasma ignition, from when the plasma is ignited when etching of the organic antireflection film and the layer to be processed is started until bias power is applied to the semiconductor substrate. The method for manufacturing a semiconductor device is characterized in that the time is controlled in accordance with the detected value.

または、半導体基板上に所定の薄膜を形成する工程と、前記薄膜上に有機系反射防止層
を形成する工程と、前記有機系反射防止層上にベンゼン環の重量比率が20%以下でC=
O結合を有するレジストパターンを形成する工程と、前記レジストパターンをマスクとし
て前記有機系反射防止膜をエッチングする工程と、前記レジストの残膜および前記有機系
反射防止膜をマスクとして、被加工層をエッチングする半導体装置の製造方法において、
前記有機系反射防止膜および被加工層のエッチングを開始する際にプラズマが定常状態に
なる前に半導体基板にバイアス電力を印加することを特徴とする半導体装置の製造方法
または、上記半導体装置の製造方法において、プラズマが着火してから半導体基板にバ
イアス電力を印加するまでの時間を1秒以内とすることを特徴とする半導体装置の製造方
法。
Alternatively, a step of forming a predetermined thin film on a semiconductor substrate, a step of forming an organic antireflection layer on the thin film, and a weight ratio of benzene rings on the organic antireflection layer of 20% or less and C =
A step of forming a resist pattern having an O bond; a step of etching the organic antireflection film using the resist pattern as a mask; and a layer to be processed using the remaining resist film and the organic antireflection film as a mask. In the manufacturing method of the semiconductor device to be etched,
Bias power is applied to the semiconductor substrate before the plasma reaches a steady state when etching of the organic antireflection film and the layer to be processed is started, or manufacturing of the semiconductor device A method of manufacturing a semiconductor device, characterized in that the time from when the plasma is ignited until the bias power is applied to the semiconductor substrate is within one second.

または、半導体基板上に所定の薄膜を形成する工程と、前記薄膜上に有機系反射防止層
を形成する工程と、前記有機系反射防止層上にベンゼン環の重量比率が20%以下でC=
O結合を有するレジストパターンを形成する工程と、前記レジストパターンをマスクとし
て前記有機系反射防止膜をエッチングする工程と、前記レジストの残膜および前記有機系
反射防止膜をマスクとして、被加工層をエッチングする半導体装置の製造方法において、
前記有機系反射防止膜および被加工層のエッチングの際に、エッチング開始から半導体基
板温度が一定値に飽和するまでの時間を該エッチング条件よりも半導体基板上の堆積量が
少なくなるようなガス条件に変更して処理することを特徴とする半導体装置の製造方法。
Alternatively, a step of forming a predetermined thin film on a semiconductor substrate, a step of forming an organic antireflection layer on the thin film, and a weight ratio of benzene rings on the organic antireflection layer of 20% or less and C =
A step of forming a resist pattern having an O bond; a step of etching the organic antireflection film using the resist pattern as a mask; and a layer to be processed using the remaining resist film and the organic antireflection film as a mask. In the manufacturing method of the semiconductor device to be etched,
When etching the organic antireflection film and the layer to be processed, the gas condition is such that the time from the start of etching until the semiconductor substrate temperature saturates to a constant value is less than the etching condition. A method of manufacturing a semiconductor device, characterized in that the processing is performed after changing to

または、半導体基板上に所定の薄膜を形成する工程と、前記薄膜上に有機系反射防止層
を形成する工程と、前記有機系反射防止層上にベンゼン環の重量比率が20%以下でC=
O結合を有するレジストパターンを形成する工程と、前記レジストパターンをマスクとし
て前記有機系反射防止膜をエッチングする工程と、前記レジストの残膜および前記有機系
反射防止膜をマスクとして、被加工層をエッチングする半導体装置の製造方法において、
プラズマの着火を検出する手段を有し、前記有機系反射防止膜および被加工層のエッチン
グの際にプラズマが着火してから半導体基板にバイアス電力を印加するまでの時間を前記
検出値に合わせて制御することと、エッチング開始から半導体基板温度が一定値に飽和す
るまでの時間を該エッチング条件よりも半導体基板上の堆積量が少なくなるようなガス条
件に変更して処理することを併せて行うことを特徴とする半導体装置の製造方法。
Alternatively, a step of forming a predetermined thin film on a semiconductor substrate, a step of forming an organic antireflection layer on the thin film, and a weight ratio of benzene rings on the organic antireflection layer of 20% or less and C =
A step of forming a resist pattern having an O bond; a step of etching the organic antireflection film using the resist pattern as a mask; and a layer to be processed using the remaining resist film and the organic antireflection film as a mask. In the manufacturing method of the semiconductor device to be etched,
Means for detecting the ignition of the plasma, and the time from when the plasma is ignited during the etching of the organic antireflection film and the layer to be processed until the bias power is applied to the semiconductor substrate is adjusted to the detected value Control is performed and the time from the start of etching until the semiconductor substrate temperature saturates to a constant value is changed to a gas condition such that the deposition amount on the semiconductor substrate is smaller than the etching condition. A method for manufacturing a semiconductor device.

または、半導体基板上に所定の薄膜を形成する工程と、前記薄膜上に有機系反射防止層
を形成する工程と、前記有機系反射防止層上にベンゼン環の重量比率が20%以下でC=
O結合を有するレジストパターンを形成する工程と、前記レジストパターンをマスクとし
て前記有機系反射防止膜をエッチングする工程と、前記レジストの残膜および前記有機系
反射防止膜をマスクとして、被加工層をエッチングする半導体装置の製造方法において、
前記有機系反射防止膜および被加工層のエッチングの際にプラズマが定常状態になる前に
半導体基板にバイアス電力を印加することと、エッチング開始から半導体基板温度が一定
値に飽和するまでの時間を該エッチング条件よりも半導体基板上の堆積量が少なくなるよ
うなガス条件に変更して処理することを併せて行うことを特徴とする半導体装置の製造方
法。
Alternatively, a step of forming a predetermined thin film on a semiconductor substrate, a step of forming an organic antireflection layer on the thin film, and a weight ratio of benzene rings on the organic antireflection layer of 20% or less and C =
A step of forming a resist pattern having an O bond; a step of etching the organic antireflection film using the resist pattern as a mask; and a layer to be processed using the remaining resist film and the organic antireflection film as a mask. In the manufacturing method of the semiconductor device to be etched,
Applying a bias power to the semiconductor substrate before the plasma reaches a steady state during etching of the organic antireflection film and the work layer, and the time from the start of etching to the saturation of the semiconductor substrate temperature to a constant value. A method of manufacturing a semiconductor device, characterized in that the processing is performed by changing the gas conditions so that the deposition amount on the semiconductor substrate is smaller than the etching conditions.

または、半導体基板上に所定の薄膜を形成する工程と、前記薄膜上に有機系反射防止層
を形成する工程と、前記有機系反射防止層上にベンゼン環の重量比率が20%以下でC=
O結合を有するレジストパターンを形成する工程と、前記レジストパターンをマスクとし
て前記有機系反射防止膜をエッチングする工程と、前記レジストの残膜および前記有機系
反射防止膜をマスクとして、被加工層をエッチングする半導体装置の製造方法において、
前記有機系反射防止膜および被加工層のエッチングの際に、半導体基板と半導体基板を設
置する電極の間に封入するガス圧力をメインエッチング条件での所定の圧力よりも低い圧
力に設定して処理するステップを導入することを特徴とする半導体装置の製造方法。
Alternatively, a step of forming a predetermined thin film on a semiconductor substrate, a step of forming an organic antireflection layer on the thin film, and a weight ratio of benzene rings on the organic antireflection layer of 20% or less and C =
A step of forming a resist pattern having an O bond; a step of etching the organic antireflection film using the resist pattern as a mask; and a layer to be processed using the remaining resist film and the organic antireflection film as a mask. In the manufacturing method of the semiconductor device to be etched,
When etching the organic antireflection film and the layer to be processed, the gas pressure sealed between the semiconductor substrate and the electrode on which the semiconductor substrate is installed is set to a pressure lower than a predetermined pressure under the main etching conditions. A method for manufacturing a semiconductor device, comprising introducing a step of:

または、半導体基板上に所定の薄膜を形成する工程と、前記薄膜上に有機系反射防止層を
形成する工程と、前記有機系反射防止層上にベンゼン環の重量比率が20%以下でC=O
結合を有するレジストパターンを形成する工程と、前記レジストパターンをマスクとして
前記有機系反射防止膜をエッチングする工程と、前記レジストの残膜および前記有機系反
射防止膜をマスクとして、被加工層をエッチングする半導体装置の製造方法において、前
記有機系反射防止膜および被加工層のエッチングの際に、半導体基板と半導体基板を設置
する電極の間に封入するガス圧力をメインエッチング条件での所定の圧力よりも低い圧力
に設定して処理するステップを導入し、その時間を半導体基板温度に従って制御すること
を特徴とする半導体装置の製造方法。
Alternatively, a step of forming a predetermined thin film on a semiconductor substrate, a step of forming an organic antireflection layer on the thin film, and a weight ratio of benzene rings on the organic antireflection layer of 20% or less and C = O
Forming a resist pattern having a bond; etching the organic antireflection film using the resist pattern as a mask; and etching a layer to be processed using the remaining resist film and the organic antireflection film as a mask In the method of manufacturing a semiconductor device, the gas pressure sealed between the semiconductor substrate and the electrode on which the semiconductor substrate is placed is etched from a predetermined pressure under the main etching conditions when etching the organic antireflection film and the layer to be processed. A method of manufacturing a semiconductor device, comprising introducing a step of processing at a low pressure and controlling the time according to the temperature of the semiconductor substrate.

または、上記6つの半導体装置の製造方法において、前記有機系反射防止膜および被加
工層のエッチングの際に、半導体基板と半導体基板を設置する電極の間に封入するガス圧
力をメインエッチング条件での所定の圧力よりも低い圧力に設定して処理するステップを
導入することを特徴とする半導体装置の製造方法。
Alternatively, in the above six semiconductor device manufacturing methods, when the organic antireflection film and the layer to be processed are etched, the gas pressure sealed between the semiconductor substrate and the electrode on which the semiconductor substrate is installed is adjusted under the main etching conditions. A method of manufacturing a semiconductor device, comprising introducing a step of processing at a pressure lower than a predetermined pressure.

または、上記6つの半導体装置の製造方法において、前記有機系反射防止膜および被加工
層のエッチングの際に、半導体基板と半導体基板を設置する電極の間に封入するガス圧力
をメインエッチング条件での所定の圧力よりも低い圧力に設定して処理するステップを導
入し、その時間を半導体基板温度に従って制御することを特徴とする半導体装置の製造方
法。
Alternatively, in the above six semiconductor device manufacturing methods, when the organic antireflection film and the layer to be processed are etched, the gas pressure sealed between the semiconductor substrate and the electrode on which the semiconductor substrate is installed is adjusted under the main etching conditions. A method of manufacturing a semiconductor device, comprising introducing a step of processing at a pressure lower than a predetermined pressure, and controlling the time according to a semiconductor substrate temperature.

または、エッチング開始から半導体基板温度が一定値に飽和するまでの時間のガス条件
をメインエッチングの条件よりも低C/F比のガスにて行うことを特徴とする半導体装置
の製造方法。
Alternatively, the semiconductor device manufacturing method is characterized in that the gas condition for the time from the start of etching to the saturation of the semiconductor substrate temperature to a constant value is performed with a gas having a lower C / F ratio than the main etching condition.

または、エッチング開始から半導体基板温度が一定値に飽和するまでの時間のガス条件
をメインエッチングの条件よりも低流量のCxFyガスにて行うことを特徴とする半導体
装置の製造方法。
Alternatively, the semiconductor device manufacturing method is characterized in that the gas condition for the time from the start of etching to the saturation of the semiconductor substrate temperature to a constant value is performed with a CxFy gas having a lower flow rate than the main etching condition.

または、プラズマ中のラジカル量を計測する手段を有し、前記ラジカル量の変動に従って
、プラズマ着火から半導体基板にバイアス電力を印加するまでの時間を制御することを特
徴とする半導体装置の製造方法。
Alternatively, a method for manufacturing a semiconductor device comprising means for measuring the amount of radicals in plasma, and controlling the time from plasma ignition to application of bias power to the semiconductor substrate in accordance with fluctuations in the amount of radicals.

または、プラズマ中のラジカル量を計測する手段を有し、前記ラジカル量の変動に従っ
て、エッチング開始から半導体基板温度が一定値に飽和するまでの時間のガス条件を変更
することを特徴とする半導体装置の製造方法。
Alternatively, the semiconductor device has means for measuring the amount of radicals in the plasma, and changes the gas condition for the time from the start of etching until the semiconductor substrate temperature saturates to a constant value in accordance with the variation in the amount of radicals Manufacturing method.

または、エッチング初期のウエハバイアス電力をメインエッチングの条件よりも大きく
設定することを特徴とする半導体装置の製造方法。
Alternatively, a method of manufacturing a semiconductor device, wherein the wafer bias power at the initial stage of etching is set larger than the main etching condition.

[実施例5]
本実施例では、ウエハと電極の間に導入する裏面ヘリウム圧力をプロセス中に切り替え
てプロセス性能を向上させるエッチング方法について説明する。対象となるパターン構造
は下地エッチストップ膜が存在する構造であれば何でも良い。本実施例では、高アスペク
ト比コンタクト加工を例に説明するが、Low−k膜を用いたダマシン構造におけるVi
a加工に適用しても効果的であることは言うまでもない。図4に示したように裏面ヘリウ
ムの圧力とウエハ温度には相関がある。特にバイアス電力が高いエッチングプロセスでは
、冷媒の温度を変えてもウエハ表面温度を変化させるには時間がかかってしまう。それに
対し、上記裏面ヘリウム圧力の制御は熱伝導を大きく律速するため、高速なウエハ表面温
度の変更に対し非常に有効である。
[Example 5]
In this embodiment, an etching method for improving process performance by switching backside helium pressure introduced between a wafer and an electrode during the process will be described. The target pattern structure may be anything as long as the underlying etch stop film exists. In this embodiment, high aspect ratio contact processing will be described as an example, but Vi in a damascene structure using a low-k film is used.
It goes without saying that it is effective even when applied to a processing. As shown in FIG. 4, there is a correlation between the pressure of the backside helium and the wafer temperature. In particular, in an etching process with a high bias power, it takes time to change the wafer surface temperature even if the temperature of the coolant is changed. On the other hand, the control of the backside helium pressure is very effective in changing the wafer surface temperature at a high speed because the heat conduction is largely controlled.

対象となる膜構造はArFレジスト/BARC/TEOS/Si3N4である。まず、
BARC加工の後、メインエッチング条件にて処理を行う。メインエッチングのガス条件
は、Arを500ml/min、C4F6を30ml/min、O2を34ml/min
、COを200ml/minとし、処理圧力は2Paに設定した。プラズマ発生用高周波
電力は本条件では400Wで、ウエハバイアス電力は1500Wである。この場合、マス
クであるArFレジストのエッチングダメージを抑制するため、裏面圧力を1.5kPa
とした。この条件でTEOSをエッチングし、残膜が50nmとなったところで、裏面圧
力を1.5kPaから所定の圧力まで低下させてオーバーエッチングを行った。一つの条
件は1.0kPa、もう一つは0.7kPaである。本実施例は図11に示す電極構造に
て評価を行った。本電極は、ヘリウムガスが流れるガス配管303、ヘリウム用ガス流量
計301、裏面ヘリウム圧力を制御するために用いる裏面圧力制御用バルブ302、その
バルブを駆動するために必要な制御用パソコン127からのバルブ開閉制御信号304を
伝送するための伝送経路を備えている。図示しない圧力計によって配管内の圧力を測定し
ており、上記のようにあるエッチング時間後に裏面圧力を低下させる場合には、バルブ開
閉制御信号304に従って裏面圧力制御用バルブ302を開ける。裏面ヘリウム圧力は瞬
時に低下するが、圧力計の値と設定値を比較し、設定値よりも圧力が低下した場合にはバ
ルブ開閉制御信号304により裏面圧力制御バルブを閉じて圧力が設定値になるように、
ヘリウム用ガス流量計301を用いて圧力制御を行う仕組みとなっている。本実施例の条
件下では、裏面ヘリウム圧力の切替にかかった時間は1.5secであった。また、裏面
ヘリウム圧力を1.5kPaから1.0kPaに変えることによってウエハ表面温度は1
2℃上昇し、1.5kPaから0.7kPaまで変えることによってウエハ表面温度は2
3℃上昇した。図12はホールエッチング形状を示す走査電子顕微鏡写真である。図12
(a)は裏面ヘリウム圧力を変更しない場合、図12(b)はオーバーエッチング時に裏
面ヘリウム圧力を1.0kPaに変更した場合、図12(c)はオーバーエッチング時に
裏面ヘリウム圧力を0.7kPaに変更した場合を示す。実験の結果、裏面ヘリウム圧力
を変更しない場合は下地Si3N4膜が突き抜けてしまったのに対し、オーバーエッチン
グ時に裏面ヘリウムを低下させた場合、下地選択比が向上して突き抜けが抑制された。し
かしながら、裏面ヘリウム圧力を0.7kPaまで低下させた場合にはレジストファセッ
ト部にダメージが発生してしまった。本実験では裏面ヘリウム圧力を1.5kPaから1
.0kPaに変更した場合でレジストダメージと下地選択比向上の両立が可能となった。
The target film structure is ArF resist / BARC / TEOS / Si3N4. First,
After BARC processing, processing is performed under main etching conditions. The main etching gas conditions are Ar: 500 ml / min, C4F6: 30 ml / min, O2: 34 ml / min
, CO was 200 ml / min, and the processing pressure was set to 2 Pa. The high frequency power for plasma generation is 400 W under this condition, and the wafer bias power is 1500 W. In this case, the back pressure is set to 1.5 kPa in order to suppress etching damage of the ArF resist as a mask.
It was. The TEOS was etched under these conditions, and when the remaining film reached 50 nm, the back surface pressure was reduced from 1.5 kPa to a predetermined pressure, and overetching was performed. One condition is 1.0 kPa and the other is 0.7 kPa. This example was evaluated using the electrode structure shown in FIG. This electrode includes a gas pipe 303 through which helium gas flows, a gas flow meter 301 for helium, a back pressure control valve 302 used for controlling the back helium pressure, and a control personal computer 127 necessary for driving the valve. A transmission path for transmitting the valve opening / closing control signal 304 is provided. When the pressure in the pipe is measured by a pressure gauge (not shown) and the back pressure is lowered after a certain etching time as described above, the back pressure control valve 302 is opened according to the valve opening / closing control signal 304. Although the backside helium pressure drops instantaneously, the pressure gauge value is compared with the set value, and if the pressure falls below the set value, the backside pressure control valve is closed by the valve opening / closing control signal 304 and the pressure becomes the set value. So that
The pressure control is performed using the helium gas flow meter 301. Under the conditions of this example, the time taken to switch the backside helium pressure was 1.5 sec. Further, by changing the backside helium pressure from 1.5 kPa to 1.0 kPa, the wafer surface temperature becomes 1
By increasing the temperature by 2 ° C. and changing from 1.5 kPa to 0.7 kPa, the wafer surface temperature becomes 2
It rose 3 ° C. FIG. 12 is a scanning electron micrograph showing the hole etching shape. FIG.
12A shows the case where the backside helium pressure is not changed, FIG. 12B shows the case where the backside helium pressure is changed to 1.0 kPa during overetching, and FIG. 12C shows the case where the backside helium pressure is set to 0.7 kPa during overetching. Indicates the case where it has been changed. As a result of the experiment, when the backside helium pressure was not changed, the base Si3N4 film penetrated, whereas when the backside helium was reduced during overetching, the base selectivity was improved and penetration was suppressed. However, when the backside helium pressure was reduced to 0.7 kPa, the resist facet portion was damaged. In this experiment, the backside helium pressure was increased from 1.5 kPa to 1
. When changed to 0 kPa, both resist damage and improvement of the substrate selection ratio can be achieved.

これは、ウエハ表面温度が上昇したことによりレジスト表面反応が化学的もしくは物理的
に進行したためと考えられる。一方、ウエハ表面温度が上昇することによって、デポの付
着係数が実効的に低減してデポがホール内部に輸送され、下地選択比が向上できたものと
考える。従って、裏面ヘリウム圧力はレジストダメージと下地選択比向上の両立ができる
最適な値に設定する必要があることはいうまでもない。
This is presumably because the resist surface reaction chemically or physically progressed due to an increase in the wafer surface temperature. On the other hand, the increase in the wafer surface temperature effectively reduces the deposition coefficient of the deposit and transports the deposit to the inside of the hole, thereby improving the substrate selectivity. Therefore, it is needless to say that the backside helium pressure needs to be set to an optimum value that can achieve both resist damage and improvement of the substrate selection ratio.

1 フロロカーボン堆積膜
2 レジスト
3 トレンチパターン
4 密ホールパターン
5 ピッティング
6 ストライエーション
101 真空容器
102 空心コイル
103 ガス導入管
104 同軸線路
105 整合器
106 450MHz電源
107 13.56MHz電源
108 下部電極
109 被加工試料
110 ガス流量計
111 メインバルブ
112 コンダクタンスバルブ
113 アース電位導体板
114 誘電体
115 円板状導体板
116 シリコン円板
117 静電チャック部
118 フォーカスリング
119 ゲートバルブ
120 整合器
121 高周波バイアス電源
122 光ファイバー
123 モノクロメータ
124 光電子増倍管
125 計測用パソコン
126 データベース用パソコン
127 制御用パソコン
128 放射温度計
201 ロードロック室
202 バッファ室
203 真空搬送用ロボットのアーム
204 エッチングチャンバ
205 アンロードロック室
206 ウエハ
301 ヘリウム用ガス流量計
302 裏面圧力制御用バルブ
303 ガス配管
304 バルブ開閉制御信号
401 細管
402 石英ロッド
403 ヒータ
404 ランプ
405 パンチメタル
DESCRIPTION OF SYMBOLS 1 Fluorocarbon deposit film 2 Resist 3 Trench pattern 4 Close hole pattern 5 Pitting 6 Striation 101 Vacuum vessel 102 Air core coil 103 Gas introduction pipe 104 Coaxial line 105 Matching unit 106 450 MHz power supply 107 13.56 MHz power supply 108 Lower electrode 109 Sample to be processed DESCRIPTION OF SYMBOLS 110 Gas flow meter 111 Main valve 112 Conductance valve 113 Ground potential conductor plate 114 Dielectric material 115 Disk-shaped conductor plate 116 Silicon disk 117 Electrostatic chuck part 118 Focus ring 119 Gate valve 120 Matching device 121 High frequency bias power supply 122 Optical fiber 123 Monochrome Meter 124 Photomultiplier tube 125 PC for measurement 126 PC for database 127 PC for control 128 Radiation thermometer 2 DESCRIPTION OF SYMBOLS 1 Load lock chamber 202 Buffer chamber 203 Arm of vacuum transfer robot 204 Etching chamber 205 Unload lock chamber 206 Wafer 301 Gas flow meter for helium 302 Back pressure control valve 303 Gas piping 304 Valve open / close control signal 401 Narrow tube 402 Quartz rod 403 Heater 404 Lamp 405 Punch metal

Claims (10)

真空排気手段により真空排気されている真空容器と、前記真空容器にエッチングガスを
導入するためのガス導入手段と、被加工試料設置手段と、前記真空容器内に高周波電力を
導入する電力導入手段とを有し、前記ガス導入手段により前記真空容器内に導入されたガ
スを前記電力導入手段により導入される高周波電力でプラズマ化し、該プラズマにより前
記被加工試料の表面処理を行うドライエッチング装置において、
プラズマ着火を検出する手段及びプラズマ中のラジカル量を計測する手段と、前記被加
工試料にバイアス電力を印加する手段と、該バイアス電力印加の開始時間を制御する手段
とを有し、
前記被加工試料の表面処理を開始する際に、プラズマ着火から前記バイアス電力印加を
開始するまでの時間を、前記ラジカル量に応じて制御し、
前記ガス導入手段は、前記被加工試料の温度がエッチング開始から一定値に達するまでの時間のガス条件を前記被加工試料の温度が一定値に達した後のガス条件より低堆積条件に制御することを特徴とするドライエッチング装置。
A vacuum vessel that is evacuated by a vacuum evacuation unit, a gas introduction unit for introducing an etching gas into the vacuum vessel, a work sample setting unit, and a power introduction unit that introduces high-frequency power into the vacuum vessel. In a dry etching apparatus that converts the gas introduced into the vacuum vessel by the gas introduction means into plasma with high-frequency power introduced by the power introduction means, and performs surface treatment of the sample to be processed with the plasma,
Means for detecting plasma ignition, means for measuring the amount of radicals in the plasma, means for applying a bias power to the workpiece, and means for controlling the start time of the bias power application,
When starting the surface treatment of the sample to be processed, the time from the plasma ignition to the start of the application of the bias power is controlled according to the amount of radicals,
The gas introduction means controls a gas condition for a time until the temperature of the sample to be processed reaches a constant value from the start of etching to a lower deposition condition than a gas condition after the temperature of the sample to be processed reaches a constant value. A dry etching apparatus characterized by that.
請求項1に記載のドライエッチング装置において、
前記ガス導入手段として、CxFyガスを前記真空容器内に導入する手段と、該導入さ
れるCxFyガスの流量を制御する手段とを有し、
被加工試料の温度がエッチング開始から一定値に達するまでの時間に前記真空容器内に
供給するCxFyガスの流量を、前記被加工試料の温度が一定値に達した後に前記真空容
器内に供給するCxFyガスの流量よりも小さく設定することを特徴とするドライエッチ
ング装置。
The dry etching apparatus according to claim 1,
The gas introduction means includes means for introducing CxFy gas into the vacuum vessel, and means for controlling the flow rate of the introduced CxFy gas,
The flow rate of the CxFy gas supplied into the vacuum container during the time until the temperature of the sample to be processed reaches a constant value from the start of etching is supplied into the vacuum container after the temperature of the sample to be processed reaches a constant value. A dry etching apparatus characterized by being set smaller than the flow rate of CxFy gas.
請求項1に記載のドライエッチング装置において、
前記ガス導入手段として、希ガスからなる希釈ガスを前記真空容器内に導入する手段と
、該導入される希ガスからなる希釈ガスの流量を制御する手段とを有し、
被加工試料の温度がエッチング開始から一定値に達するまでの時間に前記真空容器内に
供給する希ガスからなる希釈ガスの流量を、前記被加工試料の温度が一定値に達した後に
前記真空容器内に供給する希ガスからなる希釈ガスの流量よりも大きく設定することを特
徴とするドライエッチング装置。
The dry etching apparatus according to claim 1,
As the gas introduction means, there are means for introducing a dilution gas consisting of a rare gas into the vacuum vessel, and means for controlling the flow rate of the dilution gas consisting of the rare gas introduced,
The flow rate of the dilution gas consisting of a rare gas to be supplied into the vacuum vessel during the time from the start of etching until the temperature of the sample to be processed reaches a constant value, and the vacuum vessel after the temperature of the sample to be processed reaches a constant value. A dry etching apparatus characterized in that the flow rate is set to be larger than a flow rate of a dilution gas composed of a rare gas supplied into the inside.
請求項1に記載のドライエッチング装置において、
前記ガス導入手段として、酸素ガスもしくは窒素ガスを前記真空容器内に導入する手段
と、該導入される酸素ガスもしくは窒素ガスの流量を制御する手段とを有し、
被加工試料の温度がエッチング開始から一定値に達するまでの時間に前記真空容器内に
供給する酸素ガスもしくは窒素ガスの流量を、前記被加工試料の温度が一定値に達した後
に前記真空容器内に供給する酸素ガスもしくは窒素ガスの流量よりも大きく設定すること
を特徴とするドライエッチング装置。
The dry etching apparatus according to claim 1,
As the gas introduction means, there are means for introducing oxygen gas or nitrogen gas into the vacuum vessel, and means for controlling the flow rate of the introduced oxygen gas or nitrogen gas,
The flow rate of oxygen gas or nitrogen gas supplied into the vacuum vessel during the time from the start of etching until reaching a constant value after the start of etching is set to the inside of the vacuum vessel after the temperature of the workpiece sample reaches a constant value. The dry etching apparatus is characterized in that it is set to be larger than the flow rate of oxygen gas or nitrogen gas supplied to the substrate.
請求項1から4のいずれか1項に記載のドライエッチング装置において、
プラズマ中のラジカル量に応じて、被処理基板と被処理基板を設置する電極の間に封入
するガス圧力及びその時間を制御することを特徴とするドライエッチング装置。
In the dry etching apparatus according to any one of claims 1 to 4,
A dry etching apparatus characterized by controlling a gas pressure sealed between a substrate to be processed and an electrode on which the substrate to be processed and a time thereof are controlled in accordance with a radical amount in plasma.
請求項1から5のいずれか1項に記載のドライエッチング装置において、
被処理基板温度をモニタする手段を有し、プラズマ中のラジカル量及び被処理基板温度
に応じて、被処理基板と被処理基板を設置する電極の間に封入するガス圧力及びその時間
を制御することを特徴とするドライエッチング装置。
In the dry etching apparatus according to any one of claims 1 to 5,
A means for monitoring the temperature of the substrate to be processed is provided, and the gas pressure sealed between the substrate to be processed and the electrode on which the substrate to be processed and the time thereof are controlled in accordance with the radical amount in the plasma and the temperature of the substrate to be processed. A dry etching apparatus characterized by that.
請求項1から6のいずれか1項に記載のドライエッチング装置において、
被処理基板を設置する電極に温度制御可能なヒータが組み込まれていることを特徴とす
るドライエッチング装置。
The dry etching apparatus according to any one of claims 1 to 6,
A dry etching apparatus characterized in that a temperature controllable heater is incorporated in an electrode on which a substrate to be processed is installed.
請求項1から7のいずれか1項に記載のドライエッチング装置において、
被処理基板温度をモニタする手段として、真空容器側壁に非接触式温度計を有し、プラ
ズマ中のラジカル量及び前記非接触式温度計で測定した被処理基板温度に応じて、被処理
基板と被処理基板を設置する電極の間に封入するガス圧力及びその時間を制御することを
特徴とするドライエッチング装置。
In the dry etching apparatus according to any one of claims 1 to 7,
As means for monitoring the temperature of the substrate to be processed, a non-contact type thermometer is provided on the side wall of the vacuum vessel, and depending on the amount of radicals in the plasma and the temperature of the substrate to be processed measured by the non-contact type thermometer, A dry etching apparatus characterized by controlling a gas pressure sealed between electrodes on which a substrate to be processed is placed and a time thereof.
請求項1から7のいずれか1項に記載のドライエッチング装置において、
被処理基板温度をモニタする手段として、該被処理基板を設置する電極に非接触式温度
計または接触式温度計を有し、プラズマ中のラジカル量及び前記非接触式温度計または接
触式温度計が測定した被処理基板温度に応じて、被処理基板と被処理基板を設置する電極
の間に封入するガス圧力及びその時間を制御することを特徴とするドライエッチング装置
In the dry etching apparatus according to any one of claims 1 to 7,
As means for monitoring the temperature of the substrate to be processed, the electrode on which the substrate to be processed is provided has a non-contact thermometer or a contact thermometer, and the radical amount in the plasma and the non-contact thermometer or the contact thermometer A dry etching apparatus characterized by controlling a gas pressure sealed between a substrate to be processed and an electrode on which the substrate to be processed and a time thereof are controlled according to the measured substrate temperature.
請求項1から7のいずれか1項に記載のドライエッチング装置において、プラズマを
形成するための高周波電力、被処理基板に印加する高周波電力、被処理基板を設置する電
極構造、該電極の冷却機構の内、少なくとも一つ以上の項目を考慮して処理開始からの被
処理基板温度の時間依存性を計算によって予想し、所望の被処理基板温度の時間依存性と
なるように、被処理基板と被処理基板を設置する電極の間に封入するガス圧力及びその時
間を制御することを特徴とするドライエッチング装置。
8. The dry etching apparatus according to claim 1, wherein a high-frequency power for forming plasma, a high-frequency power applied to a substrate to be processed, an electrode structure for installing the substrate to be processed, and a cooling mechanism for the electrode In consideration of at least one or more items, the time dependency of the temperature of the substrate to be processed from the start of the process is predicted by calculation. A dry etching apparatus characterized by controlling a gas pressure sealed between electrodes on which a substrate to be processed is placed and a time thereof.
JP2010247299A 2004-06-23 2010-11-04 Dry etching equipment Expired - Fee Related JP5411105B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2010247299A JP5411105B2 (en) 2004-06-23 2010-11-04 Dry etching equipment

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2004184402 2004-06-23
JP2004184402 2004-06-23
JP2010247299A JP5411105B2 (en) 2004-06-23 2010-11-04 Dry etching equipment

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2005030682A Division JP4723871B2 (en) 2004-06-23 2005-02-07 Dry etching equipment

Publications (2)

Publication Number Publication Date
JP2011023766A true JP2011023766A (en) 2011-02-03
JP5411105B2 JP5411105B2 (en) 2014-02-12

Family

ID=43633498

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010247299A Expired - Fee Related JP5411105B2 (en) 2004-06-23 2010-11-04 Dry etching equipment

Country Status (1)

Country Link
JP (1) JP5411105B2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017139372A (en) * 2016-02-04 2017-08-10 パナソニックIpマネジメント株式会社 Element chip manufacturing method and element chip
JP2021048157A (en) * 2019-09-17 2021-03-25 東京エレクトロン株式会社 Method for etching silicon oxide film and plasma processing apparatus

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04360528A (en) * 1991-06-07 1992-12-14 Hitachi Ltd Plasma process equipment
JPH0964159A (en) * 1995-08-18 1997-03-07 Hitachi Ltd Plasma processing method and apparatus
WO1999011103A1 (en) * 1997-08-22 1999-03-04 Tokyo Electron Limited Method for controlling plasma processor
JP2003520431A (en) * 2000-01-13 2003-07-02 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ Method for performing plasma warm-up on a semiconductor wafer
JP2003229411A (en) * 2002-02-01 2003-08-15 Toshiba Corp Manufacturing method of thin film transistor

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04360528A (en) * 1991-06-07 1992-12-14 Hitachi Ltd Plasma process equipment
JPH0964159A (en) * 1995-08-18 1997-03-07 Hitachi Ltd Plasma processing method and apparatus
WO1999011103A1 (en) * 1997-08-22 1999-03-04 Tokyo Electron Limited Method for controlling plasma processor
JP2003520431A (en) * 2000-01-13 2003-07-02 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ Method for performing plasma warm-up on a semiconductor wafer
JP2003229411A (en) * 2002-02-01 2003-08-15 Toshiba Corp Manufacturing method of thin film transistor

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017139372A (en) * 2016-02-04 2017-08-10 パナソニックIpマネジメント株式会社 Element chip manufacturing method and element chip
JP2021048157A (en) * 2019-09-17 2021-03-25 東京エレクトロン株式会社 Method for etching silicon oxide film and plasma processing apparatus
JP7308110B2 (en) 2019-09-17 2023-07-13 東京エレクトロン株式会社 METHOD AND PLASMA PROCESSING APPARATUS FOR ETCHING SILICON OXIDE FILM

Also Published As

Publication number Publication date
JP5411105B2 (en) 2014-02-12

Similar Documents

Publication Publication Date Title
JP4723871B2 (en) Dry etching equipment
US8809199B2 (en) Method of etching features in silicon nitride films
US6617257B2 (en) Method of plasma etching organic antireflective coating
KR101083211B1 (en) Methods for etching a dielectric barrier layer with high selectivity
US7344993B2 (en) Low-pressure removal of photoresist and etch residue
KR102185347B1 (en) Pulsed dielectric etch process for in-situ metal hard mask shape control to enable void-free metallization
US7700494B2 (en) Low-pressure removal of photoresist and etch residue
US8668835B1 (en) Method of etching self-aligned vias and trenches in a multi-layer film stack
US7637269B1 (en) Low damage method for ashing a substrate using CO2/CO-based process
JP4558296B2 (en) Plasma ashing method
JP2011049360A (en) Plasma etching method
KR100801253B1 (en) Insulating film dry etching method
JP4599212B2 (en) Plasma processing method
JP4827567B2 (en) Plasma etching method and computer-readable storage medium
KR100794693B1 (en) Etching processing method
JP5411105B2 (en) Dry etching equipment
US20090156012A1 (en) Method for fabricating low k dielectric dual damascene structures
JP4577328B2 (en) Manufacturing method of semiconductor device
US7585778B2 (en) Method of etching an organic low-k dielectric material
US6153849A (en) Method and apparatus for preventing etch rate drop after machine idle in plasma etch chamber
US20070218699A1 (en) Plasma etching method and computer-readable storage medium
JP7467708B2 (en) Substrate Processing Equipment
US20080203056A1 (en) Methods for etching high aspect ratio features
JP2003234328A (en) Etching method
JP2010153880A (en) Plasma processing apparatus

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20101116

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130220

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130226

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130410

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20131029

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20131107

LAPS Cancellation because of no payment of annual fees