JP2010510646A - CMOS imager array with recessed dielectric and method for manufacturing the same - Google Patents

CMOS imager array with recessed dielectric and method for manufacturing the same Download PDF

Info

Publication number
JP2010510646A
JP2010510646A JP2009536692A JP2009536692A JP2010510646A JP 2010510646 A JP2010510646 A JP 2010510646A JP 2009536692 A JP2009536692 A JP 2009536692A JP 2009536692 A JP2009536692 A JP 2009536692A JP 2010510646 A JP2010510646 A JP 2010510646A
Authority
JP
Japan
Prior art keywords
array
region
interlayer dielectric
imager
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2009536692A
Other languages
Japanese (ja)
Other versions
JP5340950B2 (en
Inventor
ガムビーノ、ジェフリー、ピーター
アドキッソン、ジェームズ・ウィリアム
スプロジス、エドマンド、ジュリス
ラッセル、リチャード、ジョン
ライディ、ロバート、ケネス
ジャッフェ、マーク、デービッド
ルース、ステファン、エリンウッド
ヘ、チョンシャン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2010510646A publication Critical patent/JP2010510646A/en
Application granted granted Critical
Publication of JP5340950B2 publication Critical patent/JP5340950B2/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • H01L27/14687Wafer level processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14618Containers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14625Optical elements or arrangements associated with the device
    • H01L27/14627Microlenses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14632Wafer-level processed structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02377Fan-in arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05005Structure
    • H01L2224/05008Bonding area integrally formed with a redistribution layer on the semiconductor or solid-state body, e.g.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05117Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/05124Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05541Structure
    • H01L2224/05548Bonding area integrally formed with a redistribution layer on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05569Disposition the external layer being disposed on a redistribution layer on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05647Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1462Coatings
    • H01L27/14621Colour filter arrangements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • H01L27/14685Process for coatings or optical elements

Abstract

【課題】 CMOS画像センサ・アレイ及び製造の方法を提供すること。
【解決手段】 CMOSイメージャ・センサ・アレイは、基板と、基板の上に形成された受光画素構造体のアレイであって、アレイがその中に形成された「m」レベルの導電性構造体を有し、各レベルが対応する層間誘電体材料層内に形成される、アレイと、受光画素構造体のアレイに隣接して形成され、「n」レベルの導電性構造体を有する高密度論理配線領域であって、各レベルが対応する層間誘電体材料層内に形成され、n>mである、高密度配線領域とを含む。マイクロレンズとカラー・フィルタとを有するマイクロレンズ・アレイが層間誘電体材料層の上方に形成され、マイクロレンズ及びそれぞれのカラー・フィルタは、基板の表面に形成されたそれぞれの受光構造体に対して位置合わせされる。マイクロレンズ・アレイの下の層間誘電体材料層の上面は、高密度論理配線領域の層間誘電体材料層の上面よりも凹んでいる。
【選択図】 図3
PROBLEM TO BE SOLVED: To provide a CMOS image sensor array and a manufacturing method.
A CMOS imager sensor array includes a substrate and an array of light-receiving pixel structures formed on the substrate, the “m” level conductive structure having the array formed therein. A high density logic interconnect having an "n" level conductive structure formed adjacent to the array of light receiving pixel structures and an array, each level formed in a corresponding interlayer dielectric material layer Regions, each level being formed in a corresponding interlayer dielectric material layer, and n> m, high density interconnect regions. A microlens array having a microlens and a color filter is formed above the interlayer dielectric material layer, and the microlens and each color filter are for each light receiving structure formed on the surface of the substrate. Aligned. The upper surface of the interlayer dielectric material layer under the microlens array is recessed from the upper surface of the interlayer dielectric material layer in the high density logic wiring region.
[Selection] Figure 3

Description

本発明は、半導体光学画像センサに関し、特に、陥凹した誘電体を有し、それにより低減された反射率及び高められた感光性を示す新規なCMOS(相補型金属酸化膜半導体)画像センサ・アレイ構造体、及びこのような画像センサ・アレイを製造するプロセスに関する。   The present invention relates to semiconductor optical image sensors, and more particularly to a novel CMOS (complementary metal oxide semiconductor) image sensor having a recessed dielectric, thereby exhibiting reduced reflectivity and increased photosensitivity. The present invention relates to an array structure and a process for manufacturing such an image sensor array.

CMOS画像センサは、デジタルカメラ、携帯電話、PDA(携帯情報端末)、パーソナル・コンピュータなどのような撮像を必要とする用途のための従来のCCDセンサを置き換え始めている。有利なことに、CMOS画像センサは、フォトダイオードなどのような半導体デバイスのための現在のCMOS製造プロセスを応用して、低コストで製造される。その上、CMOS画像センサは単一の電源で動作させることができ、そのための電力消費をCCDセンサのそれよりも低く抑えることができ、さらに、CMOS論理回路及び同様の論理処理デバイスはセンサ・チップ内に容易に集積されるので、CMOS画像センサを小型化することができる。   CMOS image sensors are beginning to replace conventional CCD sensors for applications that require imaging, such as digital cameras, cell phones, PDAs (personal digital assistants), personal computers, and the like. Advantageously, CMOS image sensors are manufactured at low cost by applying current CMOS manufacturing processes for semiconductor devices such as photodiodes. In addition, the CMOS image sensor can be operated with a single power supply, thereby reducing power consumption below that of a CCD sensor, and further, CMOS logic circuits and similar logic processing devices are sensor chips. The CMOS image sensor can be miniaturized because it is easily integrated into the CMOS image sensor.

現在のCMOS画像センサは、光エネルギーを収集して、それを可読電気信号に変換するために使用される、CMOS能動画素センサ(Active Pixel Sensor(APS))セルのアレイを含む。図1は、従来技術によるCMOS能動画素センサ(APS)セル20のアレイ10を示す。図示されるように、アレイ10は複数のマイクロレンズ22を含み、その各々は半球状の形状を有し、平滑な平坦化層27、例えばスピン・オン・ポリマの上に配置され、この平坦化層はカラー・フィルタ・アレイ13の上に形成され、マイクロレンズ・アレイの形成を可能にする。カラー・フィルタ・アレイ13は、独立した赤、緑及び青のフィルタ素子25(原色フィルタ)、又は代替的に、シアン、マゼンタ及びイエローのフィルタ素子(補色フィルタ)を含む。イメージャ画素アレイ10の各マイクロレンズ22は、対応するカラー・フィルタ素子25と位置合わせされ、画素20の上部受光部を構成する。画素20は、光エネルギー14を収集してそれを可読電気信号に変換するために用いられる、半導体基板15上に作られたAPSセル部を含む。APSセル部はさらに、感光性素子、例えば、光電変換を実行するフォトダイオードのような光検知(photosensing)デバイス18と、電荷増幅、スイッチング及び読み出しを実行する1つ又は複数のCMOSトランジスタ(図示せず)とを含む。画素20の各々は、半導体基板15上に形成された光電変換素子(例えば、フォトダイオード)によって信号電流に変換された、各画素によって受光された光の強度に対応する信号電荷を生成する。動作において、フォトダイオード、フォトゲート又は光導電体といった光検知デバイス18は、その下にある部分に光生成電荷を蓄積するための、基板のドープされた領域の上に重なる。読み出し回路が各画素セルに接続され、読み出し時に感光素子から電荷を受け取るための浮遊拡散領域を含むことが多い。典型的には、これは、浮遊拡散領域に電気的に接続されたゲートを有するトランジスタデバイス、例えば、ソースフォロア・トランジスタ回路によって達成される。このイメージャはまた、感光素子から表面チャネルを横切って浮遊拡散領域に電荷を転送させるための転送ゲートを有するトランジスタと、電荷の転送に先だって浮遊拡散領域を所定の電荷レベルにリセットするためのトランジスタとを含むことができる。   Current CMOS image sensors include an array of CMOS active pixel sensor (APS) cells that are used to collect light energy and convert it into readable electrical signals. FIG. 1 shows an array 10 of CMOS active pixel sensor (APS) cells 20 according to the prior art. As shown, the array 10 includes a plurality of microlenses 22, each of which has a hemispherical shape and is disposed on a smooth planarization layer 27, such as a spin-on polymer, for this planarization. A layer is formed on the color filter array 13 to enable the formation of a microlens array. The color filter array 13 includes independent red, green and blue filter elements 25 (primary color filters), or alternatively, cyan, magenta and yellow filter elements (complementary color filters). Each microlens 22 of the imager pixel array 10 is aligned with a corresponding color filter element 25 and constitutes an upper light receiving portion of the pixel 20. Pixel 20 includes an APS cell portion made on semiconductor substrate 15 that is used to collect light energy 14 and convert it into a readable electrical signal. The APS cell portion further comprises a photosensitive element, eg, a photosensing device 18 such as a photodiode that performs photoelectric conversion, and one or more CMOS transistors (not shown) that perform charge amplification, switching and readout. Z). Each of the pixels 20 generates a signal charge corresponding to the intensity of light received by each pixel, which is converted into a signal current by a photoelectric conversion element (for example, a photodiode) formed on the semiconductor substrate 15. In operation, a light sensing device 18, such as a photodiode, photogate, or photoconductor, overlies a doped region of the substrate for storing photogenerated charge in the underlying portion. A readout circuit is connected to each pixel cell and often includes a floating diffusion region for receiving charges from the photosensitive element during readout. Typically this is accomplished by a transistor device having a gate electrically connected to the floating diffusion region, eg, a source follower transistor circuit. The imager also includes a transistor having a transfer gate for transferring charge from the photosensitive element across the surface channel to the floating diffusion region, and a transistor for resetting the floating diffusion region to a predetermined charge level prior to charge transfer. Can be included.

上述のAPSセル部は、メタライゼーション相互接続レベルM1、M2のアルミニウム(Al)又は銅(Cu)配線層35a、35bを組み込んだ有機又は無機の層間誘電体(ILD)材料を各々が含む、1つ又は複数の層間誘電体材料層30a−30cを含むスタックの下の半導体基板15の上に製造される。層間誘電体材料は、例えば、ポリマー又はSiOを含むことができる。Alメタライゼーション相互接続層35a、35bは、パッシベーションを必要としないので、それぞれのバリア層は図示されていない。メタライゼーション相互接続レベルM1、M2は、より薄い層間誘電体スタックを可能にする銅(Cu)導体を含むことができることが理解されるが、それは、銅のこれらのメタライゼーションレベルは、画素セルの光路においてそれぞれ極薄のバリア層を有するか、又は代替的に、画素セルの光路から除去されることによって、画素セルの感光性を高めるからである。 The APS cell portions described above each include an organic or inorganic interlayer dielectric (ILD) material that incorporates aluminum (Al) or copper (Cu) wiring layers 35a, 35b of metallization interconnect levels M1, M2. Manufactured on the semiconductor substrate 15 below the stack including one or more interlayer dielectric material layers 30a-30c. Interlayer dielectric material, for example, may comprise a polymer or SiO 2. Since the Al metallization interconnect layers 35a, 35b do not require passivation, the respective barrier layers are not shown. It is understood that the metallization interconnect levels M1, M2 can include copper (Cu) conductors that allow for thinner interlayer dielectric stacks, which means that these metallization levels of copper are This is because each of the optical paths has a very thin barrier layer or, alternatively, is removed from the optical path of the pixel cell, thereby increasing the photosensitivity of the pixel cell.

導電性メタライゼーション35a、bを有する各APSセル20はさらに、各画素20間でM1及びM2メタライゼーションに対するワイヤ・ボンディングを可能にする最終金属レベル36(例えば、Al)を含む。最終パッシベーション層29がワイヤ・ボンディング・レベル36の上に形成され、これは、SiN、SiO、又はそれらの組み合わせを含むことができる。 Each APS cell 20 having conductive metallization 35a, b further includes a final metal level 36 (eg, Al) that allows wire bonding between each pixel 20 for M1 and M2 metallization. A final passivation layer 29 is formed over the wire bonding level 36, which can include SiN, SiO 2 , or combinations thereof.

図2は、断面図によって図1のような従来技術のCMOS画像センサ10’を示すが、接合された上部ガラス・プレート構造体11とチップ・リード構造体89とを含むウェハ・パッケージ・レベルで示されている。図2において、マイクロレンズとカラー・フィルタとを含む画素のアレイ構造体20が、形成された論理搬送導体(logic carrying conductor)、すなわち銅メタライゼーションレベルM1、M2に対して示されており、これは、チップ内部の画素(CMOS)処理回路と接続し、さらに、ボンド・パッド構造体88と接続することによってパッケージ・リード構造体89を介してチップの外側の回路と接続する。   FIG. 2 shows a prior art CMOS image sensor 10 ′ as in FIG. 1 in cross-section, but at the wafer package level that includes a bonded upper glass plate structure 11 and a chip lead structure 89. It is shown. In FIG. 2, an array structure 20 of pixels including microlenses and color filters is shown for the formed logic carrying conductors, ie copper metallization levels M1, M2. Is connected to a pixel (CMOS) processing circuit inside the chip, and further connected to a circuit outside the chip via a package lead structure 89 by connecting to a bond pad structure 88.

Juergen Leib他、「NewWafer-Level-Packaging Technology using Silicon-Via-Contacts For Optical AndOther Sensor Applications」、Electronic Components andTechnology Conference、2004年、p.843−847Juergen Leib et al., “New Wafer-Level-Packaging Technology using Silicon-Via-Contacts For Optical And Other Sensor Applications”, Electronic Components and Technology Conference, 2004, p. 843-847 I.Schweky、「CSP Optoelectronic package for Imaging and Light DetectionApplications」、Proceedings of SPIE-The InternationalSociety for Optical Engineering、1998年、第3582巻、p.876−881I. Schweky, “CSP Optoelectronic package for Imaging and Light Detection Applications”, Proceedings of SPIE-The International Society for Optical Engineering, 1998, 3582, p. 875-881

従って、CMOSイメージャは、論理チップと共に集積されることによってシステム内で必要とされるチップの数を減少させることの可能性を提示することが明らかである。しかしながら、高密度の論理回路の配線のための要求条件はイメージャのそれとは異なる。高密度論理回路は、多数の金属のレベル(典型的には、>4)を必要とし、一方、CMOS画像センサは、光学応答を最大にするために、最小の数の金属のレベル(典型的には、<4)を必要とする。従って、CMOSイメージャ・チップ上のイメージャ・アレイ及び論理回路の両方において配線を同時に最適化するプロセスが必要とされる。   Thus, it is clear that CMOS imagers offer the possibility of reducing the number of chips required in the system by being integrated with logic chips. However, the requirements for wiring high density logic circuits are different from those of imagers. High density logic circuits require a large number of metal levels (typically> 4), while CMOS image sensors require a minimum number of metal levels (typically to maximize optical response). Requires <4). Therefore, there is a need for a process that simultaneously optimizes wiring in both imager arrays and logic circuits on a CMOS imager chip.

本発明は、より高密度のセンサの論理配線領域と比べて、画素アレイ領域においてより薄い画素アレイ・センサ部を有するCMOS画像センサに向けられる。これは、高密度のレイアウトを提供するのに必要なだけ多くの金属レベルを論理回路が有することを可能する一方でなお、イメージャ・アレイが薄い誘電体スタックを有することを可能にする。   The present invention is directed to a CMOS image sensor having a thinner pixel array sensor portion in the pixel array region compared to the logic wiring region of a higher density sensor. This allows the logic circuit to have as many metal levels as necessary to provide a high density layout, while still allowing the imager array to have a thin dielectric stack.

従って、画素アレイ領域に隣接して形成される、CMOSイメージャ・デバイスのための高密度配線論理領域の対応する金属レベル及び層間誘電体レベルの高さは、画素アレイ領域のメタライゼーションレベル及び層間誘電体レベルの高さよりも高い。   Accordingly, the corresponding metal level and interlayer dielectric level height of the high density interconnect logic region for the CMOS imager device formed adjacent to the pixel array region is determined by the metallization level and interlayer dielectric level of the pixel array region. It is higher than the height of the body level.

すなわち、1つの実施形態において、マイクロレンズ・アレイの下の層間誘電体材料層の上面は、高密度論理配線領域の層間誘電体材料層の上面よりも凹んでいる。   That is, in one embodiment, the top surface of the interlayer dielectric material layer under the microlens array is recessed relative to the top surface of the interlayer dielectric material layer in the high density logic interconnect region.

いくつかの設計において、CMOSイメージャ・デバイスのための高密度論理配線領域の金属レベルの対応する高さは、イメージャ・セル・アレイの高さよりも30%高くすることができる。   In some designs, the corresponding metal level height of the high density logic interconnect area for CMOS imager devices can be 30% higher than the height of the imager cell array.

チップの高密度配線論理領域と比べて画素アレイ領域においてより薄い膜スタックを有するCMOS画像センサを製造する方法は、感光性が高められた画素アレイをもたらす。   A method of manufacturing a CMOS image sensor having a thinner film stack in the pixel array region compared to the high-density wiring logic region of the chip results in a pixel array with increased photosensitivity.

従って、本発明の1つの態様によれば、CMOSイメージャ・センサ・アレイ及び製造方法が提供される。CMOSイメージャ・センサ・アレイは、基板と、基板上に形成された受光画素構造体のアレイであって、アレイがその中に形成された「m」レベルの導電性構造体を有し、各レベルが対応する層間誘電体材料層内に形成される、アレイと、受光画素構造体のアレイに隣接して形成され、「n」レベルの導電性構造体を有する高密度論理配線領域であって、各レベルが対応する層間誘電体材料層内に形成され、n>mである、高密度論理配線領域とを含む。   Thus, according to one aspect of the present invention, a CMOS imager sensor array and manufacturing method are provided. A CMOS imager sensor array is a substrate and an array of light-receiving pixel structures formed on the substrate, each array having an “m” level conductive structure formed therein. A high density logic interconnect region formed adjacent to the array of light receiving pixel structures and having an "n" level conductive structure formed in a corresponding interlayer dielectric material layer, Each level is formed in a corresponding interlayer dielectric material layer and includes n> m high density logic interconnect regions.

マイクロレンズとカラー・フィルタとを有するマイクロレンズ・アレイが層間誘電体材料層の上方に形成され、マイクロレンズ及びそれぞれのカラー・フィルタは、基板の表面に形成されたそれぞれの受光構造体に対して位置合わせされる。   A microlens array having a microlens and a color filter is formed above the interlayer dielectric material layer, and the microlens and each color filter are for each light receiving structure formed on the surface of the substrate. Aligned.

イメージャ・アレイの1つの例示的な実施形態において、m=2かつn≧4である。   In one exemplary embodiment of the imager array, m = 2 and n ≧ 4.

イメージャ・アレイの別の例示的な実施形態において、m≦4かつn≧4である。   In another exemplary embodiment of the imager array, m ≦ 4 and n ≧ 4.

本発明のさらなる態様によれば、画素の画像センサ・アレイを製造する方法が提供され、この方法は、半導体基板内に複数の感光性素子を形成して、センサ・アレイの画素アレイ領域を形成するステップと、センサ・アレイの画素アレイ領域及びセンサ・アレイの隣接する高密度配線論理領域の両方において、基板上に「m」個の層間誘電体材料層のスタックを形成するステップであって、「m」個の層間誘電体材料層の各々が、センサ・アレイの画素アレイ領域及びセンサ・アレイの隣接する高密度配線論理領域の両方において、導体構造体を含むそれぞれの金属相互接続レベルを含む、ステップと、画素アレイ領域及び高密度論理配線領域の両方の上で「m」個の層間誘電体材料層上に付加的な層間誘電体層のスタックを形成するステップであって、隣接する高密度論理配線領域内に形成される付加的な層間誘電体層のスタックが、隣接する高密度論理配線領域内にのみ形成される付加的な金属レベル導体構造体を含むそれぞれの付加的な金属相互接続レベルを含み、隣接する高密度論理配線領域内において、導電性構造体を有する合計で「n」個の金属相互接続レベルが形成され、n>mである、ステップと、画素アレイ領域の上に形成された付加的な層間誘電体層の部分を除去し、それによって画素アレイ領域内の付加的な層間誘電体層の表面が高密度論理配線領域の付加的な層間誘電体材料層の上面よりも凹むようにされるステップと、画素アレイ領域内の層間誘電体材料層の凹部表面の上にマイクロレンズとカラー・フィルタとを有するマイクロレンズ・アレイを形成し、マイクロレンズ及びそれぞれのカラー・フィルタがそれぞれの感光性素子に対して位置合わせされるステップと、を含む。   In accordance with a further aspect of the present invention, a method of manufacturing an image sensor array of pixels is provided, the method forming a plurality of photosensitive elements in a semiconductor substrate to form a pixel array region of the sensor array. Forming a stack of “m” interlayer dielectric material layers on a substrate in both the pixel array region of the sensor array and the adjacent high density interconnect logic region of the sensor array, comprising: Each of the “m” interlayer dielectric material layers includes a respective metal interconnect level that includes a conductor structure in both the pixel array region of the sensor array and the adjacent high density interconnect logic region of the sensor array. And a step of forming a stack of additional interlayer dielectric layers on the “m” interlayer dielectric material layer on both the pixel array region and the high density logic wiring region. A stack of additional interlayer dielectric layers formed in adjacent high density logic interconnect regions includes additional metal level conductor structures formed only in adjacent high density logic interconnect regions. A total of “n” metal interconnect levels with conductive structures are formed in adjacent high density logic interconnect regions, including each additional metal interconnect level, where n> m And removing a portion of the additional interlayer dielectric layer formed on the pixel array region, whereby the surface of the additional interlayer dielectric layer in the pixel array region is added to the high-density logic wiring region. Forming a microlens array having a step recessed from the upper surface of the interlayer dielectric material layer and a microlens and a color filter on the concave surface of the interlayer dielectric material layer in the pixel array region; , Comprising the steps of microlenses and each color filter is aligned with respect to each of the photosensitive element.

有利なことに、本発明の方法に従って形成される画素の画像センサ・アレイは、Schottパッケージ構成及びShellcaseパッケージ構成の両方において従来のウェハレベルのパッケージングに容易に適合する。   Advantageously, the pixel image sensor array formed according to the method of the present invention is readily compatible with conventional wafer level packaging in both the Schott and Shellcase package configurations.

本発明の目的、特徴及び利点は、添付の図面と併せて以下の詳細な説明を考慮して、当業者に明らかとなるであろう。   Objects, features and advantages of the present invention will become apparent to those skilled in the art in view of the following detailed description in conjunction with the accompanying drawings.

従来技術によるCMOS画像センサ画素アレイ10を示す。1 illustrates a CMOS image sensor pixel array 10 according to the prior art. 断面図によって図1のような従来技術のCMOS画像センサ10’を示すが、上部ガラス筐体とチップ・リード構造体とを含むウェハ・パッケージ・レベルで示される。A cross-sectional view of a prior art CMOS image sensor 10 'as in FIG. 1 is shown at the wafer package level including an upper glass housing and a chip lead structure. 断面図によって本発明による陥凹した誘電体及び上部ガラス筐体を有するCMOS画像センサ・アレイ100を例示する。The cross-sectional view illustrates a CMOS image sensor array 100 having a recessed dielectric and an upper glass housing according to the present invention. 断面図によって本発明による陥凹した誘電体及び上部ガラス筐体を有するCMOS画像センサ・アレイ100を製造するための方法を示す。A cross-sectional view illustrates a method for manufacturing a CMOS image sensor array 100 having a recessed dielectric and an upper glass housing according to the present invention. 断面図によって本発明による陥凹した誘電体及び上部ガラス筐体を有するCMOS画像センサ・アレイ100を製造するための方法を示す。A cross-sectional view illustrates a method for manufacturing a CMOS image sensor array 100 having a recessed dielectric and an upper glass housing according to the present invention. 断面図によって本発明による陥凹した誘電体及び上部ガラス筐体を有するCMOS画像センサ・アレイ100を製造するための方法を示す。A cross-sectional view illustrates a method for manufacturing a CMOS image sensor array 100 having a recessed dielectric and an upper glass housing according to the present invention. 断面図によって本発明による陥凹した誘電体及び上部ガラス筐体を有するCMOS画像センサ・アレイ100を製造するための方法を示す。A cross-sectional view illustrates a method for manufacturing a CMOS image sensor array 100 having a recessed dielectric and an upper glass housing according to the present invention. 断面図によって本発明による陥凹した誘電体及び上部ガラス筐体を有するCMOS画像センサ・アレイ100を製造するための方法を示す。A cross-sectional view illustrates a method for manufacturing a CMOS image sensor array 100 having a recessed dielectric and an upper glass housing according to the present invention. 断面図によって本発明による陥凹した誘電体及び上部ガラス筐体を有するCMOS画像センサ・アレイ100を製造するための方法を示す。A cross-sectional view illustrates a method for manufacturing a CMOS image sensor array 100 having a recessed dielectric and an upper glass housing according to the present invention. 断面図を通して本発明による陥凹した誘電体及び上部ガラス筐体を有するCMOS画像センサ・アレイ100を製造するための方法の代替的なプロセスフローを示す。FIG. 6 illustrates an alternative process flow of a method for manufacturing a CMOS image sensor array 100 having a recessed dielectric and top glass housing according to the present invention through a cross-sectional view. 断面図を通して本発明による陥凹した誘電体及び上部ガラス筐体を有するCMOS画像センサ・アレイ100を製造するための方法の代替的なプロセスフローを示す。FIG. 6 illustrates an alternative process flow of a method for manufacturing a CMOS image sensor array 100 having a recessed dielectric and top glass housing according to the present invention through a cross-sectional view. ボンド・パッド表面に接合されたワイヤリードのパッケージングを示すCMOS画像センサ・アレイの代替的な実施形態を示す。Fig. 4 illustrates an alternative embodiment of a CMOS image sensor array showing the packaging of wire leads bonded to the bond pad surface. 断面図によってSchottパッケージ構成における画像センサ・アレイのパッケージングを示す。The cross-sectional view shows packaging of the image sensor array in a Schott package configuration. 断面図によってShellcaseパッケージ構成における画像センサ・アレイのパッケージングを示す。The cross-sectional view shows the packaging of the image sensor array in the Shellcase package configuration.

以下の説明において、本発明の完全な理解を提供するために、特定の構造体、構成要素、材料、寸法、処理ステップ及び技術のような多数の具体的な詳細が説明される。しかしながら、これらの具体的な詳細なしで本発明を実施できることが当業者には理解されるであろう。他の例において、本発明を曖昧にするのを避けるために、周知の構造体又は処理ステップは詳細には説明されない。   In the following description, numerous specific details are set forth, such as particular structures, components, materials, dimensions, processing steps, and techniques, in order to provide a thorough understanding of the present invention. However, it will be understood by one skilled in the art that the present invention may be practiced without these specific details. In other instances, well-known structures or processing steps have not been described in detail in order to avoid obscuring the present invention.

図3は、断面図によって、本発明の第1の実施形態による陥凹した誘電体を有するCMOS画像センサ・チップ100を示す。この実施形態において、CMOS画像アレイ100は、その中にカラー・フィルタ及び対応するマイクロレンズ構造体のアレイが形成される陥凹した誘電体構造体101を含む。凹部101は、特に、ガラス・プレート120をCMOSセンサ・アレイ・チップに接合するプロセスの間、レンズを保護する。本質的に、標準的なデバイス及び配線が製作されるが、しかしながら、イメージャ・アレイ100のマイクロレンズ構造体の上には上部配線層は形成されない。従って、後ほど説明されるように、アレイ10は、ビアによって相互接続された複数の金属配線層M1−M5を含み、これは、例えば、少なくとも5つの(M1−M5)メタライゼーションレベルが作られた周辺回路の高密度配線領域98において形成される。これは、2つまでのメタライゼーションレベル(M1−M2)及び層間誘電体しか形成されない、イメージャ・チップの高密度配線領域98の間に形成された能動画素領域97と比較される。高密度配線領域98において金属レベル構造体M1−M5を相互接続する金属構造体及びビア構造体を形成するために、従来のダマシン又はサブトラクティブ・エッチング技術を用いることができることが注目される。   FIG. 3 shows a cross-sectional view of a CMOS image sensor chip 100 having a recessed dielectric according to the first embodiment of the present invention. In this embodiment, the CMOS image array 100 includes a recessed dielectric structure 101 in which an array of color filters and corresponding microlens structures are formed. The recess 101 protects the lens, particularly during the process of bonding the glass plate 120 to the CMOS sensor array chip. In essence, standard devices and wiring are fabricated, however, no upper wiring layer is formed over the microlens structure of the imager array 100. Thus, as will be described later, the array 10 includes a plurality of metal wiring layers M1-M5 interconnected by vias, which were created, for example, at least five (M1-M5) metallization levels. It is formed in the high-density wiring region 98 of the peripheral circuit. This is compared to an active pixel area 97 formed between the high density interconnect areas 98 of the imager chip, where only up to two metallization levels (M1-M2) and interlayer dielectrics are formed. It is noted that conventional damascene or subtractive etching techniques can be used to form metal structures and via structures that interconnect metal level structures M1-M5 in high density interconnect region 98.

図4−図10は、断面図によって、本発明による図3のCMOS画像センサ・アレイ構造体100を製造するためのプロセスを示す。ここで説明されるように、標準的な処理によって、図4に示される中間的なセンサ・スタック構造体200が得られる。特に、基板15上に形成される層間誘電体層130a−130cのより薄いスタックの形成を念頭において、Cuメタライゼーション相互接続M1、M2が形成される。基板15は、例えば、Si、SiGe、SiC、SiGeC、GaAs、InP、InAs及び他のIII−V族化合物半導体、II−V族化合物半導体を含むバルク半導体、又はシリコン・オン・インシュレータ(SOI)、SiC・オン・インシュレータ(SiCOI)又はシリコン・ゲルマニウム・オン・インシュレータ(SGOI)のような積層半導体とすることができる。好ましくは、層間誘電体材料は、スパッタリング、スピン・オン又はPECVDのような多くの周知の技術のいずれかによって堆積することができる、約4.2又はそれより小さい誘電率を有する従来のスピン・オン有機誘電体、スピン・オン無機誘電体又はそれらの組み合わせを含むことができる有機又は無機の層間誘電体(ILD)材料を含有することができる。本発明で使用することができる好適な有機誘電体は、C、O、F及び/又はHを含有する誘電体を含む。本発明で使用することができるいくつかの種類の有機誘電体の例には、芳香族熱硬化性ポリマー樹脂、例えば、DOW Chemical CompanyからSiLK(登録商標)の商品名で販売されている樹脂、HoneywellからFlare(登録商標)の商品名で販売されている樹脂、及び他の供給業者からの販売されている類似の樹脂、並びに他の同様の有機誘電体が含まれるが、それらには限定されない。層間誘電体層として使用される有機誘電体は、多孔質であってもよく又は多孔質でなくてもよいが、k値が小さいので多孔質の有機誘電体層が非常に好ましい。層間誘電体として使用することができる好適な無機誘電体は、典型的にはSi、O及びHを含有し、かつ任意にCを含有し、例えば、プラズマ化学気相成長(CVD)技術によって堆積された、SiO、FSG(フルオロシリケート・ガラス)、SiCOH、SiOCH、炭素ドープ酸化物(CDO)、シリコンオキシカーバイド、有機シリケート・ガラス(OSG)が含まれる。使用することができる無機誘電体のいくつかの種類の例示的な例には、シルセスキオキサンHOSP(Honeywellから販売)、メチルシルセスキオキサン(MSQ)、水素シルセスキオキサン(HSQ)、MSQ−HSQ共重合体、シリコン源としてテトラエチルオルトシリケート(TEOS)又はSiHを用い、かつ酸化剤としてO、NO、NOなどを用いて堆積されたSiO、有機シラン及びいずれか他のSi含有材料が含まれるが、それらには限定されない。考察の目的で、無機誘電体材料をSiOと仮定する。 4-10 illustrate, by cross-sectional views, a process for manufacturing the CMOS image sensor array structure 100 of FIG. As described herein, standard processing results in the intermediate sensor stack structure 200 shown in FIG. In particular, Cu metallization interconnects M1, M2 are formed with the formation of a thinner stack of interlevel dielectric layers 130a-130c formed on substrate 15 in mind. The substrate 15 may be, for example, Si, SiGe, SiC, SiGeC, GaAs, InP, InAs, and other III-V compound semiconductors, bulk semiconductors including II-V compound semiconductors, or silicon-on-insulator (SOI), It can be a laminated semiconductor such as SiC on insulator (SiCOI) or silicon germanium on insulator (SGOI). Preferably, the interlayer dielectric material can be deposited by any of a number of well-known techniques, such as sputtering, spin-on or PECVD, with conventional spin and dielectric constants of about 4.2 or less. Organic or inorganic interlayer dielectric (ILD) materials can be included that can include on-organic dielectrics, spin-on inorganic dielectrics, or combinations thereof. Suitable organic dielectrics that can be used in the present invention include dielectrics containing C, O, F and / or H. Examples of some types of organic dielectrics that can be used in the present invention include aromatic thermosetting polymer resins such as those sold under the trade name SiLK® by DOW Chemical Company, Includes, but is not limited to, resins sold by Honeywell under the trade name Flare®, and similar resins sold by other suppliers, as well as other similar organic dielectrics. . The organic dielectric used as the interlayer dielectric layer may be porous or non-porous, but since the k value is small, a porous organic dielectric layer is very preferable. Suitable inorganic dielectrics that can be used as interlayer dielectrics typically contain Si, O, and H, and optionally contain C, for example, deposited by plasma enhanced chemical vapor deposition (CVD) techniques. SiO 2 , FSG (fluorosilicate glass), SiCOH, SiOCH, carbon doped oxide (CDO), silicon oxycarbide, and organic silicate glass (OSG). Illustrative examples of some types of inorganic dielectrics that can be used include silsesquioxane HOSP (sold by Honeywell), methylsilsesquioxane (MSQ), hydrogen silsesquioxane (HSQ), MSQ-HSQ copolymer, SiO 2 , organosilane and any others deposited using tetraethylorthosilicate (TEOS) or SiH 4 as silicon source and using O 2 , N 2 O, NO etc. as oxidant The Si-containing material is included, but is not limited thereto. For purposes of discussion, assume an inorganic dielectric material and SiO 2.

さらに図4を参照すると、M1層を形成するための方法は、最初に、キャッピング層(図示せず)を含むことができる基板の上にSiO誘電体層130cを、例えば、約2kÅから20kÅまでの範囲、好ましくは3kÅから4kÅまでの範囲の厚さまで堆積することと、そのSiO層130c内に既知のリソグラフィ及びRIE技術を用いてトレンチをパターン形成することと、形成されたトレンチを1つ又は複数の耐火金属、例えばTa、TaN、TiN、TiSiN、W、WCN、Ruのような金属ライナでライニング(内側を覆う)ことを含む。次に、ライニングされたトレンチを銅材料で充填してCuのM1層135bを形成し、次いでこれを公知のCMP技術を用いて研磨する。その後、SiNのようなバリア層(図示せず)を、CuのM1メタライゼーション135bの上に、例えば、約20Åから2kÅまでの範囲、好ましくは100Åと200Åとの間の範囲の厚さまで堆積させることができる。この実施形態において、Cu相互接続の上の窒化物層の厚さは、反射率を最小化するために低減される。SiON、SiC、SiCN、SiCON、SiCO材料などを含むがそれらに限定されない他のバリア層材料を使用することができることが理解される。このプロセスが次のCuのM2メタライゼーション層のために繰り返され、それにより、薄いM2誘電体層130b、例えばSiOが、Cu拡散層の上に、約2kÅから20kÅまでの間の範囲、好ましくは1ミクロンの厚さまで堆積され、次にM2メタライゼーション層が、公知のリソグラフィ及びRIE技術を用いてSiO層130b内にトレンチをパターン形成し、形成されたトレンチを耐火金属のような金属ライナでライニングし、ライニングされたトレンチを銅材料で充填してCuのM2の135a層を形成し、次にこれを公知のCMP技術を用いて研磨することによって形成される。その後、SiNのようなバリア又はCu拡散層(図示せず)を、CuのM2層135aの上に、例えば、約20Åから2kÅまでの範囲の厚さまで堆積させることができる。 Still referring to FIG. 4, the method for forming the M1 layer first includes depositing a SiO 2 dielectric layer 130 c on a substrate that can include a capping layer (not shown), eg, about 2 k 2 to 20 k 2. Up to a thickness in the range of up to 3 k 好 ま し く, preferably in the range of 3 kÅ to 4 kÅ, patterning trenches in the SiO 2 layer 130c using known lithography and RIE techniques, and forming the trenches 1 Lining with metal liners such as one or more refractory metals, eg Ta, TaN, TiN, TiSiN, W, WCN, Ru. Next, the lined trench is filled with a copper material to form a Cu M1 layer 135b, which is then polished using known CMP techniques. A barrier layer (not shown) such as SiN is then deposited over the Cu M1 metallization 135b, for example, to a thickness in the range of about 20 to 2 k, preferably between 100 and 200. be able to. In this embodiment, the thickness of the nitride layer over the Cu interconnect is reduced to minimize reflectivity. It is understood that other barrier layer materials can be used including but not limited to SiON, SiC, SiCN, SiCON, SiCO materials, and the like. This process is repeated for the next Cu M2 metallization layer, so that a thin M2 dielectric layer 130b, eg, SiO 2 , over the Cu diffusion layer is in the range between about 2 kÅ and 20 kÅ, preferably 1 is deposited to a micron thick, then M2 metallization layer, trench pattern formed in the SiO 2 layer 130b using known lithography and RIE techniques, a metal liner such as a refractory metal formed trench And the lined trench is filled with a copper material to form a Cu M2 135a layer, which is then polished using known CMP techniques. Thereafter, a barrier such as SiN or a Cu diffusion layer (not shown) can be deposited over the Cu M2 layer 135a, for example, to a thickness in the range of about 20 to 2 k.

図4に示される実施形態において、反射率を最小にするために、M1拡散バリア及びM2拡散バリアの両方の合計の厚さは約20nm又はそれより小さいことが好ましい。図4に示される実施形態ではさらに、屈折率がSiNの屈折率(1.98)とSiOの屈折率(1.46)との間である材料、例えばSiONの付加的な層を薄いSiNバリア層の上に同等の厚さ(例えば、約20Åから2kÅまで)まで形成して、反射をさらに低減させることができることを理解されたい。この第1の実施形態によれば、Cuメタライゼーション及び対応する薄い層間誘電体層130a−130c、並びに最小限の拡散バリア層(図示せず)を光路内に設けることで、光反射率が最小化され、それにより、より多くの量の光14が画素20の光路を通過してその下にあるフォトダイオード18に達することが可能になる。図示されていないが、代替的な実施形態において、アレイ100の各画素は、感光性素子、例えばフォトダイオードの上の基板内に形成される付加的なSTI分離誘電体領域を含むことができる。この代替的な実施形態において、底部の窒化物キャッピング層は画素の光路から除去される。 In the embodiment shown in FIG. 4, to minimize reflectivity, the total thickness of both the M1 diffusion barrier and the M2 diffusion barrier is preferably about 20 nm or less. In the embodiment shown in FIG. 4 further, an additional layer of material having a refractive index between SiN (1.98) and SiO 2 (1.46), eg SiON, is applied to thin SiN. It should be understood that reflections can be further reduced by forming an equivalent thickness on the barrier layer (eg, from about 20 to 2 k). According to this first embodiment, Cu metallization and corresponding thin interlayer dielectric layers 130a-130c and a minimal diffusion barrier layer (not shown) are provided in the optical path to minimize light reflectance. Thereby allowing a greater amount of light 14 to pass through the optical path of the pixel 20 and reach the underlying photodiode 18. Although not shown, in an alternative embodiment, each pixel of the array 100 can include additional STI isolation dielectric regions formed in a substrate over a photosensitive element, eg, a photodiode. In this alternative embodiment, the bottom nitride capping layer is removed from the optical path of the pixel.

さらなる実施形態において、屈折率のミスマッチの問題を回避するために、バリアSiN層の、画素アレイ光路内に形成された部分を選択的に除去することができる。CMOS画像センサ・アレイ100のこの実施形態において、処理の各レベルにおいて付加的なマスク(レジスト・マスク又はハードマスク)を用いて、各画素の光路の位置でSiNバリア層内に開口部50が作られる。すなわち、それぞれのバリア層の各々が形成された後で、付加的なリソグラフィのパターン形成及びエッチングのステップ(湿式又は乾式エッチング)が実行されて、選択された位置でSiNを開口する。しかしながら、SiN層の選択部分を除去するために、例えばクラスタ・イオン・ビーム・エッチングを用いて、マスクレス・プロセスを実行することができることが理解される。好ましくは、フッ素ベースの乾式エッチング(例えば、CF+酸素プラズマ)であるが、エッチング後の表面の損傷又は粗さ(光の反射又は散乱を引き起こすことがある)を最小にするためには、湿式エッチングが望ましい。湿式エッチングは、SiNのRIE後の希HFによる「平滑化」エッチングを含んでもよく、あるいは、SiOをハードマスクとして用いた、SiNを除去するためのHPOエッチングとすることもできる。このようにして、この実施形態において、層間誘電体層130c、CuのM1メタライゼーション層135b、及び対応するSiNバリア層の堆積を形成するプロセス・ステップが行われ、そして画素アレイの光路内のバリアSiN層の選択的な除去が実行される。次に、これらのプロセス・ステップが繰り返され、次のM2誘電体130b、金属層M2 135a及びM2のCuバリア層が形成される。しかしながら、M1のSiNバリア層がエッチングされた後、その層の表面は完全には平坦ではないことがあり、それが、次に形成されるM2誘電体を損なうことがあり、そして次のM2レベル135aのための次の導体金属、例えばCuのリソグラフィ又は研磨に潜在的に影響を与えることがある。従って、M2レベルのためのILD層130b(例えば、SiO)がパターン形成及び堆積された後、SiO誘電体130bレベルの表面で付加的な研磨ステップが、M2メタライゼーション135aがパターン形成及び形成される前に実行される。 In a further embodiment, the portion of the barrier SiN layer formed in the pixel array optical path can be selectively removed to avoid refractive index mismatch problems. In this embodiment of the CMOS image sensor array 100, an opening 50 is created in the SiN barrier layer at the location of the optical path of each pixel using an additional mask (resist mask or hard mask) at each level of processing. It is done. That is, after each of the respective barrier layers is formed, additional lithographic patterning and etching steps (wet or dry etching) are performed to open the SiN at selected locations. However, it is understood that a maskless process can be performed to remove selected portions of the SiN layer, for example using cluster ion beam etching. Preferably, fluorine-based dry etching (eg, CF 4 + oxygen plasma), but to minimize post-etching surface damage or roughness (which can cause light reflection or scattering) Wet etching is desirable. Wet etching may include “smoothing” etching with dilute HF after RIE of SiN, or it may be H 3 PO 4 etching to remove SiN using SiO 2 as a hard mask. Thus, in this embodiment, process steps are performed to form an interlayer dielectric layer 130c, a Cu M1 metallization layer 135b, and a corresponding SiN barrier layer deposition, and a barrier in the optical path of the pixel array. A selective removal of the SiN layer is performed. These process steps are then repeated to form the next M2 dielectric 130b, metal layer M2 135a, and M2 Cu barrier layer. However, after the M1 SiN barrier layer is etched, the surface of the layer may not be completely flat, which may damage the M2 dielectric that is subsequently formed, and the next M2 level. It may potentially affect the lithography or polishing of the next conductor metal for 135a, such as Cu. Thus, after the ILD layer 130b (eg, SiO 2 ) for the M2 level is patterned and deposited, an additional polishing step is performed on the surface of the SiO 2 dielectric 130b level, and the M2 metallization 135a is patterned and formed. Before it is executed.

引き続き、ILD層130c及び130b並びにメタライゼーションレベルM1、M2の形成に関してここで説明されたステップが繰り返され、M2のCu相互接続拡散層(図示せず)の上に形成されるさらなる層間誘電体層130a及びさらなる対応するメタライゼーションレベルM3、並びに、さらなる層間誘電体層130及びさらなる対応するメタライゼーションレベルM4が得られる。しかしながら、図4に示されるように、M3及びM4メタライゼーション層の各々は、チップの高密度配線論理領域98において形成されるがイメージャ・アレイの定められた能動画素センサの光路間の各画素に存在するM1及びM2メタライゼーションの上には形成されない、M3及びM4導電性構造体140、145をそれぞれ含む。   Subsequently, the steps described herein with respect to the formation of ILD layers 130c and 130b and metallization levels M1, M2 are repeated to form additional interlayer dielectric layers formed over M2 Cu interconnect diffusion layers (not shown). 130a and a further corresponding metallization level M3, as well as a further interlayer dielectric layer 130 and a further corresponding metallization level M4 are obtained. However, as shown in FIG. 4, each of the M3 and M4 metallization layers is formed in the high density interconnect logic region 98 of the chip, but at each pixel between the defined active pixel sensor optical paths of the imager array. It includes M3 and M4 conductive structures 140, 145, respectively, that are not formed over existing M1 and M2 metallizations.

次のステップは、導体150(例えば、アルミニウム導体)を含む第5のメタライゼーションレベルM5の形成を含み、これは、いずれかの論理回路(図示せず)を、外部回路及び/又はチップに接続するために使用されるパッケージ・リードに電気的に結合させることができる。メタライゼーションレベルM3及びM4、並びにそれぞれの相互接続導電性ビアの形成の場合と同様に、メタライゼーションレベルM5の導電性構造体150はチップの高密度配線論理領域98において形成され、イメージャ・アレイ領域97の定められた能動画素センサの光路間の各画素に存在するM1及びM2メタライゼーションの上には直接形成されない。さらに、M5メタライゼーションの導体150を絶縁するために、SiO、SiN又はポリイミドのような1つ又は複数の誘電体材から形成されるパッシベーション層160が最終誘電体レベル130の上に形成される。その後、このパッシベーション層はエッチングされて一対のパッドを形成することになり、そのパッドは、保護カバー、例えば、ガラス・プレートをアレイの上に接合するのに使用される構造体を形成し、典型的には0.5ミクロンから50ミクロンの厚さである。このようにして、図4に示される中間構造体200から考えると、上部配線層、例えば層M3−M5は、メタライゼーションM1、M2のようにはイメージャ・アレイの上に形成されないことが明らかである。 The next step involves forming a fifth metallization level M5 that includes a conductor 150 (eg, an aluminum conductor), which connects any logic circuit (not shown) to an external circuit and / or chip. Can be electrically coupled to the package leads used. Similar to the formation of metallization levels M3 and M4 and their respective interconnecting conductive vias, conductive structure 150 of metallization level M5 is formed in the high density interconnect logic region 98 of the chip, and imager array region. It is not directly formed on the M1 and M2 metallizations present in each pixel between the 97 defined active pixel sensor optical paths. Furthermore, a passivation layer 160 formed from one or more dielectric materials such as SiO 2 , SiN or polyimide is formed over the final dielectric level 130 to insulate the M5 metallization conductor 150. . The passivation layer is then etched to form a pair of pads that form a protective cover, eg, a structure used to bond a glass plate over the array, typically Specifically, the thickness is 0.5 to 50 microns. Thus, considering from the intermediate structure 200 shown in FIG. 4, it is clear that the upper wiring layers, eg, layers M3-M5, are not formed on the imager array like the metallizations M1, M2. is there.

引き続き、図5では、最終のM5金属レベルが処理され、従来のリソグラフィ及びRIEを用いてトレンチ101がイメージャ・アレイ領域97内の層間誘電体層スタック内にエッチングされた後で得られる構造体200’が、断面図によって示される。これは、付加的なマスクを用いて実行することができ、あるいは、最終ビア・プロセスの一部とすることができる。例えば、これは、最終金属レベルM5のパッシベーションを堆積するステップと、ボンド・パッドの上及びアレイの上に開口部を形成するステップと、ボンド・パッドの上のパッシベーションをエッチングし、同時に画素アレイの上に凹部を形成するステップと、残りのレジストを剥離するステップとを含むことができる。特に、パッシベーション層160及び層間誘電体層130は、形成される画像センサ・画素アレイの面積に相応した幅及び長さを有する、すなわち、複数の画素の光路に対して位置合わせされ、その光路の上に配置される、そして後でカラー・フィルタ及びマイクロレンズ・アレイがその上に形成される表面を提供する、トレンチまたは開口部101を形成するように、エッチングされる。図5から、トレンチ開口部101の側壁102a、bは、形成されたメタライゼーションM3−M4から短い距離ではめ込まれ(inset)、パッシベーション層160の縁部に対して自己整合することが分かる。この短いはめ込みは、より高密度の論理回路が領域97内の能動画素セル・アレイに干渉しないように形成される周辺領域98を画定する。より具体的には、形成されたパッシベーション層160の上に次にフォトリソグラフィ・マスクがパターン形成され、その後の単一ステップのエッチング・プロセスにおいて現像及びエッチングされて、複数の画素にわたって広がる領域に対応するトレンチ101が開口される。当該技術分野で公知の湿式又は乾式エッチング・プロセス(例えば、Fベースの乾式エッチング)を用いて、図5に示されるような方式で、上に重なるボンド・パッド層の部分とメタライゼーションレベルM2に対応する第3のILD層130aの上の層間誘電体層130のその部分とを効果的に除去することによって、トレンチ101を作成することができる。図5に示されるように、ILD誘電体は、第3のILD層130aの表面の上の所定の深さまでエッチングされる。RIEエッチングが使用される場合には、当該技術分野で公知のように、標準的な平行板、ダウンストリーム・プラズマ、又は高密度プラズマチャンバを、フッ素源として用いられるペルフルオロカーボン(PFC)及び/又はハイドロフルオロカーボン(HFC)ガスと、希釈ガスとして用いられる酸素、水素、窒素、アルゴンなどと共に用いることができる。湿式エッチングが使用される場合には、当該技術分野で公知のように、ILD材料(例えば、SiO又は同様の酸化物膜)をエッチングするために希フッ化水素酸を用いることができ、SiN又は同様の膜などをエッチングするためにリン酸を用いることができる。図5に示される構造体内に形成される開口部101の画素は、深さが約0.2μm−4.0μmのオーダー、幅が約1mm−10mmのオーダーのものとすることができる。エッチングされた開口部101は、図5では実質的に平行なものとして示されているが、わずかにテーパ状(例えば、底部より広い上部開口部を有する)とすることもできる。 Continuing with FIG. 5, the final M5 metal level is processed and the resulting structure 200 after the trench 101 is etched into the interlevel dielectric layer stack in the imager array region 97 using conventional lithography and RIE. 'Is shown by a cross-sectional view. This can be performed using an additional mask or can be part of the final via process. For example, this may include depositing a final metal level M5 passivation, forming an opening over the bond pad and over the array, and etching the passivation over the bond pad while simultaneously forming the pixel array. Forming a recess on the top and stripping the remaining resist may be included. In particular, the passivation layer 160 and the interlayer dielectric layer 130 have a width and a length corresponding to the area of the image sensor / pixel array to be formed, i.e., aligned with respect to the optical paths of a plurality of pixels. Etched to form trenches or openings 101 that are placed on top and later provide a surface on which color filters and microlens arrays are formed. From FIG. 5, it can be seen that the sidewalls 102a, b of the trench opening 101 are inset a short distance from the formed metallization M3-M4 and are self-aligned to the edge of the passivation layer 160. FIG. This short fit defines a peripheral region 98 that is formed such that higher density logic circuitry does not interfere with the active pixel cell array in region 97. More specifically, a photolithographic mask is then patterned on the formed passivation layer 160 and then developed and etched in a subsequent single-step etching process to accommodate regions that span multiple pixels. A trench 101 is opened. Using a wet or dry etch process known in the art (eg, F-based dry etch), in the manner shown in FIG. 5, the overlying portion of the bond pad layer and metallization level M2 Trench 101 can be created by effectively removing that portion of interlevel dielectric layer 130 over the corresponding third ILD layer 130a. As shown in FIG. 5, the ILD dielectric is etched to a predetermined depth above the surface of the third ILD layer 130a. If RIE etching is used, a standard parallel plate, downstream plasma, or high density plasma chamber may be used as perfluorinated carbon (PFC) and / or as a fluorine source, as is known in the art. It can be used together with hydrofluorocarbon (HFC) gas and oxygen, hydrogen, nitrogen, argon, etc. used as diluent gas. If wet etching is used, dilute hydrofluoric acid can be used to etch ILD material (eg, SiO 2 or similar oxide film), as known in the art, and SiN Alternatively, phosphoric acid can be used to etch a similar film or the like. The pixel of the opening 101 formed in the structure shown in FIG. 5 can have a depth of the order of about 0.2 μm-4.0 μm and a width of the order of about 1 mm-10 mm. The etched opening 101 is shown as being substantially parallel in FIG. 5, but may be slightly tapered (eg, having an upper opening wider than the bottom).

トレンチ101を形成するためのさらに特定の実施において、標準的なデバイス及び配線であって上部配線層がイメージャ・アレイの上に形成されないものを形成するためのプロセスにおいて、図6に示されるように、第3のILDレベル130aの上に形成され、画素アレイ領域97の実質的に全長にわたって延びる、M3エッチング停止層171が形成される。エッチング停止層171は、その下にある層及び/又は構造体に対して機械的な保護を提供するが、それに加えて、例えば、とりわけ化学的及び/又はイオン的な保護を提供する。さらに、層171はまた、例えは、これらには限定されないが、銅、アルミニウム、窒化シリコン、炭化シリコン、酸窒化シリコン、酸化シリコン、二酸化シリコン、炭窒化シリコン、ポリイミドのような有機ポリマー及び/又は他の材料といった材料を含む、1つ又は複数の層を含むことができる。限定のためではなく例示のために、層171は約20から約100ナノメートルの間の厚さまで形成することができる。図6に示されるように、付加的な層間誘電体層130が、メタライゼーションレベルM3に対応するエッチング停止層171の上に形成され、これは、例えば、約50ナノメートルから約500ナノメートルの間まで形成される酸化物ベースの材料を含むことができる。次に、図7に示されるように、公知の技術によって、エッチング停止層171で停止するILD130層のエッチング・プロセス(湿式エッチング又はRIEのような乾式エッチング)が実行される。図7に示されるように、エッチング停止層171を従来のエッチング技術によって除去して、陥凹した誘電体を有する図7に示される構造体200’を得ることができる。エッチング停止部がSiNのような誘電体である場合には、その下にある配線に対して保護を提供するためにこれをスタック内に残すことができる。   In a more specific implementation for forming the trench 101, in a process for forming standard devices and wiring where the top wiring layer is not formed over the imager array, as shown in FIG. An M3 etch stop layer 171 is formed that is formed over the third ILD level 130a and extends substantially the entire length of the pixel array region 97. The etch stop layer 171 provides mechanical protection for the underlying layers and / or structures, but additionally provides, for example, chemical and / or ionic protection, among others. In addition, layer 171 may also be an organic polymer such as, but not limited to, copper, aluminum, silicon nitride, silicon carbide, silicon oxynitride, silicon oxide, silicon dioxide, silicon carbonitride, polyimide, and / or One or more layers can be included, including materials such as other materials. For purposes of illustration and not limitation, layer 171 can be formed to a thickness between about 20 and about 100 nanometers. As shown in FIG. 6, an additional interlayer dielectric layer 130 is formed on the etch stop layer 171 corresponding to the metallization level M3, which may be, for example, from about 50 nanometers to about 500 nanometers. Oxide-based materials that are formed in between can be included. Next, as shown in FIG. 7, an etching process (wet etching or dry etching such as RIE) of the ILD 130 layer that stops at the etching stop layer 171 is performed by a known technique. As shown in FIG. 7, the etch stop layer 171 can be removed by conventional etching techniques to obtain the structure 200 'shown in FIG. 7 having a recessed dielectric. If the etch stop is a dielectric such as SiN, it can be left in the stack to provide protection for the underlying wiring.

図8は、凹部をエッチングし、従来の処理により、その上にX及びY方向に沿って配置されるカラー・フィルタ125及びマイクロレンズ・アレイ122を形成して、画像領域97の上に二次元の画像センサ・アレイを形成した後に得られる構造体を、断面図によって示す。特に、画像センサの各画素は、着色剤材料のカラー・フィルタ125(例えば、RGB(原色フィルタ)、又はシアン、マゼンタ及びイエローのフィルタ(補色フィルタ))を含み、これは、1つの実施形態において、公知の技術を用いて第3のILD層130aの上に形成される、着色顔料を含むアクリルポリマー材料をベースにしたネガ型フォトレジストを含むことができる。この実施形態において、得られたカラー・フィルタ層125の上、及び第3の層間誘電体膜の露出した表面の上に保護膜層を形成して、カラー・フィルタに付随する形状(topography)を平坦化することができる。この平坦化層は、典型的には、レジストのような有機材料であり、0.2μmから2.0μmまでの範囲の厚さを有する。次に、マイクロレンズ・アレイ122のマイクロレンズ構造体が従来の技術によってその上に形成される。各マイクロレンズ構造体は、球状の表面を有し、X及びY方向に沿って規則正しく配置されて、カラー・フィルタと位置合わせされた二次元アレイを形成する。マイクロレンズ構造体122を形成するための従来技術を実行することができ、例えば、シリコン窒化物などのような透明の絶縁材料を形成し、これをエッチングしてマイクロレンズ122を形成する。   FIG. 8 illustrates a two-dimensional overlying image area 97 by etching the recesses and forming a color filter 125 and microlens array 122 disposed along the X and Y directions thereon by conventional processing. The structure obtained after forming the image sensor array of FIG. In particular, each pixel of the image sensor includes a color filter 125 of colorant material (eg, RGB (primary color filter), or cyan, magenta and yellow filters (complementary color filters)), which in one embodiment. A negative photoresist based on an acrylic polymer material containing a colored pigment, formed on the third ILD layer 130a using known techniques, may be included. In this embodiment, a protective film layer is formed on the obtained color filter layer 125 and on the exposed surface of the third interlayer dielectric film so that the topography associated with the color filter is formed. It can be flattened. This planarization layer is typically an organic material such as a resist and has a thickness ranging from 0.2 μm to 2.0 μm. Next, the microlens structure of the microlens array 122 is formed thereon by conventional techniques. Each microlens structure has a spherical surface and is regularly arranged along the X and Y directions to form a two-dimensional array aligned with the color filter. Conventional techniques for forming the microlens structure 122 can be implemented. For example, a transparent insulating material such as silicon nitride is formed and etched to form the microlens 122.

代替的なプロセス・フロー(図10及び図11)は以下の通りである。最終金属層M5を製造した後、リソグラフィ及びRIEを使用してアレイの上で誘電体が除去される。次に、SiN又はSiO/SiNのような1つ又は複数の無機パッシベーション層155が構造体の上に堆積され、これが、その下にある回路を汚染から保護する。無機誘電体の合計の厚さは、0.1μmから1μmまでの範囲である。次に、カラー・フィルタ及びマイクロレンズが図10に示されるように形成される。最後に、図11に示されるように、ポリイミド・スペーサ160が周辺回路の上に形成され、次にガラス・プレート120がポリイミド160に取り付けられる。 An alternative process flow (FIGS. 10 and 11) is as follows. After manufacturing the final metal layer M5, the dielectric is removed over the array using lithography and RIE. Next, one or more inorganic passivation layers 155, such as SiN or SiO 2 / SiN, are deposited over the structure, which protects the underlying circuitry from contamination. The total thickness of the inorganic dielectric is in the range from 0.1 μm to 1 μm. Next, color filters and microlenses are formed as shown in FIG. Finally, as shown in FIG. 11, a polyimide spacer 160 is formed over the peripheral circuit, and then the glass plate 120 is attached to the polyimide 160.

続けて図9では、最適化された光学的性能及び硬化特性を有するアクリル接着剤のようなボンディングセメントを使用して、予め形成された(ポリイミド)パッシベーション層160にガラス・プレート120を接合するステップが示される。図9に示されるように、ボンド・パッド構造体125と同様の厚さのエアギャップ190が、マイクロレンズ・アレイ122とパッケージング・ガラスとの間に作り出される。典型的には、ガラスの表面上の欠陥がマイクロレンズに接触しないこと、及びこれらの欠陥がセンサによって撮像されないことを保証するために、エアギャップの高さは2μmから50μmまでの範囲である。凹部101は、形成されたレンズ125が、CMOSセンサ・アレイ・チップにガラス・プレート120を接合するプロセスの間、特に保護されるような深さであることが理解される。接合されるガラス・プレートは、厚さが約400ミクロン−600ミクロンの間の範囲とすることができる。   Continuing with FIG. 9, bonding the glass plate 120 to the preformed (polyimide) passivation layer 160 using a bonding cement such as an acrylic adhesive with optimized optical performance and curing properties. Is shown. As shown in FIG. 9, an air gap 190 similar in thickness to the bond pad structure 125 is created between the microlens array 122 and the packaging glass. Typically, the height of the air gap ranges from 2 μm to 50 μm to ensure that defects on the glass surface do not contact the microlens and that these defects are not imaged by the sensor. It will be appreciated that the recess 101 is deep enough that the formed lens 125 is specifically protected during the process of bonding the glass plate 120 to the CMOS sensor array chip. The glass plates to be joined can range in thickness between about 400 microns to 600 microns.

ここで図12に示されるように、代替的な実施形態において、形成された画像センサ・デバイスをパッケージングする付加的なステップが実行される。特に、図9に示されるように、例えば、最終のメタライゼーションレベル、例えばアルミニウム・ボンディング・パッド150を含むメタライゼーションレベルM5を露出させる従来のエッチング・プロセスによって、ガラス・プレート120及びパッシベーション層160内に開口部を形成するステップが示される。ワイヤ・ボンディングのためにガラス・プレート内に開口部を形成するこのステップは、従来から知られているようにガラス・プレートの接合の前又は後のいずれかで行われることができる。次に、外部回路への取り付けのために、導体199(例えば、ボンド・ワイヤ)をボンド・パッド層150にワイヤ・ボンディングすることができる。   Now, as shown in FIG. 12, in an alternative embodiment, an additional step of packaging the formed image sensor device is performed. In particular, as shown in FIG. 9, in a glass plate 120 and a passivation layer 160, for example, by a conventional etching process that exposes a final metallization level, eg, a metallization level M5 including an aluminum bonding pad 150. The step of forming the opening is shown in FIG. This step of forming openings in the glass plate for wire bonding can be performed either before or after bonding of the glass plates, as is known in the art. A conductor 199 (eg, bond wire) can then be wire bonded to the bond pad layer 150 for attachment to external circuitry.

代替的な実施形態において、陥凹した誘電体部分を有する画像アレイのパッケージングは、当該技術分野で公知の半導体ウェハレベルのパッケージング技術を利用してSchottパッケージ構成300を形成することを含むことができる。例えば、図13に示されるように、Schottパッケージング技術は、基板15の裏側からコンタクト・パッド及び/又はテスト・パッドに直接アクセスすることを可能にするシリコン・ビア・コンタクト305を形成することを伴うことができる。シリコンの裏面に施されたフォトレジストマスクを用いて、ビアホール、及びそれぞれが形成された画像センサ・アレイを有するダイのダイシングを描くために用いられるストリートの、位置及びサイズの両方を画定する。マスク設計並びにフォトレジストの厚さは、所与のシリコンの厚さに対して最適化される。1つの実施形態において、テーパ状の側壁構造体が下にあるシリコン基板内にエッチングされる。形成されるテーパ状のビアホールは高度にカスタマイズされたプラズマエッチング・プロセスによってエッチングされる。このプロセスの一部として、第2のプラズマエッチング・ステップにおいて、コンタクト・パッドの下にある層間誘電体層は、例えばM1レベルにおけるメタライゼーション・パッドへの直接的な電気的接触を可能にする。次に、めっきのための接着層及びシード層としてのTi:W/Cu材料のスパッタ堆積が行われる。ビアホールの底部のコンタクト開口部から開始して、リード310が形成され、これは、テーパ状の側壁を上がって、イメージャ・アレイの高密度配線領域98内に形成された金属コンタクトの対応するコンタクト・パッドの位置まで達する。リード310は、所定の厚さ(例えば、約5.5μm)まで銅で電気めっきされる。これらのリード310をボール・グリッド・アレイ・コンタクト、例えばはんだボール215、及び従来のアンダー・バンプ金属(図示せず)に電気的に接触させて、イメージャ・アレイのためのSchottパッケージ300を完成させることができる。本発明に従って、陥凹した誘電体を有する画像センサ・アレイのパッケージングのために用いることができるSchottパッケージング技術に関するさらなる詳細は、非特許文献1に見いだすことができ、その内容及び開示の全てを引用によりここに組み入れる。   In an alternative embodiment, packaging an image array with a recessed dielectric portion includes forming a Schott package configuration 300 utilizing semiconductor wafer level packaging techniques known in the art. Can do. For example, as shown in FIG. 13, Schott packaging technology involves forming silicon via contacts 305 that allow direct access to contact pads and / or test pads from the back side of substrate 15. be able to. A photoresist mask applied to the backside of the silicon is used to define both the location and size of the via holes and the streets used to draw the dicing of the die with the image sensor array formed thereon. The mask design as well as the photoresist thickness is optimized for a given silicon thickness. In one embodiment, a tapered sidewall structure is etched into the underlying silicon substrate. The tapered via hole that is formed is etched by a highly customized plasma etching process. As part of this process, in the second plasma etch step, the interlayer dielectric layer underneath the contact pad allows direct electrical contact to the metallization pad, for example at the M1 level. Next, sputter deposition of Ti: W / Cu material as an adhesion layer and seed layer for plating is performed. Starting from the contact opening at the bottom of the via hole, a lead 310 is formed, which rises up the tapered sidewall and corresponds to the corresponding contact of the metal contact formed in the high density interconnect region 98 of the imager array. Reach the pad position. The lead 310 is electroplated with copper to a predetermined thickness (for example, about 5.5 μm). These leads 310 are in electrical contact with ball grid array contacts, such as solder balls 215, and conventional under bump metal (not shown) to complete the Schott package 300 for the imager array. be able to. Further details regarding Schott packaging technology that can be used for packaging of image sensor arrays having recessed dielectrics according to the present invention can be found in Non-Patent Document 1, all of its content and disclosure. Is incorporated herein by reference.

代替的な実施形態において、図14に示されるように、陥凹した誘電体部分を有する画像アレイのパッケージングは、当該技術分野で公知の半導体ウェハレベルのパッケージング技術を利用してShellcaseパッケージ構成400を形成することを含むことができる。特に、図9に示される最終構造体からダイの間の基板材料がエッチングによって取り去られ、支持カバーに取り付けられる個々のICが得られる。ダイの間に形成される溝は不活性材料で充填され、各々のダイに対する完全な保護的な筐体を達成するために薄いカバーがシリコン・ダイの裏面に接合される。次に、深いノッチがダイの間に形成されて、ワイヤ・ボンド・パッド150の断面を露出する。次に、金属層410が、金属パッドとその断面で接触するように形成される。この金属層410をリソグラフィ・プロセスによってパターン形成して、パッドに接触する個々のリードを形成することができ、パッケージの上面にはんだパッドを形成する。次に、コンタクトを、例えば金又は鉛スズで、めっきすることができる。最後に、ウェハ・ダイシングが実行されて、個別のパッケージされたダイを得る。本発明に従って、陥凹した誘電体を有する画像センサ・アレイのパッケージングのために用いることができるShellCaseパッケージング技術に関するさらなる詳細は、非特許文献2に見いだすことができ、その内容及び開示の全てを引用によりここに組み入れる。   In an alternative embodiment, as shown in FIG. 14, the packaging of an image array having a recessed dielectric portion may be performed using a Shellcase package configuration utilizing semiconductor wafer level packaging techniques known in the art. Forming 400 may be included. In particular, the substrate material between the dies is etched away from the final structure shown in FIG. 9 to obtain individual ICs that are attached to the support cover. The grooves formed between the dies are filled with an inert material and a thin cover is bonded to the backside of the silicon die to achieve a complete protective housing for each die. Next, a deep notch is formed between the dies to expose the cross section of the wire bond pad 150. Next, a metal layer 410 is formed in contact with the metal pad at its cross section. This metal layer 410 can be patterned by a lithographic process to form individual leads that contact the pad, forming a solder pad on the top surface of the package. The contacts can then be plated, for example with gold or lead tin. Finally, wafer dicing is performed to obtain individual packaged dies. Further details regarding ShellCase packaging technology that can be used for packaging of image sensor arrays with recessed dielectrics according to the present invention can be found in Non-Patent Document 2, all of its content and disclosure. Is incorporated herein by reference.

本発明の好ましい実施形態と考えられるものを示して説明してきたが、当然のことながら、本発明の精神から逸脱することなく形態又は詳細における種々の改変及び変更が容易になし得ることが理解されるであろう。従って、本発明は説明され例示されたまさにその通りの形態に限定されるものではなく、添付の特許請求の範囲内に入る全ての改変を網羅するように構成されるべきであることが意図される。   While what has been considered as preferred embodiments of the invention has been shown and described, it will be understood that various modifications and changes in form or detail may readily be made without departing from the spirit of the invention. It will be. Accordingly, it is intended that the invention not be limited to the exact forms described and illustrated, but should be construed as covering all modifications that fall within the scope of the appended claims. The

15:基板
18:光検知デバイス
20:画素セル
22、122:マイクロレンズ
25、125:カラー・フィルタ
30、130:層間誘電体層
35、135、140、145、150:導電性構造体
97:画素アレイ領域
98:高密度配線領域
10、100:CMOS画像センサ・アレイ
101:凹部、トレンチ
102:側壁
120:ガラス・プレート
150:ボンド・パッド
160:パッシベーション層
171:エッチング停止層
190:エアギャップ
200:中間構造体
300:Schottパッケージング構成
400:Shellcaseパッケージ構成
15: Substrate 18: Photodetection device 20: Pixel cell 22, 122: Micro lens 25, 125: Color filter 30, 130: Interlayer dielectric layers 35, 135, 140, 145, 150: Conductive structure 97: Pixel Array region 98: High-density wiring region 10, 100: CMOS image sensor array 101: Recess, trench 102: Side wall 120: Glass plate 150: Bond pad 160: Passivation layer 171: Etching stop layer 190: Air gap 200: Intermediate structure 300: Schott packaging configuration 400: Shellcase package configuration

Claims (26)

基板と、
前記基板の上に形成された受光画素構造体のアレイであって、前記アレイはその中に形成された「m」レベルの導電性構造体を有し、前記各レベルは対応する層間誘電体材料層内に形成される、アレイと、
前記受光画素構造体のアレイに隣接して形成され、「n」レベルの導電性構造体を有する高密度論理配線領域であって、前記各レベルは対応する層間誘電体材料層内に形成され、n>mである、高密度論理配線領域と
を含み、
CMOSイメージャ・アレイ。
A substrate,
An array of light-receiving pixel structures formed on the substrate, the array having “m” level conductive structures formed therein, each level corresponding to a corresponding interlayer dielectric material An array formed in a layer;
A high density logic interconnect region formed adjacent to the array of light receiving pixel structures and having an "n" level conductive structure, wherein each level is formed in a corresponding interlayer dielectric material layer; n> m, a high-density logic wiring region,
CMOS imager array.
前記受光画素構造体のアレイが、
前記層間誘電体材料層の上方に形成された、マイクロレンズとカラー・フィルタとを有するマイクロレンズ・アレイを含み、前記マイクロレンズ及びそれぞれのカラー・フィルタは、前記基板の表面に形成されたそれぞれの受光構造体に対して位置合わせされる、請求項1に記載のイメージャ・アレイ。
The array of light-receiving pixel structures is
A microlens array having a microlens and a color filter formed above the interlayer dielectric material layer, the microlens and each color filter being formed on a surface of the substrate; The imager array of claim 1, wherein the imager array is aligned with respect to the light receiving structure.
前記マイクロレンズ・アレイの下の前記層間誘電体材料層の上面が、前記高密度論理配線領域の前記層間誘電体材料層の上面よりも凹んでいる、請求項2に記載のイメージャ・アレイ。   The imager array of claim 2, wherein an upper surface of the interlayer dielectric material layer under the microlens array is recessed from an upper surface of the interlayer dielectric material layer in the high-density logic wiring region. 前記高密度論理配線領域が前記画素アレイ領域に隣接する2つの対向する側部に形成され、前記高密度論理配線領域は、上面に形成されるパッシベーション層を含み、かつ最終導電性構造体を有する、請求項2に記載のイメージャ・アレイ。   The high-density logic wiring region is formed on two opposing sides adjacent to the pixel array region, and the high-density logic wiring region includes a passivation layer formed on an upper surface and has a final conductive structure. The imager array of claim 2. 前記高密度論理配線領域における前記「n」レベルの導電性構造体がビア構造体によって相互接続される、請求項1に記載のイメージャ・アレイ。   The imager array of claim 1, wherein the “n” level conductive structures in the high density logic interconnect region are interconnected by via structures. 前記2つの対向する側部において前記パッシベーション構造体の上面に装着された保護構造体をさらに含み、前記保護構造体と前記マイクロレンズ・アレイの上面とがギャップによって隔てられ、前記ギャップが、前記保護構造体の装着の間、前記マイクロレンズ・アレイの付加的な保護を提供する、請求項4に記載のイメージャ・アレイ。   A protective structure mounted on an upper surface of the passivation structure at the two opposite side portions, the protective structure and the upper surface of the microlens array being separated by a gap; The imager array of claim 4, providing additional protection of the microlens array during mounting of a structure. 前記装着された保護構造体は、前記受光画素構造体のアレイを覆うガラス・プレートを含む、請求項6に記載のイメージャ・アレイ。   The imager array of claim 6 wherein the mounted protection structure includes a glass plate that covers the array of light-receiving pixel structures. 前記ギャップは約10ミクロン又はそれ以上の厚さである、請求項6に記載のイメージャ・アレイ。   The imager array of claim 6, wherein the gap is about 10 microns or thicker. 前記高密度論理配線領域の前記最終導電性構造体を外部導体に電気的に接続する導電素子をさらに含む、請求項6に記載のイメージャ・アレイ。   The imager array of claim 6, further comprising a conductive element that electrically connects the final conductive structure of the high density logic interconnect region to an external conductor. 前記導電素子がボンド・ワイヤである、請求項9に記載のイメージャ・アレイ。   The imager array of claim 9, wherein the conductive element is a bond wire. 前記外部導体が、ボール・グリッド・アレイ構造体の一部として前記基板の下に形成されるはんだ素子を含む、請求項9に記載のイメージャ・アレイ。   The imager array of claim 9, wherein the outer conductor includes solder elements formed under the substrate as part of a ball grid array structure. 前記ボール・グリッド・アレイ構造体が、Schott集積回路パッケージの一部として形成される、請求項11に記載のイメージャ・アレイ。   The imager array of claim 11, wherein the ball grid array structure is formed as part of a Schott integrated circuit package. 前記ボール・グリッド・アレイ構造体が、Shellcase集積回路パッケージの一部として形成される、請求項11に記載のイメージャ・アレイ。   The imager array of claim 11, wherein the ball grid array structure is formed as part of a Shellcase integrated circuit package. m=2かつn≧4である、請求項1に記載のイメージャ・アレイ。   The imager array of claim 1, wherein m = 2 and n ≧ 4. m≦4かつn≧4である、請求項1に記載のイメージャ・アレイ。   The imager array of claim 1, wherein m ≦ 4 and n ≧ 4. 画素の画像センサ・アレイを製造するための方法であって、
半導体基板内に複数の感光性素子を形成して、前記センサ・アレイの画素アレイ領域を形成するステップと、
前記センサ・アレイの前記画素アレイ領域及び前記センサ・アレイの隣接する高密度配線論理領域の両方において、前記基板上に「m」個の層間誘電体材料層のスタックを形成するステップであって、前記「m」個の層間誘電体材料層の各々が、前記センサ・アレイの前記画素アレイ領域及び前記センサ・アレイの前記隣接する高密度配線論理領域の両方において、導体構造体を含むそれぞれの金属相互接続レベルを含む、ステップと、
前記画素アレイ領域及び前記高密度論理配線領域の両方の上で前記「m」個の層間誘電体材料層上に付加的な層間誘電体層のスタックを形成するステップであって、前記隣接する高密度論理配線領域内に形成される前記付加的な層間誘電体層の前記スタックが、前記隣接する高密度論理配線領域内にのみ形成される付加的な金属レベル導体構造体を含むそれぞれの付加的な金属相互接続レベルを含み、前記隣接する高密度の論理配線領域において、導電性構造体を有する合計で「n」個の金属相互接続レベルが形成され、n>mである、ステップと、
前記画素アレイ領域の上に形成された前記付加的な層間誘電体層の部分を除去し、それによって前記画素アレイ領域内の前記付加的な層間誘電体層の表面が前記高密度論理配線領域の前記付加的な層間誘電体材料層の上面よりも凹むようにされるステップと、
前記画素アレイ領域内の前記層間誘電体材料層の前記凹部表面の上にマイクロレンズとカラー・フィルタとを有するマイクロレンズ・アレイを形成し、前記マイクロレンズ及びそれぞれのカラー・フィルタはそれぞれの感光性素子に対して位置合わせされる、ステップと
を含む、方法。
A method for manufacturing an image sensor array of pixels, comprising:
Forming a plurality of photosensitive elements in a semiconductor substrate to form a pixel array region of the sensor array;
Forming a stack of "m" interlayer dielectric material layers on the substrate in both the pixel array region of the sensor array and an adjacent high density interconnect logic region of the sensor array, comprising: Each of the “m” interlayer dielectric material layers includes a conductor structure in both the pixel array region of the sensor array and the adjacent high density interconnect logic region of the sensor array. Steps, including interconnect levels;
Forming a stack of additional interlevel dielectric layers on the “m” interlevel dielectric material layer on both the pixel array region and the high density logic interconnect region, wherein Each additional stack of said additional interlayer dielectric layers formed in a density logic interconnect region includes an additional metal level conductor structure formed only in said adjacent high density logic interconnect region. A total of “n” metal interconnect levels with conductive structures are formed in the adjacent high density logic interconnect region, wherein n> m,
The portion of the additional interlayer dielectric layer formed on the pixel array region is removed, so that the surface of the additional interlayer dielectric layer in the pixel array region becomes the high-density logic wiring region. Making it recessed relative to the top surface of the additional interlayer dielectric material layer;
A microlens array having a microlens and a color filter is formed on the concave surface of the interlayer dielectric material layer in the pixel array region, and the microlens and each color filter are each photosensitive. Aligning with respect to the element.
前記画素アレイ領域内の前記付加的な層間誘電体層の部分を除去する前記ステップが、エッチバック・プロセスである、請求項16に記載の方法。   The method of claim 16, wherein the step of removing a portion of the additional interlayer dielectric layer in the pixel array region is an etch back process. 前記画素アレイ領域の前記付加的な層間誘電体層の部分を除去するための前記エッチバック・プロセスが、
前記画素アレイ領域の画素の上に形成される前記付加的な層間誘電体層の表面のみを露出するようにリソグラフィでパターン形成されたマスク構造体を適用するステップと、
エッチング・プロセスを行って、前記画素アレイ領域内の前記付加的な層間誘電体層の部分を選択的に除去するステップと、
を含む、請求項17に記載の方法。
The etch back process to remove portions of the additional interlayer dielectric layer of the pixel array region;
Applying a lithographically patterned mask structure to expose only the surface of the additional interlayer dielectric layer formed over the pixels of the pixel array region;
Performing an etching process to selectively remove portions of the additional interlayer dielectric layer in the pixel array region;
The method of claim 17, comprising:
前記画素アレイ領域内において前記「m」個の層間誘電体材料層の上に前記付加的な層間誘電体層のスタックを形成する前記ステップが、
前記画素アレイ領域にわたって拡がるエッチング停止層を形成するステップをさらに含み、エッチング・プロセスを行う前記ステップが、前記エッチング停止層で前記付加的な層間誘電体層の前記エッチングを停止することを含む、請求項16に記載の方法。
Forming the additional interlayer dielectric layer stack on the “m” interlayer dielectric material layers in the pixel array region;
Forming an etch stop layer extending across the pixel array region, wherein the step of performing an etch process comprises stopping the etching of the additional interlayer dielectric layer at the etch stop layer. Item 17. The method according to Item 16.
前記それぞれの金属相互接続レベルの前記導体構造体が銅材料を含み、前記導体構造体の各々が前記導体構造体の各々の上に形成されたバリア材料層を含む、請求項16に記載の方法。   The method of claim 16, wherein the conductor structures at the respective metal interconnect levels comprise a copper material, and each of the conductor structures comprises a barrier material layer formed over each of the conductor structures. . 前記高密度論理配線領域が前記画素アレイ領域に隣接する2つの対向する側部に形成され、前記方法が、最終導電性構造体を有する前記高密度論理配線領域の各々の上面にパッシベーション構造体を形成するステップをさらに含む、請求項16に記載の方法。   The high density logic interconnect region is formed on two opposing sides adjacent to the pixel array region, and the method includes providing a passivation structure on top of each of the high density logic interconnect regions having a final conductive structure. The method of claim 16, further comprising forming. 前記2つの対向する側部において前記パッシベーション構造体の上面に保護構造体を装着するステップであって、前記保護構造体と前記マイクロレンズ・アレイの上面とがギャップによって隔てられ、前記ギャップが、前記装着の間、前記マイクロレンズ・アレイの付加的な保護を提供するステップをさらに含む、請求項21に記載の方法。   Attaching a protective structure to the upper surface of the passivation structure at the two opposite sides, wherein the protective structure and the upper surface of the microlens array are separated by a gap; The method of claim 21, further comprising providing additional protection of the microlens array during mounting. 前記高密度論理配線領域の前記最終導電性構造体を外部導体に電気的に接続するために導電性素子を接続するステップをさらに含む、請求項22に記載の方法。   23. The method of claim 22, further comprising connecting a conductive element to electrically connect the final conductive structure of the high density logic interconnect region to an external conductor. 前記外部導体が、ボール・グリッド・アレイ構造体の一部として前記構造体の下に形成されるはんだ素子を含む、請求項23に記載の方法。   24. The method of claim 23, wherein the outer conductor includes a solder element formed under the structure as part of a ball grid array structure. 前記イメージャ・センサ・アレイを収容するためのSchott集積回路パッケージを形成するステップをさらに含む、請求項24に記載の方法。   25. The method of claim 24, further comprising forming a Schott integrated circuit package to accommodate the imager sensor array. 前記イメージャ・センサ・アレイを収容するためのShellcase集積回路パッケージを形成するステップをさらに含む、請求項24に記載の方法。   25. The method of claim 24, further comprising forming a Shellcase integrated circuit package to accommodate the imager sensor array.
JP2009536692A 2006-11-17 2007-10-30 CMOS imager array with recessed dielectric and method for manufacturing the same Expired - Fee Related JP5340950B2 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/560,882 US7781781B2 (en) 2006-11-17 2006-11-17 CMOS imager array with recessed dielectric
US11/560,882 2006-11-17
PCT/EP2007/061705 WO2008058847A1 (en) 2006-11-17 2007-10-30 A cmos imager array with recessed dielectric layer

Publications (2)

Publication Number Publication Date
JP2010510646A true JP2010510646A (en) 2010-04-02
JP5340950B2 JP5340950B2 (en) 2013-11-13

Family

ID=38877121

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009536692A Expired - Fee Related JP5340950B2 (en) 2006-11-17 2007-10-30 CMOS imager array with recessed dielectric and method for manufacturing the same

Country Status (4)

Country Link
US (1) US7781781B2 (en)
JP (1) JP5340950B2 (en)
KR (1) KR20090086550A (en)
WO (1) WO2008058847A1 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016509374A (en) * 2013-03-01 2016-03-24 アーエムエス アクチエンゲゼルシャフトams AG Radiation detection semiconductor element and method of manufacturing radiation detection semiconductor element
JP2017085100A (en) * 2015-10-26 2017-05-18 台湾積體電路製造股▲ふん▼有限公司Taiwan Semiconductor Manufacturing Company,Ltd. Pad structure for front-illuminated image sensor and forming method thereof
JP2018074163A (en) * 2016-11-03 2018-05-10 オプティツ インコーポレイテッド Sensor assembly under screen
JP2020532095A (en) * 2017-12-26 2020-11-05 イラミーナ インコーポレーテッド Sensor system

Families Citing this family (116)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8029186B2 (en) * 2004-11-05 2011-10-04 International Business Machines Corporation Method for thermal characterization under non-uniform heat load
KR100731128B1 (en) * 2005-12-28 2007-06-22 동부일렉트로닉스 주식회사 Method for manufacturing cmos image sensor
KR100731130B1 (en) * 2005-12-29 2007-06-22 동부일렉트로닉스 주식회사 Cmos image sensor and method for manufacturing the same
KR100660714B1 (en) * 2005-12-29 2006-12-21 매그나칩 반도체 유한회사 Cmos image sensor with backside illumination and method for manufacturing the same
US7675080B2 (en) * 2006-01-10 2010-03-09 Aptina Imaging Corp. Uniform color filter arrays in a moat
KR100789578B1 (en) * 2006-08-28 2007-12-28 동부일렉트로닉스 주식회사 Image sensor and fabrication method thereof
US8071416B2 (en) * 2006-08-17 2011-12-06 Micron Technology, Inc. Method of forming a uniform color filter array
US20090090850A1 (en) * 2006-08-31 2009-04-09 Aptina Imaging Corporation Deep Recess Color Filter Array and Process of Forming the Same
US7593248B2 (en) * 2006-11-16 2009-09-22 Aptina Imaging Corporation Method, apparatus and system providing a one-time programmable memory device
US7875840B2 (en) * 2006-11-16 2011-01-25 Aptina Imaging Corporation Imager device with anti-fuse pixels and recessed color filter array
US8569876B2 (en) 2006-11-22 2013-10-29 Tessera, Inc. Packaged semiconductor chips with array
US7791199B2 (en) 2006-11-22 2010-09-07 Tessera, Inc. Packaged semiconductor chips
EP2575166A3 (en) 2007-03-05 2014-04-09 Invensas Corporation Chips having rear contacts connected by through vias to front contacts
US20070164196A1 (en) * 2007-03-09 2007-07-19 Tay Hiok N Image sensor with pixel wiring to reflect light
KR100873275B1 (en) * 2007-03-19 2008-12-11 매그나칩 반도체 유한회사 Method for fabricating image sensor
JP2008270500A (en) * 2007-04-19 2008-11-06 Sharp Corp Solid-state image sesor manufacturing method thereof, electronic information apparatus
KR100866252B1 (en) * 2007-05-17 2008-10-30 주식회사 동부하이텍 Method for fabrication the image senser
JP5159192B2 (en) * 2007-07-06 2013-03-06 株式会社東芝 Manufacturing method of semiconductor device
CN101802990B (en) 2007-07-31 2013-03-13 数字光学欧洲有限公司 Semiconductor packaging process using through silicon vias
KR100913326B1 (en) * 2007-11-19 2009-08-20 주식회사 동부하이텍 Image sensor and method for fabricating the same
JP4799542B2 (en) * 2007-12-27 2011-10-26 株式会社東芝 Semiconductor package
KR100922929B1 (en) * 2007-12-28 2009-10-22 주식회사 동부하이텍 Image Sensor and Method for Manufacturing thereof
US8063465B2 (en) * 2008-02-08 2011-11-22 Omnivision Technologies, Inc. Backside illuminated imaging sensor with vertical pixel sensor
US20090212381A1 (en) * 2008-02-26 2009-08-27 Tessera, Inc. Wafer level packages for rear-face illuminated solid state image sensors
KR20100007257A (en) * 2008-07-11 2010-01-22 삼성전자주식회사 Image sensor and method for manufacturing the same
JP5367323B2 (en) * 2008-07-23 2013-12-11 ラピスセミコンダクタ株式会社 Semiconductor device and manufacturing method of semiconductor device
KR101010375B1 (en) * 2008-08-06 2011-01-21 주식회사 동부하이텍 Image Sensor and Method for Manufacturing thereof
US8889455B2 (en) 2009-12-08 2014-11-18 Zena Technologies, Inc. Manufacturing nanowire photo-detector grown on a back-side illuminated image sensor
US8890271B2 (en) 2010-06-30 2014-11-18 Zena Technologies, Inc. Silicon nitride light pipes for image sensors
US8519379B2 (en) * 2009-12-08 2013-08-27 Zena Technologies, Inc. Nanowire structured photodiode with a surrounding epitaxially grown P or N layer
US8229255B2 (en) * 2008-09-04 2012-07-24 Zena Technologies, Inc. Optical waveguides in image sensors
US8748799B2 (en) 2010-12-14 2014-06-10 Zena Technologies, Inc. Full color single pixel including doublet or quadruplet si nanowires for image sensors
US9082673B2 (en) 2009-10-05 2015-07-14 Zena Technologies, Inc. Passivated upstanding nanostructures and methods of making the same
US9299866B2 (en) 2010-12-30 2016-03-29 Zena Technologies, Inc. Nanowire array based solar energy harvesting device
US8791470B2 (en) 2009-10-05 2014-07-29 Zena Technologies, Inc. Nano structured LEDs
US8507840B2 (en) 2010-12-21 2013-08-13 Zena Technologies, Inc. Vertically structured passive pixel arrays and methods for fabricating the same
US8269985B2 (en) 2009-05-26 2012-09-18 Zena Technologies, Inc. Determination of optimal diameters for nanowires
US8299472B2 (en) * 2009-12-08 2012-10-30 Young-June Yu Active pixel sensor with nanowire structured photodetectors
US9478685B2 (en) 2014-06-23 2016-10-25 Zena Technologies, Inc. Vertical pillar structured infrared detector and fabrication method for the same
US8546742B2 (en) * 2009-06-04 2013-10-01 Zena Technologies, Inc. Array of nanowires in a single cavity with anti-reflective coating on substrate
US9000353B2 (en) 2010-06-22 2015-04-07 President And Fellows Of Harvard College Light absorption and filtering properties of vertically oriented semiconductor nano wires
US8384007B2 (en) * 2009-10-07 2013-02-26 Zena Technologies, Inc. Nano wire based passive pixel image sensor
US8835831B2 (en) 2010-06-22 2014-09-16 Zena Technologies, Inc. Polarized light detecting device and fabrication methods of the same
US8274039B2 (en) 2008-11-13 2012-09-25 Zena Technologies, Inc. Vertical waveguides with various functionality on integrated circuits
US7646943B1 (en) 2008-09-04 2010-01-12 Zena Technologies, Inc. Optical waveguides in image sensors
US9406709B2 (en) 2010-06-22 2016-08-02 President And Fellows Of Harvard College Methods for fabricating and using nanowires
US20110115041A1 (en) * 2009-11-19 2011-05-19 Zena Technologies, Inc. Nanowire core-shell light pipes
US8866065B2 (en) 2010-12-13 2014-10-21 Zena Technologies, Inc. Nanowire arrays comprising fluorescent nanowires
US9515218B2 (en) 2008-09-04 2016-12-06 Zena Technologies, Inc. Vertical pillar structured photovoltaic devices with mirrors and optical claddings
US8735797B2 (en) * 2009-12-08 2014-05-27 Zena Technologies, Inc. Nanowire photo-detector grown on a back-side illuminated image sensor
US9343490B2 (en) 2013-08-09 2016-05-17 Zena Technologies, Inc. Nanowire structured color filter arrays and fabrication method of the same
US20100304061A1 (en) * 2009-05-26 2010-12-02 Zena Technologies, Inc. Fabrication of high aspect ratio features in a glass layer by etching
DE102008049188A1 (en) * 2008-09-26 2010-04-01 Osram Opto Semiconductors Gmbh Optoelectronic module with a carrier substrate and a plurality of radiation-emitting semiconductor components and method for its production
US8193555B2 (en) 2009-02-11 2012-06-05 Megica Corporation Image and light sensor chip packages
US8531565B2 (en) * 2009-02-24 2013-09-10 Taiwan Semiconductor Manufacturing Company, Ltd. Front side implanted guard ring structure for backside illuminated image sensor
US9142586B2 (en) * 2009-02-24 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Pad design for backside illuminated image sensor
US8652697B2 (en) * 2009-02-25 2014-02-18 Bloom Energy Corporation Controlling a fuel cell system based on fuel cell impedance characteristic
JP5572979B2 (en) * 2009-03-30 2014-08-20 ソニー株式会社 Manufacturing method of semiconductor device
US8278769B2 (en) * 2009-07-02 2012-10-02 Avago Technologies Wireless Ip (Singapore) Pte. Ltd. Compound semiconductor device and connectors
US8330840B2 (en) * 2009-08-06 2012-12-11 Aptina Imaging Corporation Image sensor with multilayer interference filters
US8208768B2 (en) * 2009-10-26 2012-06-26 United Microelectronics Corp. Focusing member and optoelectronic device
TWI474066B (en) * 2009-10-28 2015-02-21 United Microelectronics Corp Focusing member and optoelectronic device
CN102054815B (en) * 2009-11-09 2014-02-05 联华电子股份有限公司 Photoelectric element
US8247852B2 (en) 2009-11-17 2012-08-21 Omnivision Technologies, Inc. Backside illuminated imaging sensor with reinforced pad structure
KR20110061677A (en) * 2009-12-02 2011-06-10 삼성전자주식회사 Image sensor and for manufacturing the same
US8139907B2 (en) * 2009-12-29 2012-03-20 United Microelectronics Corp. Optoelectronic device and method of forming the same
JP5771898B2 (en) * 2010-03-17 2015-09-02 株式会社ニコン Image sensor and camera
US8532449B2 (en) * 2010-05-06 2013-09-10 Intel Corporation Wafer integrated optical sub-modules
US8629053B2 (en) * 2010-06-18 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma treatment for semiconductor devices
US9640437B2 (en) 2010-07-23 2017-05-02 Tessera, Inc. Methods of forming semiconductor elements using micro-abrasive particle stream
US8791575B2 (en) 2010-07-23 2014-07-29 Tessera, Inc. Microelectronic elements having metallic pads overlying vias
US8796135B2 (en) 2010-07-23 2014-08-05 Tessera, Inc. Microelectronic elements with rear contacts connected with via first or via middle structures
US8610259B2 (en) 2010-09-17 2013-12-17 Tessera, Inc. Multi-function and shielded 3D interconnects
US8847380B2 (en) 2010-09-17 2014-09-30 Tessera, Inc. Staged via formation from both sides of chip
US8624342B2 (en) * 2010-11-05 2014-01-07 Invensas Corporation Rear-face illuminated solid state image sensors
US8587126B2 (en) 2010-12-02 2013-11-19 Tessera, Inc. Stacked microelectronic assembly with TSVs formed in stages with plural active chips
US8637968B2 (en) 2010-12-02 2014-01-28 Tessera, Inc. Stacked microelectronic assembly having interposer connecting active chips
US8736066B2 (en) 2010-12-02 2014-05-27 Tessera, Inc. Stacked microelectronic assemby with TSVS formed in stages and carrier above chip
US8610264B2 (en) 2010-12-08 2013-12-17 Tessera, Inc. Compliant interconnects in wafers
US20120274811A1 (en) * 2011-04-28 2012-11-01 Dmitry Bakin Imaging devices having arrays of image sensors and precision offset lenses
US20130010165A1 (en) * 2011-07-05 2013-01-10 United Microelectronics Corp. Optical micro structure, method for fabricating the same and applications thereof
US8604576B2 (en) * 2011-07-19 2013-12-10 Opitz, Inc. Low stress cavity package for back side illuminated image sensor, and method of making same
JP2013041878A (en) * 2011-08-11 2013-02-28 Sony Corp Imaging apparatus and camera module
US8710615B2 (en) * 2011-08-31 2014-04-29 Infineon Technologies Ag Semiconductor device with an amorphous semi-insulating layer, temperature sensor, and method of manufacturing a semiconductor device
US9299740B2 (en) * 2012-05-31 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Image sensor with low step height between back-side metal and pixel array
KR20140065282A (en) * 2012-11-21 2014-05-29 삼성전자주식회사 Semiconductor device comprising tsv(through silicon via), and semiconductor package comprising the same
US9257647B2 (en) * 2013-03-14 2016-02-09 Northrop Grumman Systems Corporation Phase change material switch and method of making the same
KR20150021659A (en) * 2013-08-21 2015-03-03 주식회사 동부하이텍 Method for manufacturing image sensor
US9667900B2 (en) 2013-12-09 2017-05-30 Optiz, Inc. Three dimensional system-on-chip image sensor package
US9391113B2 (en) * 2014-01-17 2016-07-12 Taiwan Semiconductor Manufacturing Co., Ltd. Image-sensor device structure and method of manufacturing
KR20150092581A (en) * 2014-02-05 2015-08-13 삼성전자주식회사 Wiring structure and method of forming the same
US9929126B2 (en) 2014-04-03 2018-03-27 Taiwan Semiconductor Manufacturing Company, Ltd. Packages with metal line crack prevention design
CN105097852A (en) * 2014-05-07 2015-11-25 中芯国际集成电路制造(上海)有限公司 Semiconductor device manufacturing method and semiconductor device
US20160017487A1 (en) * 2014-07-15 2016-01-21 Applied Materials, Inc. Integrated pre-clean and deposition of low-damage layers
CN104157663A (en) * 2014-08-27 2014-11-19 上海集成电路研发中心有限公司 CMOS image pixel array capable of improving incident light efficiency
TWI569427B (en) * 2014-10-22 2017-02-01 精材科技股份有限公司 Semiconductor package and manufacturing method thereof
TWI616692B (en) * 2014-12-29 2018-03-01 鴻海精密工業股份有限公司 Optical fiber connector and optical coupling lens
TWI550842B (en) * 2015-04-09 2016-09-21 力晶科技股份有限公司 Image sensor
US9991307B2 (en) 2015-04-16 2018-06-05 Taiwan Semiconductor Manufacturing Co., Ltd. Stacked grid design for improved optical performance and isolation
US9570493B2 (en) 2015-04-16 2017-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Dielectric grid bottom profile for light focusing
US9853076B2 (en) * 2015-04-16 2017-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Stacked grid for more uniform optical input
US9683890B2 (en) 2015-06-30 2017-06-20 Semiconductor Components Industries, Llc Image sensor pixels with conductive bias grids
US10014333B2 (en) * 2015-08-26 2018-07-03 Semiconductor Components Industries, Llc Back-side illuminated pixels with interconnect layers
US9847359B2 (en) 2015-11-17 2017-12-19 Semiconductor Components Industries, Llc Image sensors with improved surface planarity
TWI595612B (en) 2016-03-04 2017-08-11 力成科技股份有限公司 Wafer level chip scale package having continuous tsv configuration and its fabrication method
US10700270B2 (en) 2016-06-21 2020-06-30 Northrop Grumman Systems Corporation PCM switch and method of making the same
US10026818B1 (en) 2017-01-19 2018-07-17 Globalfoundries Inc. Field effect transistor structure with recessed interlayer dielectric and method
US10325952B2 (en) 2017-07-07 2019-06-18 Advanced Semiconductor Engineering, Inc. Semiconductor package device and method of manufacturing the same
TWI652806B (en) * 2017-09-08 2019-03-01 奇景光電股份有限公司 Flat panel display with fingerprint sensor embedded therein and method of forming same
TWI637225B (en) * 2017-09-08 2018-10-01 奇景光電股份有限公司 Flat-panel display embedded with a fingerprint sensor and a method of forming the same
US11031358B2 (en) * 2018-03-01 2021-06-08 Marvell Asia Pte, Ltd. Overhang model for reducing passivation stress and method for producing the same
TWI712959B (en) * 2018-09-19 2020-12-11 世界先進積體電路股份有限公司 Optical sensor and method for forming the same
US10712500B2 (en) * 2018-10-17 2020-07-14 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method of the same
US10915727B2 (en) 2018-12-28 2021-02-09 Vanguard International Semiconductor Corporation Optical sensor and method for forming the same
CN113764443B (en) * 2020-06-05 2024-01-02 联华电子股份有限公司 Photosensitive element
US11546010B2 (en) 2021-02-16 2023-01-03 Northrop Grumman Systems Corporation Hybrid high-speed and high-performance switch system

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000150846A (en) * 1998-11-12 2000-05-30 Olympus Optical Co Ltd Solid state imaging device and manufacture of it
JP2001298175A (en) * 2000-04-12 2001-10-26 Toshiba Corp Image pickup system
JP2004071931A (en) * 2002-08-08 2004-03-04 Sony Corp Solid-state imaging device and manufacturing method therefor
JP2006229206A (en) * 2005-02-14 2006-08-31 Samsung Electronics Co Ltd Image sensor having improved sensitivity and method of manufacturing same

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6608679B1 (en) * 1998-12-21 2003-08-19 Xerox Corporation Spectrophotometric analysis of input light
US6171885B1 (en) * 1999-10-12 2001-01-09 Taiwan Semiconductor Manufacturing Company High efficiency color filter process for semiconductor array imaging devices
IL133453A0 (en) * 1999-12-10 2001-04-30 Shellcase Ltd Methods for producing packaged integrated circuit devices and packaged integrated circuit devices produced thereby
US6611037B1 (en) * 2000-08-28 2003-08-26 Micron Technology, Inc. Multi-trench region for accumulation of photo-generated charge in a CMOS imager
US6930336B1 (en) * 2001-06-18 2005-08-16 Foveon, Inc. Vertical-color-filter detector group with trench isolation
FR2829876B1 (en) * 2001-09-18 2004-07-02 St Microelectronics Sa PHOTOSENSITIVE CELL INCORPORATING A LIGHT GUIDE AND MATRIX COMPOSED OF SUCH CELLS
EP1367650A1 (en) * 2002-05-27 2003-12-03 STMicroelectronics S.A. Electronic device comprising electronic circuits and a photosensitive zone, and method of fabricating such a device
US6818934B1 (en) * 2003-06-24 2004-11-16 Omnivision International Holding Ltd Image sensor having micro-lens array separated with trench structures and method of making
JP3973217B2 (en) * 2003-07-01 2007-09-12 日本板硝子株式会社 Resin erecting lens array and manufacturing method thereof
KR20070020166A (en) * 2003-08-26 2007-02-20 레드시프트 시스템즈 코포레이션 Infrared camera system
WO2005076360A1 (en) * 2004-02-04 2005-08-18 Koninklijke Philips Electronics N.V. Opto-electronic semiconductor device, method of manufacturing same, and camera provided with such a device
US7262110B2 (en) * 2004-08-23 2007-08-28 Micron Technology, Inc. Trench isolation structure and method of formation
US7342268B2 (en) * 2004-12-23 2008-03-11 International Business Machines Corporation CMOS imager with Cu wiring and method of eliminating high reflectivity interfaces therefrom
FR2880732B1 (en) * 2005-01-13 2007-04-06 St Microelectronics Sa IMAGE SENSOR
KR100672995B1 (en) * 2005-02-02 2007-01-24 삼성전자주식회사 Simplified method of forming image censor and image sensor so formed
KR100807214B1 (en) * 2005-02-14 2008-03-03 삼성전자주식회사 Image sensor having improved sensitivity and method of manufacturing the same

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000150846A (en) * 1998-11-12 2000-05-30 Olympus Optical Co Ltd Solid state imaging device and manufacture of it
JP2001298175A (en) * 2000-04-12 2001-10-26 Toshiba Corp Image pickup system
JP2004071931A (en) * 2002-08-08 2004-03-04 Sony Corp Solid-state imaging device and manufacturing method therefor
JP2006229206A (en) * 2005-02-14 2006-08-31 Samsung Electronics Co Ltd Image sensor having improved sensitivity and method of manufacturing same

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016509374A (en) * 2013-03-01 2016-03-24 アーエムエス アクチエンゲゼルシャフトams AG Radiation detection semiconductor element and method of manufacturing radiation detection semiconductor element
US11107848B2 (en) 2013-03-01 2021-08-31 Ams Ag Semiconductor device for detection of radiation and method of producing a semiconductor device for detection of radiation
JP2017085100A (en) * 2015-10-26 2017-05-18 台湾積體電路製造股▲ふん▼有限公司Taiwan Semiconductor Manufacturing Company,Ltd. Pad structure for front-illuminated image sensor and forming method thereof
JP2018074163A (en) * 2016-11-03 2018-05-10 オプティツ インコーポレイテッド Sensor assembly under screen
JP2020532095A (en) * 2017-12-26 2020-11-05 イラミーナ インコーポレーテッド Sensor system

Also Published As

Publication number Publication date
WO2008058847A1 (en) 2008-05-22
JP5340950B2 (en) 2013-11-13
US20080116537A1 (en) 2008-05-22
KR20090086550A (en) 2009-08-13
US7781781B2 (en) 2010-08-24

Similar Documents

Publication Publication Date Title
JP5340950B2 (en) CMOS imager array with recessed dielectric and method for manufacturing the same
US7772028B2 (en) CMOS imager with Cu wiring and method of eliminating high reflectivity interfaces therefrom
KR100992031B1 (en) A damascene copper wiring image sensor
US8003425B2 (en) Methods for forming anti-reflection structures for CMOS image sensors
US7759755B2 (en) Anti-reflection structures for CMOS image sensors
US10833113B2 (en) Image sensor and method for fabricating the same
US20220367549A1 (en) Image sensor device
US20220367391A1 (en) Semiconductor structure
TWI734502B (en) Integrated circuit device, method of manufacturing thereof, and semiconductor image sensing device
CN113725240A (en) Semiconductor device and method of forming the same
US11869916B2 (en) Bond pad structure for bonding improvement

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100625

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120924

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121002

RD13 Notification of appointment of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7433

Effective date: 20121025

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20121026

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121214

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130723

RD14 Notification of resignation of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7434

Effective date: 20130723

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130807

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees