JP2010272528A - Method and apparatus for inspecting surface of sample - Google Patents

Method and apparatus for inspecting surface of sample Download PDF

Info

Publication number
JP2010272528A
JP2010272528A JP2010137983A JP2010137983A JP2010272528A JP 2010272528 A JP2010272528 A JP 2010272528A JP 2010137983 A JP2010137983 A JP 2010137983A JP 2010137983 A JP2010137983 A JP 2010137983A JP 2010272528 A JP2010272528 A JP 2010272528A
Authority
JP
Japan
Prior art keywords
inspection
sample
wafer
die
image
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2010137983A
Other languages
Japanese (ja)
Other versions
JP5302934B2 (en
Inventor
Shinji Nomichi
伸治 野路
Keiichi Toyama
敬一 遠山
Mamoru Nakasuji
護 中筋
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Ebara Corp
Original Assignee
Ebara Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ebara Corp filed Critical Ebara Corp
Priority to JP2010137983A priority Critical patent/JP5302934B2/en
Publication of JP2010272528A publication Critical patent/JP2010272528A/en
Application granted granted Critical
Publication of JP5302934B2 publication Critical patent/JP5302934B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Landscapes

  • Analysing Materials By The Use Of Radiation (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To improve throughput of a semiconductor inspection apparatus using an electron beam. <P>SOLUTION: An apparatus for inspecting a surface of a sample includes: an electron source 14,6 for applying electron beams toward the specimen; a sample stage 14,22 for holding the specimen; a detector 14,4 for detecting electrons obtaining information about the surface of the sample by an irradiation of the electron beams toward the specimen; an image processing unit 14,5 for creating an image of the surface of the sample based on the electrons detected by the detector 14,4; and a Wiener filter 14,3 for separating a secondary electron optical system including from the sample stage 14,22 to the detector 14,4 from a primary electron optical system including from the electron source 14,6 to the sample stage 14,22. Emission electrons emitted from the surface of the sample forms a crossover in the Wiener filter 14,3 while the electron beams emitted from an electron gun 14,6 forms a crossover in the Wiener filter 14,3. Each position of the crossovers of the primary electron optical system and the secondary electron optical system differs on the Wiener filter 14,3. <P>COPYRIGHT: (C)2011,JPO&INPIT

Description

本発明は、電子ビームを用いて検査対象の表面に形成されたパターンの欠陥等を検査する検査装置に関し、例えば、半導体製造工程におけるウェーハの欠陥を検出する場合のように、電子ビームを検査対象に照射してその表面の性状に応じて変化する二次電子、反射電子(ミラー電子を含む)、後方散乱電子、透過電子等を捕捉して画像データを形成し、その画像データに基づいて検査対象の表面に形成されたパターン等を高いスループットで検査する検査装置、並びにそのような検査装置を用いて歩留まり良くデバイスを製造するデバイス製造方法に関する。また、本発明は、面ビームを用いた写像投影方式による検出装置および該装置を用いたデバイス製造方法に関する。   The present invention relates to an inspection apparatus for inspecting a defect or the like of a pattern formed on the surface of an inspection object using an electron beam, for example, when detecting a defect of a wafer in a semiconductor manufacturing process. To capture secondary electrons, reflected electrons (including mirror electrons), backscattered electrons, transmitted electrons, etc. that change according to the surface properties, and form image data, and then inspect based on the image data The present invention relates to an inspection apparatus for inspecting a pattern or the like formed on the surface of an object with high throughput, and a device manufacturing method for manufacturing a device with high yield using such an inspection apparatus. The present invention also relates to a detection apparatus using a projection method using a surface beam and a device manufacturing method using the apparatus.

半導体プロセスにおいて、デザインルールは100nmの時代を迎えようとしており、また生産形態はDRAMに代表される少品種大量生産からSOC(Silicon on
chip)のように多品種少量生産へ移行しつつある。それに伴い、製造工程数が増加し、各工程毎の歩留まり向上は必須となり、プロセス起因の欠陥検査が重要になる。
In the semiconductor process, the design rule is about to reach the age of 100 nm, and the production form is changed from mass production of small varieties represented by DRAM to SOC (Silicon on
chip), and is shifting to high-mix low-volume production. Along with this, the number of manufacturing processes increases, and it is essential to improve the yield for each process, and defect inspection due to the process becomes important.

そして、半導体デバイスの高集積化、パターンの微細化に伴い、高分解能、高スループットの検査装置が要求されている。100nmデザインルールのウェーハ基板の欠陥を調べるためには、100nm以下の線幅を有する配線におけるパターン欠陥やパーティクル・ビアの欠陥及びこれらの電気的欠陥を見る必要があり、したがって100nm以下の分解能が必要であり、デバイスの高集積化による製造工程の増加により、検査量が増大するため、高スループットが要求されている。また、デバイスの多層化が進むにつれて、層間の配線をつなぐビアのコンタクト不良(電気的欠陥)を検出する機能も、検査装置に要求されている。現在は主に光方式の欠陥検査装置が使用されているが、分解能及びコンタクト不良検査の点では、光方式の欠陥検査装置に代わって、電子ビームを用いた欠陥検査装置が今後は検査装置の主流になると予想される。ただし、電子ビーム方式欠陥検査装置にも弱点があり、それはスループットの点で光方式に劣ることである。   As semiconductor devices are highly integrated and patterns are miniaturized, inspection devices with high resolution and high throughput are required. In order to investigate defects on a wafer substrate of 100 nm design rule, it is necessary to look at pattern defects and particle / via defects in wirings having a line width of 100 nm or less and their electrical defects, and therefore a resolution of 100 nm or less is required. In addition, since the amount of inspection increases due to an increase in manufacturing process due to high integration of devices, high throughput is required. In addition, as the number of devices increases, the inspection apparatus is also required to have a function of detecting a contact failure (electrical defect) of a via that connects wirings between layers. Currently, optical defect inspection devices are mainly used. However, in terms of resolution and contact defect inspection, instead of optical defect inspection devices, defect inspection devices using electron beams will be used in the future. Expected to become mainstream. However, the electron beam type defect inspection apparatus has a weak point, which is inferior to the optical method in terms of throughput.

このため、高分解能、高スループット、且つ電気的欠陥検出が可能な検査装置の開発が要求されている。光方式での分解能は使用する光の波長の1/2が限界と言われており、実用化されている可視光の例では0.2μm程度である。   Therefore, development of an inspection apparatus capable of detecting electric defects with high resolution and high throughput is required. The resolution in the optical system is said to be limited to 1/2 of the wavelength of the light to be used, and is about 0.2 μm in the case of visible light that has been put into practical use.

一方、電子ビームを使用する方式では、通常、走査型電子ビーム方式(SEM方式)が実用化されており、分解能は0.1μm、検査時間は8時間/枚(200mmウェーハ)である。電子ビーム方式はまた、電気的欠陥(配線の断線、導通不良、ビアの導通不良等)も検査可能であることが大きな特徴であるが、検査速度が非常に遅く、検査速度の速い欠陥検査装置の開発が期待されている。   On the other hand, in a method using an electron beam, a scanning electron beam method (SEM method) is usually put into practical use, with a resolution of 0.1 μm and an inspection time of 8 hours / piece (200 mm wafer). The electron beam method is also characterized by being capable of inspecting electrical defects (wire disconnection, conduction failure, via conduction failure, etc.), but a defect inspection device with a very low inspection speed and a high inspection speed. Development is expected.

ところで、一般に、検査装置は高価であり、またスループットも他のプロセス装置に比べて低いために、現状では重要な工程の後、例えばエッチング、成膜、又はCMP(化学機械研磨)平坦化処理後等に使用されている。   By the way, in general, an inspection apparatus is expensive and has a lower throughput than other process apparatuses. Therefore, after an important process at present, for example, after etching, film formation, or CMP (chemical mechanical polishing) flattening process. Etc. are used.

電子ビームを用いた走査(SEM)方式の検査装置について説明する。SEM方式の検査装置は電子ビームを細く絞って(このビーム径が分解能に相当する)これを走査してライン状に試料を照射する。一方、ステージを電子ビームの走査方向に直角の方向に移動させることにより、平面状に観察領域を電子ビームで照射する。電子ビームの走査幅は一般に数100μmである。前記細く絞られた電子ビーム(一次電子線と呼ぶ)照射により発生した試料からの二次電子を検出器(シンチレータ+フォトマルチプライヤ(光電子増倍管)又は半導体方式の検出器(PINダイオード型)等)で検出する。照射位置の座標と二次電子の量(信号強度)を合成して画像化し、記憶装置に記憶し、あるいはCRT(ブラウン管)上に画像を出力する。   A scanning (SEM) type inspection apparatus using an electron beam will be described. The SEM inspection apparatus narrows the electron beam (this beam diameter corresponds to the resolution), scans it, and irradiates the sample in a line shape. On the other hand, by moving the stage in the direction perpendicular to the scanning direction of the electron beam, the observation region is irradiated with the electron beam in a planar shape. The scanning width of the electron beam is generally several 100 μm. A secondary electron from a sample generated by irradiation of the finely focused electron beam (referred to as a primary electron beam) is detected by a detector (scintillator + photomultiplier (photomultiplier tube) or semiconductor type detector (PIN diode type). Etc.). The coordinates of the irradiation position and the amount of secondary electrons (signal intensity) are combined and imaged and stored in a storage device, or an image is output on a CRT (CRT).

以上はSEM(走査型電子顕微鏡)の原理であり、この方式で得られた画像から工程途中の半導体(通常はSi)ウェーハの欠陥を検出する。検査速度(スループットに相当する)は一次電子線の量(電流値)、ビーム径及び検出器の応答速度で決まる。ビーム径0.1μm(分解能と同じと考えてよい)電流値100nA、検出器の応答速度100MHzが現在の最高値で、この場合で検査速度は20cm径のウェーハ一枚あたり約8時間と言われている。この検査速度が光方式に比べてきわめて遅い(1/20以下)ことが大きな問題点となっている。特に、ウェーハ上に作られた100nm以下のデザイン・ルールのデバイス・パターン、即ち、100nm以下の線幅や直径100nm以下のビア等の形状欠陥や電気的欠陥の検出及び100nm以下のゴミの高速の検出が必要となっている。   The above is the principle of SEM (scanning electron microscope), and a defect in a semiconductor (usually Si) wafer in the process is detected from an image obtained by this method. The inspection speed (corresponding to the throughput) is determined by the amount of primary electron beam (current value), the beam diameter, and the response speed of the detector. The beam diameter is 0.1 μm (which may be considered to be the same as the resolution), the current value is 100 nA, the detector response speed is 100 MHz, and the inspection speed is said to be about 8 hours per 20 cm diameter wafer in this case. ing. A serious problem is that the inspection speed is extremely slow (1/20 or less) compared to the optical system. In particular, a device pattern with a design rule of 100 nm or less formed on a wafer, that is, detection of a shape defect such as a line width of 100 nm or less or a via having a diameter of 100 nm or less, or an electrical defect, and a high speed of dust of 100 nm or less. Detection is required.

電子ビームを用いた走査式の検査装置は例えば特許文献1〜3に開示されている。
特開2002−26093号公報(第3、4ページ、図2) 特開2002−161948号公報(第4−6ページ、図1) 特開2000−161932号公報(第7−9ページ、図2)
Scanning inspection apparatuses using electron beams are disclosed in, for example, Patent Documents 1 to 3.
JP 2002-26093 A (3rd, 4th page, FIG. 2) Japanese Patent Laid-Open No. 2002-161948 (page 4-6, FIG. 1) JP 2000-161932 A (page 7-9, FIG. 2)

上で説明したSEM方式の検査装置では、上記の検査速度がほぼ限界と考えられており、更に高速にする、すなわちスループットを上げるためには新しい方式が必要である。   In the SEM inspection apparatus described above, the above inspection speed is considered to be almost the limit, and a new method is necessary to further increase the speed, that is, to increase the throughput.

また、検査によっては、例えばリソグラフィー後の検査におけるメモリ・セル部とランダム・ロジック部の境界部分、あるいはパターンが密集して線幅が非常に細くなっているメモリ・セル部のように、欠陥が生じやすい、クリティカルな部分のみ検査したい場合もある。つまり、全面検査による精度の高さよりも、ハイスループットの優先度の方が高く、検査精度については、クリティカルな部分のみきちんと検査してある程度の精度を満たせばよいとするような場合や、欠陥の生じやすい箇所が限定され、その箇所のみを検査すれば、十分な検査精度を確保できるような場合があり、このような場合に、クリティカルな部分のみを選択的に検査できる方式があれば便利であり、高スループットの要請にも応えられることになる。   Also, depending on the inspection, defects such as the boundary between the memory cell portion and the random logic portion in the inspection after lithography, or the memory cell portion where the pattern is densely packed and the line width is very thin are used. You may want to inspect only the critical parts that are likely to occur. In other words, the priority of high throughput is higher than the high accuracy of full-scale inspection, and the inspection accuracy is such that it is necessary to properly inspect only critical parts and satisfy a certain degree of accuracy, There are cases where the locations that are likely to occur are limited, and if only those locations are inspected, sufficient inspection accuracy may be ensured. In such cases, it would be convenient if there was a method that could selectively inspect only critical portions. Yes, it can meet the demand for high throughput.

したがって、本発明の目的は、高スループットの要請に応えることができる検査方法および検査装置等を提供することにある。   Therefore, an object of the present invention is to provide an inspection method, an inspection apparatus, and the like that can meet the demand for high throughput.

本発明の一態様は電子線装置であり、この装置は、電子ビームを試料に向けて照射する手段と、該電子ビームの前記試料へ向けた照射によって前記試料の表面の情報を得た電子を検出する検出器と、該検出器に導かれた、前記試料の表面の情報を得た電子に基づいて画像を生成する手段と、試料表面の任意の箇所を選択的に検査する制御手段を備える。画像生成手段は、検出された電子を画像として合成する処理を行う。   One embodiment of the present invention is an electron beam apparatus, which is configured to irradiate an electron beam toward a sample, and to obtain electrons obtained from the surface of the sample by irradiation of the electron beam toward the sample. A detector for detecting, means for generating an image based on electrons obtained from the detector and obtaining information on the surface of the sample, and control means for selectively inspecting an arbitrary portion of the sample surface . The image generation means performs a process of combining the detected electrons as an image.

前記試料の表面の情報を得た電子は、前記試料より発生する二次電子、反射電子、後方散乱電子、透過電子のうちの少なくとも1つ、或いは前記試料の表面付近で反射されたミラー電子、又は試料を透過する透過電子であることが望ましい。   Electrons that have obtained information on the surface of the sample are at least one of secondary electrons, reflected electrons, backscattered electrons, and transmitted electrons generated from the sample, or mirror electrons reflected near the surface of the sample, Or it is desirable that it is a transmission electron which permeate | transmits a sample.

本発明の一態様は試料表面検査方法であり、試料表面の任意の領域を被検査領域として選択するステップと、該選択した被検査領域に向けて電子線を照射するステップと、該試料表面の情報を得た電子を検出するステップと、該検出した電子に基づいて試料表面の画像を生成するステップと、該生成された画像を基準画像と比較して比較検査を行うステップを含む。   One aspect of the present invention is a sample surface inspection method, comprising: selecting an arbitrary region on the sample surface as a region to be inspected; irradiating an electron beam toward the selected region to be inspected; The method includes a step of detecting electrons that have obtained information, a step of generating an image of a sample surface based on the detected electrons, and a step of performing a comparison inspection by comparing the generated image with a reference image.

また、前記試料表面の任意の領域を被検査領域として選択するステップは、予め設定されたレシピの指令に基づいて行う。   The step of selecting an arbitrary region on the sample surface as the region to be inspected is performed based on a preset recipe command.

また、前記試料表面の任意の領域を被検査領域として選択するステップは、基板を検査する際のストライプ単位で選択する。   The step of selecting an arbitrary region on the sample surface as the region to be inspected is selected in units of stripes when inspecting the substrate.

また、前記選択した被検査領域に向けて電子線を照射するステップは、前記電子線が試料上を相対移動するように、電子線又は試料を移動させながら行う。   The step of irradiating the selected region to be inspected with the electron beam is performed while moving the electron beam or the sample so that the electron beam relatively moves on the sample.

また、前記試料表面の情報を得た電子を検出するステップは、複数の画素からなる投影表面上に投影することにより検出する。   Further, the step of detecting the electrons obtained from the sample surface information is detected by projecting onto a projection surface composed of a plurality of pixels.

また、前記選択した被検査領域に向けて電子線を照射するステップは、電子線の照射領域に、検出器上の複数の画素が含まれる面積を有する電子線を用いて行う。   The step of irradiating the selected region to be inspected with an electron beam is performed using an electron beam having an area including a plurality of pixels on the detector in the electron beam irradiation region.

また、前記合成された画像を基準画像と比較して比較検査を行うステップにおいて、前記合成された画像と同一ストライプ内のダイの画像が基準画像として用いられる。   Further, in the step of comparing and comparing the synthesized image with a reference image, an image of a die in the same stripe as the synthesized image is used as a reference image.

本発明の一態様は試料表面検査方法であり、試料上の任意に選択した小領域を電子線を用いて検査し、該小領域の画像を得るステップと、該小領域の画像から、欠陥の多い領域を特定するステップと、該小領域において特定された欠陥の多い領域から、試料全面において欠陥が多いと推定される領域を演算し、特定するステップと、該試料全面において欠陥が多いと推定される領域に電子線を照射して試料表面の検査を行うステップとを含む。   One aspect of the present invention is a sample surface inspection method, in which an arbitrarily selected small region on a sample is inspected using an electron beam, and an image of the small region is obtained. A step of identifying a large area and a region where many defects are estimated on the entire surface of the sample from a region having many defects identified in the small area, and a step of identifying and estimating that there are many defects on the entire surface of the sample Irradiating an electron beam to the region to be inspected and inspecting the sample surface.

また、本発明の一態様は試料表面検査装置であり、この装置は、電子線を試料に向けて照射する電子銃と、該試料を保持する試料ステージと、該電子ビームの前記試料へ向けた照射によって該試料の表面の情報を得た電子を検出する検出器と、該検出器に検出された電子に基づいて試料表面の画像を生成する手段と、該生成された画像を基準画像と比較する比較検査手段と、試料表面の任意の領域を選択的に検査するように制御する制御手段、とを備える。   One embodiment of the present invention is a sample surface inspection apparatus, which is directed to an electron gun that irradiates an electron beam toward a sample, a sample stage that holds the sample, and the electron beam directed to the sample. A detector for detecting electrons obtained from the surface information of the sample by irradiation, means for generating an image of the sample surface based on the electrons detected by the detector, and comparing the generated image with a reference image And a control means for controlling so as to selectively inspect an arbitrary area on the sample surface.

本装置において、前記試料表面の任意の領域は、レシピの指令に基づいて選択する。   In this apparatus, an arbitrary region on the sample surface is selected based on a recipe command.

本装置において、前記試料表面の任意の領域は、検査の際のストライプ単位で選択する。   In this apparatus, an arbitrary area on the sample surface is selected in units of stripes at the time of inspection.

本装置において、前記制御手段は、前記試料上の前記ストライプを前記電子線が照射するように、前記電子線の偏向又は前記ステージの移動により制御する。   In this apparatus, the control means controls the electron beam by deflection of the electron beam or movement of the stage so that the electron beam irradiates the stripe on the sample.

本装置において、前記検出器は、CCDセンサ又はTDI−CCDセンサである。   In the present apparatus, the detector is a CCD sensor or a TDI-CCD sensor.

本装置において、前記電子銃は、複数の画素を含む照射面積を有する電子線を試料に向けて照射するものである。   In this apparatus, the electron gun irradiates a sample with an electron beam having an irradiation area including a plurality of pixels.

本装置において、前記ステージは、検査中にx−y平面上の少なくとも1方向に連続的に移動するものである。   In the present apparatus, the stage continuously moves in at least one direction on the xy plane during inspection.

本装置は、試料上の任意の小領域の画像から欠陥の多い領域を特定し、該欠陥の多い領域のダイとの位置関係を算出し、試料全体で欠陥が多いと推定される領域を特定する演算手段を更に備えている。   This device identifies an area with many defects from an image of an arbitrary small area on the sample, calculates the positional relationship with the die of the area with many defects, and identifies an area that is estimated to have many defects in the entire sample. The operation means is further provided.

また、本発明の別の態様はデバイス製造方法であり、この方法は、a.ウェーハを準備し、b.ウェーハプロセスを行い、c.プロセスを通したウェーハを上述した検査方法を用いて検査し、d.b、cのステップをくり返し、e.デバイスを組み立てる。   Another aspect of the present invention is a device manufacturing method comprising: a. Providing a wafer; b. Performing a wafer process, c. Inspecting the processed wafer using the inspection method described above, d. Repeat steps b and c, e. Assemble the device.

本発明の検査方法又は検査装置により、ウェーハ等の基板の欠陥を、高スループットで検査することが可能となる。   With the inspection method or inspection apparatus of the present invention, it is possible to inspect defects of a substrate such as a wafer with high throughput.

半導体検査装置の全体構成を示す図である。It is a figure which shows the whole structure of a semiconductor inspection apparatus. 検査部の構成を示す図である。It is a figure which shows the structure of a test | inspection part. 検査部の構成を示す図である。It is a figure which shows the structure of a test | inspection part. 検査部の構成を示す図である。It is a figure which shows the structure of a test | inspection part. 検査部の構成を示す図である。It is a figure which shows the structure of a test | inspection part. 検査部の構成を示す図である。It is a figure which shows the structure of a test | inspection part. 検査部の主要構成を示す図である。It is a figure which shows the main structures of a test | inspection part. 本実施の形態の半導体検査装置を示す正面図である。It is a front view which shows the semiconductor inspection apparatus of this Embodiment. 本実施の形態の半導体検査装置を示す平面図である。It is a top view which shows the semiconductor inspection apparatus of this Embodiment. カセットホルダの構成例を示す図である。It is a figure which shows the structural example of a cassette holder. ミニエンバイロメント装置を示す図である。It is a figure which shows a mini-environment apparatus. ローダハウジングを示す図である。It is a figure which shows a loader housing. 電子光学系を示す図である。It is a figure which shows an electron optical system. 電子光学系を示す図である。It is a figure which shows an electron optical system. 試料照射ドームの形状を示す図である。It is a figure which shows the shape of a sample irradiation dome. 制御系の動作を示す図である。It is a figure which shows operation | movement of a control system. 制御系の動作を示す図である。It is a figure which shows operation | movement of a control system. 制御系の動作を示す図である。It is a figure which shows operation | movement of a control system. 制御系の動作を示す図である。It is a figure which shows operation | movement of a control system. 制御系の動作を示す図である。It is a figure which shows operation | movement of a control system. 制御系の動作を示す図である。It is a figure which shows operation | movement of a control system. 制御系の動作を示す図である。It is a figure which shows operation | movement of a control system. アライメント手順を示す図である。It is a figure which shows the alignment procedure. アライメント手順を示す図である。It is a figure which shows the alignment procedure. アライメント手順を示す図である。It is a figure which shows the alignment procedure. 欠陥検査手順を示す図である。It is a figure which shows a defect inspection procedure. 欠陥検査手順を示す図である。It is a figure which shows a defect inspection procedure. 欠陥検査手順を示す図である。It is a figure which shows a defect inspection procedure. 欠陥検査手順を示す図である。It is a figure which shows a defect inspection procedure. 欠陥検査手順を示す図である。It is a figure which shows a defect inspection procedure. 欠陥検査手順を示す図である。It is a figure which shows a defect inspection procedure. 欠陥検査手順を示す図である。It is a figure which shows a defect inspection procedure. 制御系の構成を示す図である。It is a figure which shows the structure of a control system. ユーザインターフェースの構成を示す図である。It is a figure which shows the structure of a user interface. 検査手順を示す図である。It is a figure which shows a test | inspection procedure. 検査手順を示す図である。It is a figure which shows a test | inspection procedure. 検査対象ダイの設定を示す図である。It is a figure which shows the setting of inspection object die | dye. ダイ内部の被検査領域の設定を示す図である。It is a figure which shows the setting of the to-be-inspected area | region inside die | dye. 検査手順を示す図である。It is a figure which shows a test | inspection procedure. 検査手順を示す図である。It is a figure which shows a test | inspection procedure. 検査手順における検査ダイが1個の場合の走査例を示す図である。It is a figure which shows the example of a scan in case the inspection die | dye in an inspection procedure is one. 検査対象を示す図である。It is a figure which shows a test object. 本発明の選択的検査を示す図である。It is a figure which shows the selective test | inspection of this invention. 本発明の選択的検査を示す図である。It is a figure which shows the selective test | inspection of this invention. 本発明の選択的検査を示す図である。It is a figure which shows the selective test | inspection of this invention. 本発明の選択的検査を示す図である。It is a figure which shows the selective test | inspection of this invention. 電子線装置を示す図である。It is a figure which shows an electron beam apparatus. 一次電子照射方法を示す図である。It is a figure which shows the primary electron irradiation method. 検査装置を製造ラインに接続した構成を示す図である。It is a figure which shows the structure which connected the inspection apparatus to the manufacturing line. 検査装置を利用する半導体デバイス製造方法の例を示す図である。It is a figure which shows the example of the semiconductor device manufacturing method using an test | inspection apparatus. リソグラフィ工程の詳細を示す図である。It is a figure which shows the detail of a lithography process.

以下、本発明に係る半導体検査装置の実施の形態を、図面を参照しながら、詳細に説明する。   Embodiments of a semiconductor inspection apparatus according to the present invention will be described below in detail with reference to the drawings.

1 全体構成
まず、半導体検査装置の好適な全体的な構成について説明する。
1. Overall Configuration First, a preferable overall configuration of the semiconductor inspection apparatus will be described.

図1は、検査装置の全体構成を示している。検査装置は検査装置本体1・1、電源ラック1・2、制御ラック1・3、成膜装置1・4、エッチング装置1・5、画像処理ユニット1・6等から構成される。ドライポンプ等の粗引きポンプはクリーンルームの外に置かれる。検査装置本体内部の主要部分は、電子ビーム光学鏡筒、真空搬送系、ステージを収容している主ハウジング、除振台、ターボ分子ポンプ等から構成されている。   FIG. 1 shows the overall configuration of the inspection apparatus. The inspection apparatus includes inspection apparatus main bodies 1 and 1, power supply racks 1 and 2, control racks 1 and 3, film formation apparatuses 1 and 4, etching apparatuses 1 and 5, image processing units 1 and 6, and the like. A roughing pump such as a dry pump is placed outside a clean room. The main parts inside the inspection apparatus main body are composed of an electron beam optical column, a vacuum transfer system, a main housing that houses a stage, a vibration isolation table, a turbo molecular pump, and the like.

制御系には二台のCRTを備え、指示命令入力機能(キーボード等)を備えている。上記の電子ビーム鏡筒は主に電子光学系、検出系、光学顕微鏡等から構成されている。電子光学系は電子銃、レンズ等、搬送系は真空搬送ロボット、大気搬送ロボット、カセットローダ、各種位置センサ等から構成されている。   The control system includes two CRTs and a command input function (such as a keyboard). The electron beam column is mainly composed of an electron optical system, a detection system, an optical microscope, and the like. The electron optical system includes an electron gun, a lens, and the like, and the transfer system includes a vacuum transfer robot, an atmospheric transfer robot, a cassette loader, various position sensors, and the like.

ここでは、成膜装置及びエッチング装置、洗浄装置(図示していない)を検査装置本体近くに並べて設置しているが、これらは検査装置本体に組み込んでも良い。これらは、例えば試料の帯電抑制のために又は試料表面のクリーニングに使用される。スパッタ方式を用いると、一台で制膜及びエッチングの両方の機能を持たせることができる。   Here, the film forming apparatus, the etching apparatus, and the cleaning apparatus (not shown) are arranged side by side near the inspection apparatus main body, but these may be incorporated in the inspection apparatus main body. These are used, for example, for suppressing charge of the sample or for cleaning the sample surface. When the sputtering method is used, both functions of controlling film and etching can be provided by one unit.

図示していないが、使用用途によってはその関連装置を検査装置本体近くに並べて設置するか、それらの関連装置を検査装置本体に組み込んで使用しても良い。或いは、それらの関連装置に検査装置を組み込んでもよい。例えば、化学的機械研磨装置(CMP)と洗浄装置を検査装置本体に組み込んでも良く、或いは、CVD(化学蒸着法:chemical vapor deposition)装置を検査装置に組み込んでもよく、この場合、設置面積や試料搬送の
ためのユニットの数を節約でき、搬送時間を短縮できるなどのメリットが得られる。
Although not shown, depending on the intended use, the related devices may be installed side by side near the inspection apparatus main body, or the related apparatuses may be incorporated into the inspection apparatus main body and used. Or you may incorporate an inspection apparatus in those related apparatuses. For example, a chemical mechanical polishing apparatus (CMP) and a cleaning apparatus may be incorporated in the inspection apparatus main body, or a CVD (chemical vapor deposition) apparatus may be incorporated in the inspection apparatus. Advantages such as saving the number of units for conveyance and shortening the conveyance time can be obtained.

同様に、メッキ装置等の成膜装置に検査装置本体に組み込んでも良い。同様にリソグラフィ装置と組み合わせて使用することも可能である。   Similarly, the inspection apparatus main body may be incorporated in a film forming apparatus such as a plating apparatus. It can also be used in combination with a lithographic apparatus.

1−1)メインチャンバ、ステージ、真空搬送系外装
図2、図3、図4において、半導体検査装置の検査部の主要構成要素が示されている。半導体検査装置の検査部は、外部環境からの振動を遮断するためのアクティブ除振台2・1と、検査室であるメインチャンバ2・2と、メインチャンバ上部に設置された電子光学装置2・3と、メインチャンバ内部に搭載されたウェーハスキャン用のXYステージ3・1と、XYステージ動作制御用のレーザ干渉測定系3・2と、メインチャンバに付随する真空搬送系2・4を備え、それらは図2、図3に示されるような位置関係で配置されている。また、図2、図3には、アクティブ除振ユニット3・3、定盤3・4、ロードロック室3・5、搬送室3・6、真空搬送ロボット3・7、鏡筒排気用TMP、検出系排気用TMP3・9等が示されている。半導体検査装置の検査部は、更に、検査ユニットの環境制御、及びメンテナンスを可能とするための外装4・1を備えており、図4に示されるような位置関係で配置されている。
1-1) Main chamber, stage, vacuum transfer system exterior In FIGS. 2, 3, and 4, main components of the inspection unit of the semiconductor inspection apparatus are shown. The inspection unit of the semiconductor inspection apparatus includes an active vibration isolation base 2. 1 for blocking vibration from the external environment, a main chamber 2. 2 serving as an inspection room, and an electron optical device 2. 3, an XY stage 3, 1 for wafer scanning mounted inside the main chamber, a laser interference measurement system 3, 2 for controlling the operation of the XY stage, and a vacuum transfer system 2, 4 attached to the main chamber, They are arranged in a positional relationship as shown in FIGS. 2 and 3 show active vibration isolation units 3 and 3, surface plates 3 and 4, load lock chambers 3 and 5, transfer chambers 3 and 6, vacuum transfer robots 3 and 7, TMP for tube exhaust, Detection system exhaust TMP3 • 9 and the like are shown. The inspection unit of the semiconductor inspection apparatus further includes an exterior 4 · 1 for enabling environmental control and maintenance of the inspection unit, and is arranged in a positional relationship as shown in FIG.

1−1−1)アクティブ除振台
アクティブ除振台2・1は、アクティブ除振ユニット2・3上に溶接定盤2・4が搭載されており、この溶接定盤上に検査室であるメインチャンバ2・2、メインチャンバ上部に設置された電子光学装置2・3、及びメインチャンバに付随する真空搬送系2・4等を保持している。これにより、検査部における外部環境からの振動を抑制できるようになっている。
1-1-1) Active anti-vibration table Active anti-vibration table 2.1 is equipped with welding surface plate 2, 4 on active anti-vibration unit 2, 3, and is an inspection room on this surface plate. The main chambers 2 and 2, the electron optical devices 2 and 3 installed on the upper part of the main chamber, and the vacuum transfer systems 2 and 4 associated with the main chamber are held. Thereby, the vibration from the external environment in a test | inspection part can be suppressed now.

1−1−2)メインチャンバ
メインチャンバ2・2は、検査環境である真空度(10-4Pa以下)を実現するためにターボ分子ポンプを下部に直接保持しており、ウェーハスキャン用の高精度のXYステージ3・1を内部に備え、外部からの磁気を遮蔽できるようになっている。
1-1-2) Main chamber The main chambers 2 and 2 hold a turbo molecular pump directly in the lower part in order to realize the degree of vacuum (10 −4 Pa or less), which is an inspection environment. An accurate XY stage 3. 1 is provided inside to shield the magnetism from the outside.

また、XYステージを高精度に制御するためにレーザ干渉計によるステージ位置の測定系が設置されている。干渉計5・1は、測定誤差を抑えるために真空中に配されおり、直接測定誤差となる干渉計自体の振動を限りなくゼロとするために、この実施の形態では剛性の高いチャンバ壁に直接固定されている。また、図5には、XY軸駆動用のモータ5・2が示されており、さらに、磁性流体シール5・3、ミラー5・4およびボールねじ5・5が示されている。   In addition, in order to control the XY stage with high accuracy, a stage position measurement system using a laser interferometer is installed. The interferometer 5 · 1 is arranged in a vacuum in order to suppress measurement errors, and in this embodiment, in order to make the vibration of the interferometer itself, which is a direct measurement error, zero, the rigid chamber wall Directly fixed. FIG. 5 shows the motors 5 and 2 for driving the XY axes, and further shows the magnetic fluid seals 5 and 3, mirrors 5 and 4, and ball screws 5 and 5.

1−1−3)XYステージ
XYステージ3・1は、真空中でウェーハを高精度にスキャンできるように構成されている。XおよびYのストロークは、例えば200mmウェーハ用としてそれぞれ200mm〜300mm、300mmウェーハ用としてそれぞれ300mm〜600mmとなっている。
1-1-3) XY stage The XY stage 3.1 is configured to scan a wafer with high accuracy in a vacuum. The strokes of X and Y are, for example, 200 mm to 300 mm for 200 mm wafers and 300 mm to 600 mm for 300 mm wafers, respectively.

また、真空中でウェーハアライメントを行なうためにXYステージ上にはθステージが設置されている。この実施の形態におけるθステージでは、駆動用として2つの超音波モータ、位置制御用としてリニアスケールが配されている。X、Y及びθ動作を行なう可動部に接続された種々のケーブルは、XステージおよびYステージにそれぞれ保持されたケーブルベアによりクランプされ、チャンバ壁に設置されたフィードスルーを介してメインチャンバ外部へと接続されている。   A θ stage is installed on the XY stage for wafer alignment in vacuum. In the θ stage in this embodiment, two ultrasonic motors for driving and a linear scale for position control are arranged. Various cables connected to the movable part that performs the X, Y, and θ operations are clamped by cable bearers respectively held by the X stage and the Y stage, and then to the outside of the main chamber through feedthroughs installed on the chamber walls. Connected with.

1−2)レーザ干渉測定系
レーザ干渉測定系は、X軸およびY軸に平行で、その延長線上が検査位置に相当する光軸を有するレーザ光学系と、その間に配された干渉計5・1により構成されている。本実施の形態における光学系は、図6、図7に示されるような位置関係で配置されている。溶接定盤上に設置されたレーザ6・1より発射されたレーザ光は、ベンダ6・2により垂直に立ち上げられたのちにベンダ7・1により測定面と平行に曲げられる。さらに、スプリッタ6・4によりX軸測定用とY軸測定用に分配された後に、ベンダ7・3およびベンダ6・6によりそれぞれY軸およびX軸に平行に曲げられ、メインチャンバ内部へと導入される。また、図6、図7には、数カ所のターゲット7・2が示されている。
1-2) Laser interferometry system The laser interferometer system is a laser optical system having an optical axis parallel to the X axis and the Y axis, the extension line of which corresponds to the inspection position, and an interferometer 5. 1. The optical system in the present embodiment is arranged in a positional relationship as shown in FIGS. The laser beam emitted from the laser 6. 1 installed on the welding surface plate is raised vertically by the vendor 6. 2 and then bent parallel to the measurement surface by the vendor 7. Furthermore, after being distributed for X-axis measurement and Y-axis measurement by splitters 6 and 4, they are bent parallel to Y-axis and X-axis by vendors 7 and 3 and 6 and 6, respectively, and introduced into the main chamber. Is done. 6 and 7 show several targets 7 and 2.

1−3)検査部外装
検査部外装6・1は、メンテナンス用のフレーム構造としての機能を備えられている。本実施の形態では、収納可能な両持ちクレーンが上部に搭載されている。クレーンは横行レールに取付けられ、横行レールはさらに走行レール(縦)に設置されている。走行レールは、通常時には収納状態となっているのに対して、メンテナンス時にはのように上昇し、クレーンの上下方向のストロークを大きくすることが可能となっている。これにより、メンテナンス時には外装に内蔵されたクレーンにより電子光学装置2・3、メインチャンバ天板、XYステージ3・1を装置背面に脱着可能となっている。外装に内蔵されたクレーンの他の実施の形態では、回転可能な片持ち軸を持つクレーン構造が設けられている。
1-3) Inspection unit exterior The inspection unit exterior 6.1 is provided with a function as a frame structure for maintenance. In the present embodiment, a double-sided crane that can be stored is mounted on the upper part. The crane is attached to a transverse rail, and the transverse rail is further installed on a traveling rail (vertical). While the traveling rail is normally housed, the traveling rail rises as in maintenance, and the vertical stroke of the crane can be increased. As a result, the electron optical devices 2 and 3, the main chamber top plate, and the XY stage 3.1 can be attached to and detached from the back of the device by a crane built in the exterior during maintenance. In another embodiment of the crane built into the exterior, a crane structure with a rotatable cantilever shaft is provided.

また、検査部外装は、環境チャンバとしての機能を兼ね備えることも可能である。これは、必要に応じて温度、湿度管理とともに、磁気遮蔽効果が提供される。   Further, the exterior of the inspection unit can also function as an environmental chamber. This provides a magnetic shielding effect along with temperature and humidity management as required.

2 実施の形態
以下、図面を参照して、本発明の好ましい実施の形態について説明する。本実施の形態では、検査対象が、表面にパターンが形成された基板すなわちウェーハである。
2. Embodiment Hereinafter, a preferred embodiment of the present invention will be described with reference to the drawings. In the present embodiment, the inspection target is a substrate, that is, a wafer having a pattern formed on the surface.

2−1)搬送系
図8及び図9は、本発明に係る半導体検査装置の主要構成要素を立面図及び平面図で示している。この半導体検査装置8・1は、複数枚のウェーハを収納したカセットを保持するカセットホルダ8・2と、ミニエンバイロメント装置8・3と、ワーキングチャンバを構成するローダハウジング8・5と、ウェーハをカセットホルダ8・2から主ハウジング8・4内に配置されたステージ装置8・6上に装填するローダー8・7と、真空ハウジングに取り付けられた電子光学装置8・8とを備え、それらは図8及び図9に示されるような位置関係で配置されている。
2-1) Transport System FIGS. 8 and 9 show the main components of the semiconductor inspection apparatus according to the present invention in elevation and plan views. The semiconductor inspection apparatus 8. 1 includes a cassette holder 8. 2 for holding a cassette containing a plurality of wafers, a mini-environment apparatus 8. 3, a loader housing 8. 5 constituting a working chamber, and a wafer. A loader 8 and 7 for loading from a cassette holder 8 and 2 onto a stage device 8 and 6 disposed in the main housing 8 and 4 and an electro-optical device 8 and 8 attached to a vacuum housing are shown. 8 and FIG. 9 are arranged in a positional relationship.

半導体検査装置8・1は、更に、真空の主ハウジング8・4内に配置されたプレチャージユニット8・9と、ウェーハに電位を印加する電位印加機構と、電子ビームキャリブレーション機構と、ステージ装置上でのウェーハの位置決めを行うためのアライメント制御装置8・10を構成する光学顕微鏡8・11とを備えている。   The semiconductor inspection apparatus 8. 1 further includes a precharge unit 8 9 disposed in the vacuum main housing 8 4, a potential application mechanism for applying a potential to the wafer, an electron beam calibration mechanism, and a stage device. Optical microscopes 8 and 11 constituting alignment control devices 8 and 10 for positioning the wafer are provided.

2−1−1)カセットホルダ
カセットホルダ8・2は、複数枚(例えば25枚)のウェーハが上下方向に平行に並べられた状態で収納されたカセット8・12(例えば、アシスト社製のSMIF、FOUPのようなクローズドカセット)を複数個(この実施の形態では2個)保持するようになっている。このカセットホルダ8・2としては、カセットをロボット等により搬送してきて自動的にカセットホルダ8・2に装填する場合にはそれに適した構造のものを、また人手により装填する場合にはそれに適したオープンカセット構造のものをそれぞれ任意に選択して設置できるように構成されている。また、図10の例のカセットホルダでは、箱本体10・1、基板搬送箱10・2、基板搬出入ドア10・3、蓋体10・4、ULPAフィルタ10・5、ケミカルフィルタ10・6およびファンモータ10・7が備えられている。
2-1-1) Cassette Holder The cassette holder 8. 2 is a cassette 8 or 12 (for example, SMIF manufactured by Assist Corp.) in which a plurality of (for example, 25) wafers are stored in a state of being arranged in parallel in the vertical direction. , A closed cassette such as FOUP) is held (two in this embodiment). The cassette holder 8. 2 has a structure suitable for the case where the cassette is transported by a robot or the like and automatically loaded into the cassette holder 8. 2, and suitable for the case where it is manually loaded. An open cassette structure can be arbitrarily selected and installed. Further, in the cassette holder of the example of FIG. 10, the box main body 10.1, the substrate transport box 10.2, the substrate carry-in / out door 10.3, the lid 10.4, the ULPA filter 10.5, the chemical filter 10.6, Fan motors 10 and 7 are provided.

2−1−2)ミニエンバイロメント装置
図8〜図11において、ミニエンバイロメント装置8・3は、雰囲気制御されるミニエンバイロメント空間11・1を構成するハウジング11・2と、ミニエンバイロメント空間11・1内で清浄空気のような気体を循環して雰囲気制御するための気体循環装置11・3と、ミニエンバイロメント空間11・1内に供給された空気の一部を回収して排出する排出装置11・4と、ミニエンバイロメント空間11・1内に配設されていて検査対象としての基板すなわちウェーハを粗位置決めするプリアライナー11・5とを備えている。
2-1-2) Mini-Environment Device In FIGS. 8 to 11, the mini-environment device 8. 3 includes a housing 11. 2 and a mini-environment space that constitute a mini-environment space 11. A gas circulation device 11.3 for controlling the atmosphere by circulating a gas such as clean air in the 11.1 and a part of the air supplied in the mini-environment space 11.1 are collected and discharged. Discharge devices 1 1 and 4 and pre-aligner 1 1 and 5 disposed in the mini-environment space 1 1 and coarsely positioning a substrate to be inspected, that is, a wafer, are provided.

ハウジング11・2は、頂壁11・6、底壁11・7及び四周を囲む周壁11・8を有しており、ミニエンバイロメント空間11・1を外部から遮断する構造を有している。
ハウジング11・2の周壁11・8のうちカセットホルダ8・2に隣接する部分には出入り口8・15が形成されている。出入り口8・15近傍には公知の構造のシャッタ装置を設けて出入り口8・15をミニエンバイロメント装置側から閉じるようにしてもよい。
The housings 11 and 2 have top walls 11 and 6, bottom walls 11 and 7, and peripheral walls 11 and 8 that surround the four circumferences, and have a structure that blocks the mini-environment space 11 and 1 from the outside.
Entrances 8 and 15 are formed in portions of the peripheral walls 11 and 8 of the housings 11 and 2 adjacent to the cassette holders 8 and 2. A shutter device having a known structure may be provided in the vicinity of the entrances 8 and 15 so that the entrances 8 and 15 are closed from the mini-environment device side.

ミニエンバイロメント空間11・1内に配置されたプリアライナー11・5は、ウェーハに形成されたオリエンテーションフラット(円形のウェーハの外周に形成された平坦部分を言い、以下においてオリフラと呼ぶ)や、ウェーハの外周縁に形成された一つ又はそれ以上のV型の切欠きすなわちノッチを光学的に或いは機械的に検出してウェーハの軸線O−Oの周りの回転方向の位置を約±1度の精度で予め位置決めしておくようになっている。   The pre-aligner 11.5 placed in the mini-environment space 11.1 is an orientation flat formed on the wafer (refers to a flat portion formed on the outer periphery of a circular wafer, hereinafter referred to as an orientation flat), a wafer One or more V-shaped notches or notches formed on the outer periphery of the wafer are optically or mechanically detected to determine a rotational position about the wafer axis OO of about ± 1 degree. Positioning is performed in advance with accuracy.

2−1−3)主ハウジング
図8〜図9において、ワーキングチャンバ8・16を構成する主ハウジング8・4は、ハウジング本体8・17を備え、そのハウジング本体8・17は、台フレーム8・18上に配置された振動遮断装置すなわち防振装置8・19の上に載せられたハウジング支持装置8・20によって支持されている。ハウジング支持装置8・20は矩形に組まれたフレーム構造体8・21を備えている。ハウジング本体8・17はフレーム構造体8・21上に配設固定されていて、フレーム構造体上に載せられた底壁8・22と、頂壁8・23と、底壁8・22及び頂壁8・23に接続されて四周を囲む周壁8・24とを備えていてワーキングチャンバ8・16を外部から隔離している。底壁8・22は、この実施の形態では、上に載置されるステージ装置等の機器による加重で歪みの発生しないように比較的肉厚の厚い鋼板で構成されているが、その他の構造にしてもよい。
2-1-3) Main Housing In FIGS. 8 to 9, the main housing 8, 4 constituting the working chamber 8, 16 includes a housing body 8, 17, and the housing body 8, 17 includes the base frame 8 18 is supported by a housing support device 8/20 mounted on a vibration isolating device, ie, an anti-vibration device 8/19. The housing support devices 8 and 20 include frame structures 8 and 21 assembled in a rectangular shape. The housing bodies 8 and 17 are disposed and fixed on the frame structures 8 and 21, and the bottom walls 8 and 22, the top walls 8 and 23, the bottom walls 8 and 22, and the tops placed on the frame structures are fixed. The working chambers 8 and 16 are isolated from the outside by being provided with peripheral walls 8 and 24 which are connected to the walls 8 and 23 and surround the four sides. In this embodiment, the bottom walls 8 and 22 are made of a relatively thick steel plate so as not to be distorted by weighting by a device such as a stage device mounted thereon. It may be.

2−1−4)ローダハウジング
図8〜図9及び図12において、ローダハウジング8・5は、第1のローディングチャンバ9・2と第2のローディングチャンバ9・3とを構成するハウジング本体9・4を備えている。ハウジング本体9・4は底壁12・1と、頂壁12・2と、四周を囲む周壁12・3と、第1のローディングチャンバ9・2と第2のローディングチャンバ9・3とを仕切る仕切壁9・5とを有していて、両ローディングチャンバを外部から隔離できるようになっている。仕切壁9・5には両ローディングチャンバ間でウェーハのやり取りを行うための開口すなわち出入り口12・4が形成されている。また、周壁12・3のミニエンバイロメント装置及び主ハウジングに隣接した部分には出入り口9・6及び9・7が形成されている。
2-1-4) Loader Housing In FIG. 8 to FIG. 9 and FIG. 12, the loader housings 8 and 5 are housing main bodies 9 and 2 constituting first loading chambers 9 and 2 and second loading chambers 9 and 3, respectively. 4 is provided. The housing main body 9 · 4 is a partition that divides the bottom wall 12 · 1, the top wall 12 · 2, the peripheral wall 12 · 3 surrounding the four circumferences, the first loading chamber 9 · 2 and the second loading chamber 9 · 3. Walls 9 and 5 are provided so that both loading chambers can be isolated from the outside. The partition walls 9 and 5 are formed with openings, that is, entrances and exits 12 and 4 for exchanging wafers between both loading chambers. In addition, entrances 9 and 6 and 9 and 7 are formed at portions of the peripheral walls 12 and 3 adjacent to the mini-environment device and the main housing.

2−1−5)ローダー
ローダー8・7は、ミニエンバイロメント装置8・3のハウジング11・2内に配置されたロボット式の第1の搬送ユニット11・14と、第2のローディングチャンバ9・3内に配置されたロボット式の第2の搬送ユニット9・12とを備えている。
2-1-5) Loader The loader 8 or 7 includes a robot-type first transfer unit 11 or 14 disposed in the housing 11 or 2 of the mini-environment device 8 or 3, and a second loading chamber 9 or 3 is a robot-type second transfer unit 9.

第1の搬送ユニット11・14は、駆動部11・15に関して軸線O1−O1の回りで回転可能になっている多節のアーム11・16を有している。多節のアームとしては任意の構造のものを使用できるが、この実施の形態では、互いに回動可能に取り付けられた三つの部分を有している。アーム11・16の先端には把持装置9・13が取り付けられている。アーム11・16は、軸11・17および昇降機構11・18と共に設けられている。 The first transport units 11 and 14 have multi-node arms 11 and 16 that are rotatable about the axis O 1 -O 1 with respect to the drive units 11 and 15. As the multi-node arm, an arbitrary structure can be used, but in this embodiment, the multi-node arm has three portions which are rotatably attached to each other. Grip devices 9 and 13 are attached to the tips of the arms 11 and 16. The arms 11 and 16 are provided together with the shafts 11 and 17 and the lifting mechanisms 11 and 18.

この第1の搬送ユニット11・14は、カセット内に収容されたウェーハとプリアライナー11・5の間の搬送及びプリアライナー11・5と第2のローディングチャンバ9・2の間の搬送を行う。   The first transfer units 11 and 14 transfer between the wafers accommodated in the cassette and the pre-aligner 1 1 and 5 and transfer between the pre-aligner 1 1 and 5 and the second loading chamber 9 2.

第2の搬送ユニット9・12も第1の搬送ユニットと構造は基本的に同じであり、ウェーハの搬送をウェーハラックとステージ装置の載置面上との間で行う点でのみ相違する。   The second transfer units 9 and 12 are basically the same in structure as the first transfer unit, and are different only in that the wafer is transferred between the wafer rack and the stage apparatus mounting surface.

2−1−6)ステージ装置
ステージ装置8・6は、主ハウジング8・4の底壁8・22上に配置された固定テーブル8・32と、固定テーブル上でY方向(図1において紙面に垂直の方向)に移動するYテーブル8・33と、Yテーブル上でX方向(図1において左右方向)に移動するXテーブル8・34と、Xテーブル上で回転可能な回転テーブル8・35と、回転テーブル8・35上に配置されたホルダ8・36とを備えている。そのホルダ8・36のウェーハ載置面9・14上にウェーハを解放可能に保持する。ホルダ8・36は、ウェーハを機械的に或いは静電チャック方式で解放可能に把持できる公知の構造のものでよい。ステージ装置8・6は、サーボモータ、エンコーダ及び各種のセンサ(図示せず)を用いて、上記のような複数のテーブルを動作させることにより、載置面9・14上でホルダに保持されたウェーハを電子光学装置から照射される電子ビームに対してX方向、Y方向及びZ方向(図8において上下方向)に、更にウェーハの支持面に鉛直な軸線の回り方向(θ方向)に高い精度で位置決めできるようになっている。ステージ装置用のサーボモータ9・14、9・15およびエンコーダ9・17、9・18が図9に示されている。
2-1-6) Stage device The stage devices 8 and 6 include a fixed table 8 and 32 disposed on the bottom walls 8 and 22 of the main housings 8 and 4 and a Y direction (on the drawing in FIG. Y table 8, 33 that moves in the vertical direction), X table 8, 34 that moves in the X direction (left and right in FIG. 1) on the Y table, and rotary tables 8, 35 that can rotate on the X table. And holders 8 and 36 disposed on the rotary tables 8 and 35, respectively. The wafer is releasably held on the wafer placement surfaces 9 and 14 of the holders 8 and 36. The holders 8 and 36 may have a known structure capable of releasably gripping the wafer mechanically or by an electrostatic chuck method. The stage devices 8 and 6 are held by the holders on the mounting surfaces 9 and 14 by operating a plurality of tables as described above using a servo motor, an encoder, and various sensors (not shown). High precision in the X, Y, and Z directions (up and down in FIG. 8) with respect to the electron beam emitted from the electron optical device, and in the direction around the axis perpendicular to the wafer support surface (θ direction) It can be positioned with. FIG. 9 shows servo motors 9, 14, 9, 15 and encoders 9, 17, 9, 18 for the stage device.

なお、Z方向の位置決めは、例えばホルダ上の載置面の位置をZ方向に微調整可能にしておけばよい。この場合、載置面の基準位置を微細径レーザによる位置測定装置(干渉計の原理を使用したレーザ干渉測距装置)によって検知し、その位置を図示しないフィードバック回路によって制御したり、それと共に或いはそれに代えてウェーハのノッチ或いはオリフラの位置を測定してウェーハの電子ビームに対する平面位置、回転位置を検知し、回転テーブルを微小角度制御可能なステッピングモータなどにより回転させて制御する。   For positioning in the Z direction, for example, the position of the mounting surface on the holder may be finely adjusted in the Z direction. In this case, the reference position of the mounting surface is detected by a position measuring device (laser interference distance measuring device using the principle of an interferometer) using a fine-diameter laser, and the position is controlled by a feedback circuit (not shown). Instead, the position of the notch or orientation flat of the wafer is measured to detect the planar position and rotation position of the wafer with respect to the electron beam, and the rotation table is rotated by a stepping motor capable of controlling a minute angle.

電子ビームに対するウェーハの回転位置やX、Y位置を予め信号検出系或いは画像処理系に入力することで得られる信号の基準化を図ることもできる。更に、このホルダに設けられたウェーハチャック機構は、ウェーハをチャックするための電圧を静電チャックの電極に与えられるように構成されていて、ウェーハの外周部の3点(好ましくは周方向に等隔に隔てられた)を押さえて位置決めするように構成されている。ウェーハチャック機構は、二つの固定位置決めピンと、一つの押圧式クランクピンとを備えている。クランプピンは、自動チャック及び自動リリースを実現できるように構成されており、かつ電圧印加の導通箇所を構成している。   It is also possible to standardize the signal obtained by inputting the rotation position of the wafer with respect to the electron beam and the X and Y positions in advance to the signal detection system or the image processing system. Further, the wafer chuck mechanism provided in the holder is configured so that a voltage for chucking the wafer can be applied to the electrode of the electrostatic chuck. It is comprised so that it may position by pressing down. The wafer chuck mechanism includes two fixed positioning pins and one pressing crank pin. The clamp pin is configured to realize automatic chucking and automatic release, and constitutes a conduction portion for applying voltage.

なお、この実施の形態では図9で左右方向に移動するテーブルをXテーブルとし、上下方向に移動するテーブルをYテーブルとしたが、同図で左右方向に移動するテーブルをYテーブルとし、上下方向に移動するテーブルをXテーブルとしてもよい。   In this embodiment, the table that moves in the left-right direction in FIG. 9 is the X table and the table that moves in the up-down direction is the Y table. However, the table that moves in the left-right direction in FIG. The table that moves to X may be the X table.

2−2)ウェーハの搬送方法
次に、カセットホルダ8・2に支持されたカセット8・12からワーキングチャンバ8・16内に配置されたステージ装置8・6までへのウェーハの搬送を順を追って説明する(図8〜図12参照)。
2-2) Wafer transfer method Next, wafer transfer from the cassettes 8 and 12 supported by the cassette holders 8 and 2 to the stage devices 8 and 6 disposed in the working chambers 8 and 16 is performed in order. This will be described (see FIGS. 8 to 12).

カセットホルダ8・2は、前述のように人手によりカセットをセットする場合にはそれに適した構造のものが、また自動的にカセットをセットする場合にはそれに適した構造のものが使用される。この実施の形態において、カセット8・12がカセットホルダ8・2の昇降テーブル8・13の上にセットされると、昇降テーブル8・13は昇降機構8・14によって降下されカセット8・12が出入り口8・15に整合される。カセットが出入り口8・15に整合されると、カセットに設けられたカバー(図示せず)が開き、カセットとミニエンバイロメント装置8・3の出入り口8・15との間には筒状の覆いが配置されてカセット内及びミニエンバイロメント空間内を外部から遮断する。これらの構造は公知のものであるから、その構造及び動作の詳細な説明は省略する。なお、ミニエンバイロメント装置8・3側に出入り口8・15を開閉するシャッタ装置が設けられている場合にはそのシャッタ装置が動作して出入り口8・15を開く。   As described above, the cassette holder 8 or 2 has a structure suitable for manually setting a cassette, and a cassette holder having a structure suitable for automatically setting a cassette. In this embodiment, when the cassettes 8 and 12 are set on the lifting tables 8 and 13 of the cassette holders 8 and 2, the lifting tables 8 and 13 are lowered by the lifting mechanisms 8 and 14, and the cassettes 8 and 12 are moved in and out. 8.15. When the cassette is aligned with the entrance / exit 8/15, a cover (not shown) provided on the cassette is opened, and a cylindrical cover is provided between the cassette and the entrance / exit 8/15 of the mini-environment device 8/3. Arranged to block the inside of the cassette and the mini-environment space from the outside. Since these structures are publicly known, detailed description of the structure and operation is omitted. In addition, when the shutter device which opens / closes the entrance / exit 8/15 is provided in the mini-environment device 8/3 side, the shutter device operates to open the entrance / exit 8/15.

一方、第1の搬送ユニット11・14のアーム11・16は方向M1又はM2のいずれかに向いた状態(この説明ではM1の方向)で停止しており、出入り口8・15が開くとアームが伸びて先端でカセット内に収容されているウェーハのうち1枚を受け取る。なお、アームと、カセットから取り出されるべきウェーハとの上下方向の位置調整は、この実施の形態では第1の搬送ユニット11・14の駆動部11・15及びアーム11・16の上下移動で行うが、カセットホルダの昇降テーブルの上下動行っても或いはその両者で行ってもよい。   On the other hand, the arms 11 and 16 of the first transport units 11 and 14 are stopped in a state facing in either the direction M1 or M2 (in this description, the direction of M1). One of the wafers stored in the cassette is received at the leading end. In this embodiment, the vertical position adjustment of the arm and the wafer to be taken out from the cassette is performed by the vertical movement of the driving units 11 and 15 of the first transfer units 11 and 14 and the arms 11 and 16. The lifting / lowering table of the cassette holder may be moved up and down or both.

アーム11・16によるウェーハの受け取りが完了すると、アームは縮み、シャッタ装置を動作して出入り口を閉じ(シャッタ装置がある場合)、次にアーム11・16は軸線O1−O1の回りで回動して方向M3に向けて伸長できる状態になる。すると、アームは伸びて先端に載せられ或いはチャックで把持されたウェーハをプリアライナー11・5の上に載せ、そのプリアライナー11・5によってウェーハの回転方向の向き(ウェーハ平面に垂直な中心軸線の回りの向き)を所定の範囲内に位置決めする。位置決めが完了すると搬送ユニット11・14はアームの先端にプリアライナー11・5からウェーハを受け取ったのちアームを縮ませ、方向M4に向けてアームを伸長できる姿勢になる。するとシャッタ装置9・8の扉8・27が動いて出入り口8・25及び8・37を開き、アーム11・16が伸びてウェーハを第1のローディングチャンバ9・2内のウェーハラック9・11の上段側又は下段側に載せる。なお、前記のようにシャッタ装置9・8を開いてウェーハラック9・11にウェーハが受け渡される前に、仕切壁9・5に形成された出入り口12・4はシャッタ装置9・10の扉9・19により気密状態で閉じられている。また、シャッタ装置9・8には、シール材8・26および駆動装置8・28が設けられている。 When the receipt of the wafer by the arms 11 and 16 is completed, the arm contracts and operates the shutter device to close the entrance / exit (when the shutter device is present), and then the arms 11 and 16 rotate around the axis O 1 -O 1. It will be in the state which can move and expand | extend toward the direction M3. Then, the arm extends and is placed on the tip or held by the chuck, and the wafer is placed on the pre-aligner 11, 5, and the pre-aligner 11, 5 rotates the wafer in the direction of rotation (the central axis perpendicular to the wafer plane). Rotation direction) is positioned within a predetermined range. When the positioning is completed, the transfer units 11 and 14 receive the wafer from the pre-aligner 11.5 at the tip of the arm and then contract the arm so that the arm can be extended in the direction M4. Then, the doors 8 and 27 of the shutter devices 9 and 8 are moved to open the entrances 8 and 25 and 8 and 37, and the arms 11 and 16 are extended to move the wafers to the wafer racks 9 and 11 in the first loading chamber 9 and 2. Place on the upper or lower side. In addition, before opening the shutter devices 9 and 8 and delivering the wafers to the wafer racks 9 and 11 as described above, the entrances 12 and 4 formed in the partition walls 9 and 5 are the doors 9 of the shutter devices 9 and 10. -It is closed in an airtight state by 19. The shutter devices 9 and 8 are provided with sealing materials 8 and 26 and drive devices 8 and 28, respectively.

上記第1の搬送ユニット11・14によるウェーハの搬送過程において、ミニエンバイロメント装置8・3のハウジングの上に設けられた気体供給ユニット11・9からは清浄空気が層流状に流れ(ダウンフローとして)、搬送途中で塵埃がウェーハの上面に付着するのを防止する。気体供給ユニット11・9と共に導管11・11が設けられている。搬送ユニット周辺の空気の一部(この実施の形態では供給ユニットから供給される空気の約20%で主に汚れた空気)は排出装置11・4の吸入ダクト11・12から吸引されてハウジング外に排出される。残りの空気はハウジングの底部に設けられた回収ダクト11・10を介して回収され再び気体供給ユニット11・9に戻される。   In the wafer transfer process by the first transfer units 11 and 14, clean air flows in a laminar flow from the gas supply units 11 and 9 provided on the housing of the mini-environment devices 8 and 3 (downflow). ) To prevent dust from adhering to the upper surface of the wafer during transfer. The conduits 11 and 11 are provided together with the gas supply units 11 and 9. Part of the air around the transport unit (in this embodiment, air that is mainly contaminated with about 20% of the air supplied from the supply unit) is sucked from the suction ducts 11 and 12 of the discharge devices 11 and 4 to be outside the housing. To be discharged. The remaining air is recovered through recovery ducts 11 and 10 provided at the bottom of the housing and returned to the gas supply units 11 and 9 again.

ローダハウジング8・5の第1のローディングチャンバ9・2内のウェーハラック9・11内に第1の搬送ユニット11・14によりウェーハが載せられると、シャッタ装置9・8が閉じて、ローディングチャンバ9・2内を密閉する。すると、第1のローディングチャンバ9・2内には不活性ガスが充填されて空気が追い出された後、その不活性ガスも排出されてそのローディングチャンバ9・2内は真空雰囲気にされる。この第1のローディングチャンバ9・2の真空雰囲気は低真空度でよい。ローディングチャンバ9・2内の真空度がある程度得られると、シャッタ装置9・10が動作して扉9・19で密閉していた出入り口12・4のシャッタ9・5を開き、第2の搬送ユニット9・12のアーム9・20が伸びて先端の把持装置でウェーハラック9・11から1枚のウェーハを受け取る(先端の上に載せて或いは先端に取り付けられたチャックで把持して)。ウェーハの受け取りが完了するとアームが縮み、シャッタ装置9・10が再び動作して扉9・19で出入り口12・4を閉じる。   When a wafer is placed on the wafer racks 9 and 11 in the first loading chambers 9 and 2 of the loader housings 8 and 5 by the first transfer units 11 and 14, the shutter devices 9 and 8 are closed, and the loading chamber 9 -Seal the inside of 2. Then, after the inert gas is expelled in the first loading chamber 9. 2 and the air is expelled, the inert gas is also discharged and the inside of the loading chamber 9. The vacuum atmosphere of the first loading chamber 9.2 may be a low vacuum level. When the degree of vacuum in the loading chambers 9 and 2 is obtained to some extent, the shutter devices 9 and 10 are operated to open the shutters 9 and 5 of the entrances 12 and 4 that have been sealed by the doors 9 and 19, and the second transfer unit The arms 9 and 12 of the 9 and 12 are extended to receive one wafer from the wafer racks 9 and 11 by the gripping device at the tip (mounted on the tip or gripped by a chuck attached to the tip). When the receipt of the wafer is completed, the arm contracts, and the shutter devices 9 and 10 operate again to close the doorway 12 and 4 with the doors 9 and 19.

なお、シャッタ装置9・10が開く前にアーム9・20は予めウェーハラック9・11の方向N1に向けて伸長できる姿勢になる。また、前記のようにシャッタ装置9・10が開く前にシャッタ装置8・29の扉9・9で出入り口9・7、9・1を閉じていて、第2のローディングチャンバ9・3内とワーキングチャンバ8・16内との連通を気密状態で阻止しており、第2のローディングチャンバ9・3内は真空排気される。また、シャッタ装置8・29には、シール材30・30および駆動装置13・31が設けられている。   Before the shutter devices 9 and 10 are opened, the arms 9 and 20 can be extended in advance in the direction N1 of the wafer racks 9 and 11. Further, before the shutter devices 9 and 10 are opened as described above, the doors 9 and 9 and 9 and 1 are closed by the doors 9 and 9 of the shutter devices 8 and 29, so that the inside of the second loading chamber 9 and 3 is working. Communication with the chambers 8 and 16 is blocked in an airtight state, and the second loading chambers 9 and 3 are evacuated. The shutter devices 8 and 29 are provided with sealing materials 30 and 30 and drive devices 13 and 31, respectively.

シャッタ装置9・10が出入り口12・4を閉じると、第2のローディングチャンバ9・3内は再度真空排気され、第1のローディングチャンバ9・2内よりも高真空度の真空にされる。その間に、第2の搬送ユニット11・14のアームはワーキングチャンバ8・16内のステージ装置8・6の方向に向いて伸長できる位置に回転される。一方ワーキングチャンバ8・16内のステージ装置8・6では、Yテーブル8・33が、Xテーブル8・34の中心線X0−X0が第2の搬送ユニット9・12の回動軸線O2−O2を通るX軸線X1−X1とほぼ一致する位置まで、図9で上方に移動し、また、Xテーブル8・34は図9で最も左側の位置に接近する位置まで移動し、この状態で待機している。第2のローディングチャンバ9・3がワーキングチャンバ8・16の真空状態と略同じになると、シャッタ装置8・29の扉9・9が動いて出入り口9・7、9・1を開き、アームが伸びてウェーハを保持したアームの先端がワーキングチャンバ8・16内のステージ装置8・6に接近する。そしてステージ装置8・6の載置面9・14上にウェーハを載置する。ウェーハの載置が完了するとアームが縮み、シャッタ装置8・29が出入り口9・7、9・1を閉じる。 When the shutter devices 9 and 10 close the entrances 12 and 4, the inside of the second loading chamber 9 and 3 is evacuated again, so that the vacuum is higher than that in the first loading chamber 9 and 2. Meanwhile, the arms of the second transfer units 11 and 14 are rotated to a position where they can extend toward the stage devices 8 and 6 in the working chambers 8 and 16. On the other hand, in the stage devices 8 and 6 in the working chambers 8 and 16, the Y tables 8 and 33 have the center lines X 0 to X 0 of the X tables 8 and 34 and the rotation axes O 2 of the second transport units 9 and 12. 9 moves upward in FIG. 9 to a position substantially coincident with the X-axis line X 1 -X 1 passing through −O 2 , and the X tables 8 and 34 move to a position approaching the leftmost position in FIG. Waiting in this state. When the second loading chamber 9 · 3 becomes substantially the same as the vacuum state of the working chamber 8 · 16, the door 9 · 9 of the shutter device 8 · 29 moves to open the entrances 9 · 7 and 9 · 1 and the arms extend. Then, the tip of the arm holding the wafer approaches the stage device 8 or 6 in the working chamber 8 or 16. Then, the wafer is placed on the placement surfaces 9 and 14 of the stage devices 8 and 6. When the placement of the wafer is completed, the arm contracts, and the shutter devices 8 and 29 close the entrances 9 and 7 and 9 and 1.

ステージには、ウェーハに逆バイアス電位(リターディング電位)をかける機構があるので、アームがステージへウェーハを置きに行くもしくは取りに行く時、アームをステージと同じもしくは近い電位、またはアームをフローティング電位にしておくことにより、電位のショートによる放電などの不具合を避ける機構を有している。また、別の実施の形態として、ウェーハをステージ装置上に搬送する際にはウェーハへのバイアス電位をオフにしておいてもよい。   Since the stage has a mechanism that applies a reverse bias potential (retarding potential) to the wafer, when the arm goes to or gets the wafer from the stage, the arm is at the same potential as or close to the stage, or the arm is at a floating potential. Thus, a mechanism for avoiding problems such as discharge due to potential short-circuiting is provided. As another embodiment, when the wafer is transferred onto the stage device, the bias potential to the wafer may be turned off.

バイアス電位を制御する場合には、ウェーハがステージに搬送されるまでは電位をオフにしておき、ステージに搬送され載置されてからオンにしてバイアス電位を印加するようにしてもよい。バイアス電位を印加する時機は、タクトタイムを予め設定しておき、それにしたがって印加してもよいし、ステージの上にウェーハが載置された事をセンサで検出し、その検出信号をトリガとして印加するようにしてもよい。また、シャッタ装置8・29が出入口9・7、9・1を閉じたことを検出して、その検出信号をトリガとして印加してもよい。更に、静電チャックを用いる場合には、静電チャックに吸着されたことを確認し、それをトリガとしてバイアス電位を印加するようにしてもよい。   When controlling the bias potential, the potential may be turned off until the wafer is transported to the stage, and the bias potential may be applied after the wafer is transported and placed on the stage. When the bias potential is applied, the tact time may be set in advance, and may be applied according to the preset time. Alternatively, the sensor detects that the wafer is placed on the stage and applies the detection signal as a trigger. You may make it do. Further, it may be detected that the shutter devices 8 and 29 close the entrances 9 and 7 and 9 and 1 and the detection signal is applied as a trigger. Further, when an electrostatic chuck is used, it may be confirmed that the chuck is attracted to the electrostatic chuck, and a bias potential may be applied using this as a trigger.

以上は、カセット8・12内のウェーハをステージ装置上に搬送するまでの動作について説明したが、ステージ装置8・6に載せられて処理が完了したウェーハをステージ装置8・6からカセット8・12内に戻すには前述と逆の動作を行って戻す。また、ウェーハラック9・11に複数のウェーハを載置しておくため、第2の搬送ユニット9・12でウェーハラック9・11とステージ装置8・6との間でウェーハの搬送を行う間に、第1の搬送ユニット11・14でカセットとウェーハラック9・11との間でウェーハの搬送を行うことができ、検査処理を効率良く行うことができる。   The operation until the wafers in the cassettes 8 and 12 are transferred onto the stage device has been described above. However, the wafers that have been placed on the stage devices 8 and 6 and completed processing are transferred from the stage devices 8 and 6 to the cassettes 8 and 12. To return to the inside, the reverse operation is performed. In addition, since a plurality of wafers are placed on the wafer racks 9 and 11, the wafers are transferred between the wafer racks 9 and 11 and the stage devices 8 and 6 by the second transfer units 9 and 12. The first transfer units 11 and 14 can transfer the wafers between the cassette and the wafer racks 9 and 11, and can efficiently perform the inspection process.

具体的には、ウェーハラック9・11に、既に処理済のウェーハAと未処理のウェーハBがある場合、まず、ステージ装置8・6に未処理のウェーハBを移動する。この間に、処理済ウェーハAを、アームによりウェーハラックからカセット8・12に移動し、未処理のウェーハCを同じくアームによりカセット8・12から抜き出し、プリアライナ11・5で位置決めした後、ローディングチャンバ9・2のウェーハラック9・11に移動する。   Specifically, when there are already processed wafers A and unprocessed wafers B in the wafer racks 9 and 11, first, the unprocessed wafers B are moved to the stage apparatuses 8 and 6. During this time, the processed wafer A is moved from the wafer rack to the cassettes 8 and 12 by the arm, and the unprocessed wafers C are extracted from the cassettes 8 and 12 by the arm and positioned by the pre-aligner 1 1 and 5. Move to 2 wafer racks 9 and 11.

このようにすることで、ウェーハラック9・11の中は、ウェーハBを処理中に、処理済のウェーハAが未処理のウェーハCに置き換えることができる。また、検査や評価を行うこのような装置の利用の仕方によっては、ステージ装置8・6を複数台並列に置き、それぞれの装置に一つのウェーハラック9・11からウェーハを移動することで、複数枚のウェーハを同時処理することもできる。   In this way, in the wafer racks 9 and 11, the processed wafer A can be replaced with the unprocessed wafer C while the wafer B is being processed. Further, depending on how to use such an apparatus for performing inspection and evaluation, a plurality of stage apparatuses 8 and 6 are placed in parallel, and a plurality of wafers are moved from one wafer rack 9 or 11 to each apparatus. One wafer can be processed simultaneously.

上記の実施の形態によれば、次のような効果を奏することが可能である。
(1)電子線を用いた写像投影方式の検査装置の全体構成が得られ、高いスループットで検査対象を処理することができる。
(2)ミニエンバイロメント空間内で検査対象に清浄気体を流して塵埃の付着を防止すると共に清浄度を観察するセンサを設けることによりその空間内の塵埃を監視しながら検査対象の検査を行うことができる。
(3)ローディングチャンバ及びワーキングチャンバを一体的に振動防止装置を介して支持したので外部の環境に影響されずにステージ装置への検査対象の供給及び検査を行うことができる。
According to the above embodiment, the following effects can be obtained.
(1) An overall configuration of a mapping projection type inspection apparatus using an electron beam is obtained, and an inspection object can be processed with high throughput.
(2) Inspecting the inspection object while monitoring the dust in the space by providing a sensor for observing the cleanliness by supplying a clean gas to the inspection object in the mini-environment space to prevent the adhesion of dust. Can do.
(3) Since the loading chamber and the working chamber are integrally supported via the vibration preventing device, it is possible to supply and inspect the inspection target to the stage device without being affected by the external environment.

2−3)電子光学系
2−3−1)概要
電子光学系8・8は、ハウジング本体8・17に固定された鏡筒8・38の中に設けられた、図13に概略的に図示する一次電子光学系(以下、単に一次光学系という)13・1と、二次電子光学系(以下、単に二次光学系という)13・2とを備える電子光学系と、検出系13・3とを備える。一次光学系13・1は、電子線を検査対象であるウェーハWの表面に照射する光学系で、電子線を放出する電子銃13・4と、電子銃13・4から放出された一次電子線を集束する静電レンズからなるレンズ系13・5と、ウイーンフィルタすなわちE×B分離器13・6と、対物レンズ系13・7とを備え、それらは、図13に示されるように電子銃13・4を最上部にして順に配置されている。この実施の形態の対物レンズ系13・7を構成するレンズは減速電界型対物レンズである。この実施の形態では、電子銃13・4から放出される一次電子線の光軸は、検査対象であるウェーハWに照射される照射光軸(ウェーハの表面に垂直になっている)に関して斜めになっている。対物レンズ系13・7と検査対象であるウェーハWとの間には電極13・8が配置されている。この電極13・8は一次電子線の照射光軸に関して軸対称の形状になっていて、電源13・9によって電圧制御されるようになっている。
2-3) Electron optical system
2-3-1) Outline The electron optical systems 8 and 8 are provided in the lens barrels 8 and 38 fixed to the housing main bodies 8 and 17, and are schematically illustrated in FIG. And an electron optical system including a secondary electron optical system (hereinafter simply referred to as a secondary optical system) 13.2 and a detection system 13.3. The primary optical system 13. 1 is an optical system that irradiates the surface of the wafer W to be inspected with an electron beam. The electron gun 13. 4 that emits an electron beam and the primary electron beam emitted from the electron gun 13. Comprising a lens system 13,5 comprising an electrostatic lens for focusing the light, a Wien filter or E × B separator 13,6, and an objective lens system 13,7, as shown in FIG. Arranged in order with 13.4 at the top. The lenses constituting the objective lens systems 13 and 7 of this embodiment are deceleration electric field type objective lenses. In this embodiment, the optical axis of the primary electron beam emitted from the electron guns 13 and 4 is oblique with respect to the irradiation optical axis (perpendicular to the wafer surface) irradiated to the wafer W to be inspected. It has become. Electrodes 13 and 8 are arranged between the objective lens systems 13 and 7 and the wafer W to be inspected. The electrodes 13 and 8 have an axisymmetric shape with respect to the irradiation optical axis of the primary electron beam, and the voltage is controlled by the power supplies 13 and 9.

二次光学系13・2は、E×B型偏向器13・6により一次光学系から分離された二次電子を通す静電レンズから成るレンズ系13・10を備えている。このレンズ系13・10は二次電子像を拡大する拡大レンズとして機能する。   The secondary optical systems 13 and 2 include lens systems 13 and 10 including electrostatic lenses that pass secondary electrons separated from the primary optical system by the E × B deflectors 13 and 6. The lens systems 13 and 10 function as a magnifying lens that magnifies the secondary electron image.

検出系13・3は、レンズ系13・10の結像面に配置された検出器13・11及び画像処理部13・12を備えている。   The detection systems 13 and 3 include detectors 13 and 11 and image processing units 13 and 12 arranged on the image planes of the lens systems 13 and 10.

一次ビームの入射方向は通常E×BフィルタのE方向(電界の逆方向)であり、この方向と積算型のラインセンサ(TDI:time delay integration)の積算方向とは同じ方向となっている。TDIの積算方向は一次ビーム方向と異なっていても構わない。   The incident direction of the primary beam is usually the E direction of the E × B filter (the reverse direction of the electric field), and this direction is the same as the integration direction of the integration type line sensor (TDI: time delay integration). The TDI integration direction may be different from the primary beam direction.

以下、具体的な実施の形態について説明する。
真空チャンバ、真空排気系、1次光学系、2次光学系、検出器、画像処理器、制御用コンピュータより主に構成されている検査装置の一例である。図14−1にその一例を示す。
Hereinafter, specific embodiments will be described.
It is an example of an inspection apparatus mainly composed of a vacuum chamber, a vacuum exhaust system, a primary optical system, a secondary optical system, a detector, an image processor, and a control computer. An example is shown in FIG.

電子ビームを試料に照射するための1次光学系14・1と試料表面から放出された電子
、例えば、2次電子、反射電子、後方散乱電子等を、検出器に導くための、2次光学系14・2がある。2次光学系は、写像投影式光学系である。1次系と2次系を分離する為に、
E×Bなるビーム分離器14・3が使用される。また、検出器14・4によって検出された電子の画像信号は、光信号、または/及び、電気信号に変換され、画像処理器14・5により処理される。また、このとき、検出器に入射する電子数は、1画素相当エリアに、200個以下でも画像を良好に形成できる。もちろん1画素領域中に200個以上の場合も画像を良好に形成できることは言うまでもない。
Secondary optics for guiding the primary optical system 14. 1 for irradiating the sample with an electron beam and electrons emitted from the sample surface, for example, secondary electrons, reflected electrons, backscattered electrons, etc. to the detector. There is a system 14.2. The secondary optical system is a mapping projection optical system. To separate the primary and secondary systems,
An E × B beam separator 14.3 is used. The electronic image signals detected by the detectors 14 and 4 are converted into optical signals and / or electric signals and processed by the image processors 14 and 5. At this time, an image can be satisfactorily formed even if the number of electrons incident on the detector is 200 or less in an area corresponding to one pixel. Of course, it is needless to say that an image can be satisfactorily formed even when there are 200 or more pixels in one pixel region.

1次光学系の構成要素である電子銃14・6は、熱フィラメントとして、LaB6が用
いられ、ウェネルト、引出電極14・7によりカソードからの電子を引き出す。その後、2段のAレンズ(アインツェルレンズ)14・8によりビームをアパーチャ14・9に収束させ、クロスオーバーを形成する。その後、2段のアライナ14・10、アパーチャ14・11、3段の4極子レンズ14・12、3段アライナ14・13を通過してビーム分離器に入射して試料面方向に偏向され、アライナ14・14、アパーチャ14・15と2次光学系のPレンズ(対物レンズ)14・16を通過して試料面にほぼ垂直に照射される。
The electron gun 14. 6, which is a component of the primary optical system, uses LaB 6 as a heat filament, and draws electrons from the cathode by Wehnelt and extraction electrodes 14 and 7. Thereafter, the beam is converged to the apertures 14 and 9 by the two-stage A lenses (Einzel lenses) 14 and 8 to form a crossover. Thereafter, the light passes through the two-stage aligners 14 and 10, the apertures 14 and 11, the three-stage quadrupole lenses 14 and 12, and the three-stage aligners 14 and 13, enters the beam separator, and is deflected toward the sample surface. 14 and 14, the apertures 14 and 15, and the P lens (objective lens) 14 and 16 of the secondary optical system, and the sample surface is irradiated almost perpendicularly.

アパーチャ14・9により、クロスオーバでの均一性が高く、且つ、輝度の高いビーム領域を通過させ、アパーチャ14・11により、4極子レンズへのビーム入射角度を規定するアライナ(偏向器)14・10は、アパーチャ14・11及び4極子レンズ14・12の光軸中心にビームを入射させるための調整に使用される。4極子レンズ14・12は、ビームの2方向、例えば、X,Y方向の軌道を変えて、ビームの形状を変形することに利用される。例えば、試料照射ビーム形状において、円形、楕円形、矩形、矩形・楕円のx,y方向の形状の割合変更等を実現できる (図14−2参照) 。4極子レンズ通過後、アライナ14・14により、アパーチャ14・15、Pレンズ(対物レンズ)14・16の中心を通過するように調整され、試料表面に入射する。このとき、照射ビームの形状は、2軸の少なくとも一つについて、対称的に形状を形成できる。ビーム形状は非対称であっても良い。試料表面に照射されるビームのエネルギ−は、最終的に、カソードと試料表面に電圧差によって決定される。例えば、カソード-5.0kV、試料表面−4kVの時、
照射ビームエネルギは、1keVとなる (図14−1参照) 。
The apertures 14 and 9 pass through a beam region having high uniformity at crossover and high brightness, and the apertures 14 and 11 define an incident angle of the beam to the quadrupole lens 14. 10 is used for adjustment to make the beam enter the center of the optical axis of the apertures 14 and 11 and the quadrupole lenses 14 and 12. The quadrupole lenses 14 and 12 are used to change the shape of the beam by changing the trajectory in two directions of the beam, for example, the X and Y directions. For example, in the sample irradiation beam shape, it is possible to change the ratio of the circular, elliptical, rectangular, rectangular / elliptical shape in the x and y directions (see FIG. 14-2). After passing through the quadrupole lens, it is adjusted by the aligners 14 and 14 so as to pass through the centers of the apertures 14 and 15 and the P lenses (objective lenses) 14 and 16, and enters the sample surface. At this time, the shape of the irradiation beam can be symmetrically formed with respect to at least one of the two axes. The beam shape may be asymmetric. The energy of the beam applied to the sample surface is finally determined by the voltage difference between the cathode and the sample surface. For example, when the cathode is -5.0 kV and the sample surface is -4 kV,
The irradiation beam energy is 1 keV (see FIG. 14-1).

この場合の電圧の誤差は±10V、エネルギー誤差は±20eVである。また、検出電子として、2次電子を用いる場合、ビーム照射エネルギが1.5keV±10eV〜5keV±10eVで用いるときは、試料が負帯電状態となり、その状態からの2次電子が試料から放出され、2次系により拡大・結像されて検出系に導かれる。照射エネルギが50±10eV〜1500eV±10eVでは、試料表面が正帯電状態になり、放出された2次電子が、検出系に導かれる。正帯電の方が、比較的低ダメージで動作できるが、チャージアップの影響またはチャージアップによる表面電位の不均一による影響は受けやすい。負帯電での動作では、安定して像が得やすく、チャージアップの影響またはチャージアップによる表面電位の不均一による像の歪みが正帯電よりも小さくできる。   In this case, the voltage error is ± 10 V and the energy error is ± 20 eV. When secondary electrons are used as detection electrons, when the beam irradiation energy is 1.5 keV ± 10 eV to 5 keV ± 10 eV, the sample is in a negatively charged state, and secondary electrons from that state are emitted from the sample. The image is enlarged and imaged by the secondary system and guided to the detection system. When the irradiation energy is 50 ± 10 eV to 1500 eV ± 10 eV, the sample surface is positively charged, and the emitted secondary electrons are guided to the detection system. Positive charging can operate with relatively low damage, but is more susceptible to charge-up effects or non-uniform surface potential due to charge-up. In the operation with negative charging, it is easy to obtain a stable image, and the distortion of the image due to the effect of charge-up or uneven surface potential due to charge-up can be made smaller than that of positive charge.

また、アパーチャ14・15の場所において、2次系と1次系のクロスオーバの位置をずらして動作することもある。例えば、2次系は2次系光軸中心上に、2次電子のクロスオーバを形成し、1次系のクロスオーバは2次系の光軸中心よりも50〜500μmずれた位置(X、Yどちらでも良い)に形成して動作させる。これにより、アパーチャ14・15での1次系と2次系の2つのクロスオーバが重なることが無くなり、電流密度の緩和ができるため、ビーム電流量が多い場合の空間電荷効果によるボケの拡大を抑制することが可能となる。これは、例えば、1次系照射ビーム電流密度が1×10-3A/cm2以上のときに、有効となる。それより低い電流密度の時は、光軸中心が同一になっても影響は少ない。 Further, there are cases where the positions of the apertures 14 and 15 are operated by shifting the positions of the crossover between the secondary system and the primary system. For example, the secondary system forms a crossover of secondary electrons on the center of the optical axis of the secondary system, and the crossover of the primary system is shifted by 50 to 500 μm from the center of the optical axis of the secondary system (X, Y may be formed and operated. As a result, the two crossovers of the primary system and the secondary system at the apertures 14 and 15 do not overlap, and the current density can be relaxed. Therefore, the blur due to the space charge effect when the amount of beam current is large can be increased. It becomes possible to suppress. This is effective, for example, when the primary system irradiation beam current density is 1 × 10 −3 A / cm 2 or more. When the current density is lower than that, there is little influence even if the optical axis centers are the same.

試料表面からの放出電子は、2次電子、反射電子、後方散乱電子の1種類以上が利用される。試料表面からの放出エネルギーは、例えば、入射ビームエネルギ1000eV±10eVの時、およそ、各々、0〜10eV、1000eV±10eV、10〜1000eVとなる。   One or more kinds of secondary electrons, reflected electrons, and backscattered electrons are used as the emitted electrons from the sample surface. For example, when the incident beam energy is 1000 eV ± 10 eV, the emission energy from the sample surface is approximately 0 to 10 eV, 1000 eV ± 10 eV, and 10 to 1000 eV, respectively.

電子ビームの代わりに集束イオンビーム(FIB)を用いても良い。FIB源としては液体金属のGaイオン源が一般的であるが、液化しやすい金属を用いる他の液体金属イオン源や、異なる方式のイオン源、例えば放電を用いるデュオプラズマトロン等が使用できる。   A focused ion beam (FIB) may be used instead of the electron beam. As the FIB source, a liquid metal Ga ion source is generally used, but other liquid metal ion sources using a metal that is easily liquefied, ion sources of different systems, for example, a duoplasmatron using a discharge, and the like can be used.

試料としては、10×10mm程度のチップから2,4,6,8,12インチウェーハまで、種々の試料が用いられる。特に、100nm以下の線幅を持つ配線パターンや直径100nm以下のビアの欠陥やゴミの検出に有効であり、また、それらの電気的欠陥を検出するのに都合がよい。試料は、Siウェーハ、Siに加工を施された半導体デバイスウェーハ、マイクロマシン加工がされたウェーハ、液晶ディスプレイ用基板、ハードディスク用ヘッド加工ウェーハ、等が用いられる。   As a sample, various samples are used from a chip of about 10 × 10 mm to a 2, 4, 6, 8, 12 inch wafer. In particular, it is effective for detecting a wiring pattern having a line width of 100 nm or less, a via defect having a diameter of 100 nm or less, and dust, and is convenient for detecting these electrical defects. As the sample, a Si wafer, a semiconductor device wafer obtained by processing Si, a wafer subjected to micromachining, a liquid crystal display substrate, a hard disk head processed wafer, or the like is used.

2次光学系14・2では、試料からの放出電子、例えは、2次電子、反射電子、後方散乱電子を検出系に拡大倍率にて、結像させて、導くための写像投影光学系が用いられる例を述べる。コラムのレンズ構成の例としては、Pレンズ(対物レンズ)14・16、アパーチャ14・15、アライナ14・14、ビーム分離器14・3、Pレンズ(中間レンズ)14・17、アライナ14・18、アパーチャ14・19、Pレンズ(投影レンズ)14・20、アライナ14・21、マイクロチャンネルプレート(MCP)ユニットで構成される。コラムの上部フランジにはハーメチックの石英ガラスが設置されている。その上部にリレーレンズ、二次元の電荷結合素子(2D−CCD)が設置され、蛍光面で形成された像が2D−CCDセンサに結像される。   In the secondary optical system 14. 2, there is a mapping projection optical system for imaging and guiding the emitted electrons from the sample, for example, secondary electrons, reflected electrons, and backscattered electrons to the detection system at a magnification. The example used is described. Examples of the lens configuration of the column include P lenses (objective lenses) 14 and 16, apertures 14 and 15, aligners 14 and 14, beam separators 14 and 3, P lenses (intermediate lenses) 14 and 17, and aligners 14 and 18. , Apertures 14 and 19, P lenses (projection lenses) 14 and 20, aligners 14 and 21, and a microchannel plate (MCP) unit. Hermetic quartz glass is installed on the top flange of the column. A relay lens and a two-dimensional charge coupled device (2D-CCD) are installed on the top thereof, and an image formed on the phosphor screen is formed on the 2D-CCD sensor.

試料表面からの放出電子は、Pレンズ(対物レンズ)14・16にてアパーチャ14・15でクロスオーバを形成して、ビーム分離器14・3中心で結像する。ビーム分離器中心にて結像する条件で動作すると、ビーム分離器14・3で発生する2次系ビームの収差の影響を小さく押さえることができるので有効となる。これは、例えば、E×Bにおいてビームを通過させるときに、像高により偏向量・収差が異なってくるため、結像することにより、結像成分の被る収差を最小限に押さえることができるからである。この事は、1次系においても同様の事がいえるため、1次系においても、試料上に結像条件を形成するのみでなく、ビーム分離器中心付近においても結像点を形成することにより、1次ビームの収差低減を行い、試料上での電流密度ムラを小さく押さえることに有効となる。   The emitted electrons from the sample surface form a crossover at the apertures 14 and 15 by the P lenses (objective lenses) 14 and 16, and form an image at the center of the beam separator 14.3. It is effective to operate under the condition that the image is formed at the center of the beam separator because the influence of the aberration of the secondary beam generated in the beam separators 14 and 3 can be reduced. This is because, for example, when the beam is passed through E × B, the amount of deflection and aberration differ depending on the image height, so that the aberration experienced by the imaging component can be minimized by imaging. It is. The same can be said for the primary system. In the primary system, not only the imaging conditions are formed on the sample but also the imaging point is formed near the center of the beam separator. This is effective in reducing the aberration of the primary beam and minimizing uneven current density on the sample.

その上部にあるPレンズ(中間レンズ)14・17の中心にビームを調整するため、アライナ14・14が使用される。その上流部にあるPレンズ(投影レンズ)14・20の中心にビームを調整するため、アライナ14・18が使用される。その上部にあるMCP中心にビームを調整するために、アライナ14・21がある。Pレンズ(対物レンズ)14・16の倍率は1.5〜3倍、Pレンズ(中間レンズ)14・17の倍率は1.5〜3、Pレンズ(投影レンズ)14・20の倍率は30〜50である。これらの倍率を達成するには、それぞれの倍率に応じた電圧を各々のレンズに印加して調整を行う。また、焦点の微調整を行うには、専用の焦点補正レンズが、Pレンズ(対物レンズ)系の中に組み込まれており、該電極に印加する電圧の微調整により、焦点あわせを実現する。また、アパーチャ14・15とアパーチャ14・19の位置では、双方とも、クロスオーバを形成する場合、アパーチャ14・15は逆光カット用に用いて、アパーチャ14・19は、収差・コントラストを決定する役割を果たすように使用することも可能である。   Aligners 14 and 14 are used to adjust the beam to the center of the P lens (intermediate lens) 14 and 17 at the top. In order to adjust the beam to the center of the P lens (projection lens) 14 and 20 located upstream thereof, aligners 14 and 18 are used. There are aligners 14 and 21 to adjust the beam to the center of the MCP at the top. The magnification of the P lens (objective lens) 14 and 16 is 1.5 to 3 times, the magnification of the P lens (intermediate lens) 14 and 17 is 1.5 to 3, and the magnification of the P lens (projection lens) 14 and 20 is 30. ~ 50. In order to achieve these magnifications, adjustment is performed by applying a voltage corresponding to each magnification to each lens. In order to finely adjust the focus, a dedicated focus correction lens is incorporated in a P lens (objective lens) system, and focusing is achieved by fine adjustment of the voltage applied to the electrode. Further, when both the apertures 14 and 15 and the apertures 14 and 19 form a crossover, the apertures 14 and 15 are used for the backlight cut, and the apertures 14 and 19 determine the aberration and contrast. It can also be used to fulfill

サイズとして例えば、アパーチャ14・15とアパーチャ14・19は、φ30以上φ2000μm以下、好ましくはφ30以上〜φ1000μm、より好ましくはφ30以上〜φ500μmで使用可能である。この時、アパーチャ14・15で収差、透過率、コントラスト特性を主に決める場合には、アパーチャ14・15を例えばφ30〜φ500μm、アパーチャ14・19はφ1000〜φ2000μmで用いる。アパーチャ14・19で収差、透過率、コントラスト特性を主に決める場合には、例えばアパーチャ14・19をφ30〜φ500μm、アパーチャ14・15はφ1000〜φ2000μmで用いる。   As the sizes, for example, the apertures 14 and 15 and the apertures 14 and 19 can be used in a range of φ30 to φ2000 μm, preferably φ30 to φ1000 μm, more preferably φ30 to φ500 μm. At this time, when aberrations, transmittance, and contrast characteristics are mainly determined by the apertures 14 and 15, the apertures 14 and 15 are, for example, φ30 to φ500 μm, and the apertures 14 and 19 are φ1000 to φ2000 μm. When the aberration, transmittance, and contrast characteristics are mainly determined by the apertures 14 and 19, for example, the apertures 14 and 19 are used at φ30 to φ500 μm, and the apertures 14 and 15 are used at φ1000 to φ2000 μm.

また、Pレンズ(中間レンズ)14・17の上下にスティグ電極が設置されて用いることもある。これは、ビーム分離器14・3等によって発生する非点収差を補正するために用いられる。例えば、4、6,8極の電極構成のスティグを用いることが可能である。例えば、8曲の内それぞれ電極に異なった電圧が印加されて非点収差、球面収差の補正に用いることができる。   In some cases, stig electrodes are provided above and below the P lenses (intermediate lenses) 14 and 17. This is used to correct astigmatism generated by the beam separator 14. For example, it is possible to use a stig having an electrode configuration of 4, 6, and 8 poles. For example, different voltages can be applied to the electrodes of each of the eight music pieces and used to correct astigmatism and spherical aberration.

また、反射電子像及び後方散乱電子を用いたときのレンズ動作において、最終段のPレンズ(投影レンズ)14・20が、減速レンズ(負電圧印加レンズ)を用いると、2次電子のノイズカットに有効となる。通常、反射電子量の10〜1000倍程度2次電子量の方が多いため、特に、反射電子・後方散乱電子を用いた結像を行う場合は有効となる。例えば、1次系電子源のカソード電圧−4kV、試料電位−3kVの時、試料からの反射電子エネルギ1keV、検出器電圧が設置電位の時に、P電極の部位では、およそ、反射電子と2次電子のエネルギ差は1keVある。この時、Pレンズ(投影レンズ)電極の負電圧レンズ動作において、中心電圧が、反射電子を通過させて、2次電子をカットオフする条件を用いることが可能である。これらの条件は、シミュレーションによって求めることが可能である。   Further, in the lens operation when the backscattered electron image and the backscattered electron are used, if the P lens (projection lens) 14 and 20 at the final stage uses a deceleration lens (negative voltage application lens), noise reduction of secondary electrons is performed. Effective. Usually, the amount of secondary electrons is about 10 to 1000 times larger than the amount of reflected electrons, which is particularly effective when imaging using reflected electrons and backscattered electrons is performed. For example, when the cathode voltage of the primary electron source is −4 kV and the sample potential is −3 kV, the reflected electron energy from the sample is 1 keV, and the detector voltage is the installation potential. The energy difference between electrons is 1 keV. At this time, in the negative voltage lens operation of the P lens (projection lens) electrode, it is possible to use a condition in which the center voltage passes the reflected electrons and cuts off the secondary electrons. These conditions can be obtained by simulation.

ビーム分離器14・3では、電場と磁場が直行しているE×Bまたは、磁場Bのみで行う分離器が用いられる。E×Bの例では、電界分布を形成するE電極とそれと直交した磁極の面を持ち、直交した方向に磁束密度分布を形成する磁極より構成されている。例えば、2次系の光軸が試料表面より垂直方向であるとき、この2次系の軸に対して、1次系の入射ビームが10〜90度で設定することが可能である。この時、1次系がE×Bにより、
偏向されて試料面に垂直入射でき、また、試料表面からの放出電子が光軸方向つまり試料面から垂直方向にE×Bにて導かれる。これは、E電極に印加する電圧と、B磁極で形成される磁束密度により達成される。例えば、一対のE電極に±2kV±1V、一対のB磁極から並行的に磁束密度分布が形成され、例えば、E×Bの中心部において、1〜60G±1Gの磁極方向の磁束密度を発生する(図14−1参照)。
The beam separators 14 and 3 use E × B in which an electric field and a magnetic field are orthogonal, or a separator that uses only the magnetic field B. In the example of E × B, an E electrode that forms an electric field distribution and a magnetic pole that has a magnetic pole surface orthogonal to the E electrode and forms a magnetic flux density distribution in an orthogonal direction. For example, when the optical axis of the secondary system is perpendicular to the sample surface, the incident beam of the primary system can be set at 10 to 90 degrees with respect to the axis of the secondary system. At this time, the primary system is E × B,
It is deflected so that it can vertically enter the sample surface, and the emitted electrons from the sample surface are guided by E × B in the optical axis direction, that is, the vertical direction from the sample surface. This is achieved by the voltage applied to the E electrode and the magnetic flux density formed by the B magnetic pole. For example, a magnetic flux density distribution is formed in parallel with a pair of E electrodes from ± 2 kV ± 1 V and a pair of B magnetic poles. For example, a magnetic flux density in the magnetic pole direction of 1 to 60 G ± 1 G is generated at the center of E × B. (See FIG. 14-1).

また、E×Bは1次系と2次系の偏向関係を逆にした場合にも適用可能である。即ち、1次系の入射ビーム源を試料の直上方向に設けるとともに、2次系の検出器を1次系の軸と10〜80度の角度をなした方向に設け、E×Bにより1次系のビームには偏向力を加えずに試料に垂直に入射させ、試料から放出された電子(2次系ビーム)に偏向力を加えて検出器の方向に導くことができる。   E × B can also be applied when the deflection relationship between the primary system and the secondary system is reversed. That is, a primary system incident beam source is provided directly above the sample, and a secondary system detector is provided in a direction that forms an angle of 10 to 80 degrees with the primary system axis. The system beam can be incident perpendicularly to the sample without applying a deflection force, and can be guided toward the detector by applying a deflection force to the electrons (secondary system beam) emitted from the sample.

検出器14・4は、MCP等電子増倍管に導入され、増倍された電子が蛍光面に照射され、蛍光像形成がなされる。蛍光面は石英ガラス等のガラス板の片面に蛍光材がコートされているものである。この蛍光像は、リレーレンズ系と2次元CCDにより、撮像される。このリレーレンズ系とCCDはコラムの上部に設置されている。コラムの上部フランジには、ハーメチックガラスが設置され、コラム内の真空環境と外部大気環境とを分離し、かつ、蛍光像を歪・コントラスト劣化を小さくして、CCDに結像して、蛍光像を効率よく撮像できる。   The detectors 14 and 4 are introduced into an electron multiplier such as an MCP, and the fluorescent electrons are irradiated with the multiplied electrons to form a fluorescent image. The fluorescent screen is one in which a fluorescent material is coated on one side of a glass plate such as quartz glass. This fluorescent image is picked up by a relay lens system and a two-dimensional CCD. The relay lens system and CCD are installed at the top of the column. Hermetic glass is installed on the top flange of the column, separating the vacuum environment inside the column from the external atmospheric environment, and reducing the distortion and contrast degradation of the fluorescent image to form an image on the CCD. Can be imaged efficiently.

CCDの代わりに、積算型のラインイメージセンサ(TDI−CCD)カメラを用いることもできる。この場合、試料はステージにて、例えば、E電極方向または、B磁極方向に、ステージ移動を行いながらTDI撮像を行うことが可能となる。例えば、TDIの積算段数が256段、1段当たり2048個の画素数/段、素子サイズ15×15μm、試料面に対するMCP結像倍率が300倍である時、ライン/スペースが0.1/0.1μ
mのとき試料面サイズがMCP面で30/30μmとなる。そして、リレーレンズ倍率1倍のとき、30μmは2つの素子サイズ相当にて撮像される。このとき、1素子相当の試料位置、つまり0.05×0.05μmの試料サイズから放出された電子は、256素子段数分ステージ移動中に積算されて、総合取得光量が増加して撮像できる。これは、ラインレート100kHz〜600kHz対応等、ステージ速度が速いときに特に有効である。これは、ラインレートが早い時に、1素子当たりの取得電子数、つまり、TDIセンサの1素子当たりの取得光強度が小さくなるために、積算を行って最終取得光強度を高くし、コントラストとS/Nを高めることができるためである。ラインレートは、0.5kHz〜100MHzが用いられ、好ましくは1kHz〜50MHz、より好ましくは20kHz〜10MHzである。これに対応して、ビデオレートも、1タップ当たり1〜120MHz/タップ好ましくは10〜50MHz/タップ、より好ましくは、10〜40MHz/タップで使用される。また、タップ数は、1以上520以下で、好ましくは4以上256以下、より好ましくは32以上128以下で用いられる。
An integrated line image sensor (TDI-CCD) camera can also be used instead of the CCD. In this case, TDI imaging can be performed while moving the stage on the stage, for example, in the E electrode direction or the B magnetic pole direction. For example, when the number of TDI integrated stages is 256, the number of 2048 pixels / stage per stage, the element size is 15 × 15 μm, and the MCP imaging magnification with respect to the sample surface is 300 times, the line / space is 0.1 / 0. .1μ
When m, the sample surface size is 30/30 μm on the MCP surface. When the relay lens magnification is 1 ×, 30 μm is imaged with two element sizes. At this time, electrons emitted from a sample position corresponding to one element, that is, a sample size of 0.05 × 0.05 μm, are accumulated during the stage movement by the number of stages of 256 elements, and the total acquired light quantity can be increased and imaged. This is particularly effective when the stage speed is high, such as for a line rate of 100 kHz to 600 kHz. This is because when the line rate is high, the number of acquired electrons per element, that is, the acquired light intensity per element of the TDI sensor decreases, so that integration is performed to increase the final acquired light intensity, and contrast and S This is because / N can be increased. The line rate is 0.5 kHz to 100 MHz, preferably 1 kHz to 50 MHz, more preferably 20 kHz to 10 MHz. Correspondingly, the video rate is also used at 1 to 120 MHz / tap, preferably 10 to 50 MHz / tap, more preferably 10 to 40 MHz / tap per tap. The number of taps is 1 or more and 520 or less, preferably 4 or more and 256 or less, more preferably 32 or more and 128 or less.

CCD、TDIセンサ/カメラは、低ノイズ、高感度の特性を有するものが用いられる。例えば、100〜100000DN/(nJ/cm2)で設定可能であるが、このうち、1000〜50000DN/(nJ/cm2)で使用すると効率がよい。さらに、10
000〜50000DN/(nJ/cm2)で使用すると、高ラインレート時においても
、良好なS/Nで、高品質の画像を得ることができる。
CCDs and TDI sensors / cameras having low noise and high sensitivity characteristics are used. For example, it can be set at 100 to 100000 DN / (nJ / cm 2 ), but among these, it is efficient when used at 1000 to 50000 DN / (nJ / cm 2 ). In addition, 10
When used at 000 to 50000 DN / (nJ / cm 2 ), high quality images can be obtained with good S / N even at high line rates.

また、CCD又はTDIセンサを用いて画像取得がなされるとき、これらのセンサの画素数×段数の領域が、1次ビームの照射エリアとほぼ一致している状態で使用することができ、効率的であると共に、ノイズの低減になる。ノイズは、撮像に使用されるエリア以外の像高の高い部位からの電子もノイズとして、検出器まで到達するものがある。それらを低減するには、有効視野以外の部位のビーム照射を低減することが有効である。CCD、TDIセンサにより取得された像情報は電気信号に変換されて、画像処理器によってデータ処理される。この画像処理により、セル トゥー セル、ダイ トゥー ダイ(Die to Die)ダイ トゥー エニーダイ(Die to Any Die)の像比較が行われ、欠陥検査を行うことができる。例えば、パターン欠陥、パーティクル欠陥、電位コントラスト欠陥(例えば、配線やメッキの電気接続欠陥等)。   In addition, when an image is acquired using a CCD or TDI sensor, the area of the number of pixels × the number of stages of these sensors can be used in a state where they substantially coincide with the irradiation area of the primary beam, which is efficient. In addition, noise is reduced. As for the noise, there are those in which electrons from a part having a high image height other than the area used for imaging reach the detector as noise. In order to reduce them, it is effective to reduce the beam irradiation of parts other than the effective visual field. Image information acquired by the CCD and TDI sensors is converted into an electrical signal and processed by an image processor. By this image processing, cell-to-cell, Die to Die, Die to Any Die images are compared, and defect inspection can be performed. For example, pattern defects, particle defects, potential contrast defects (for example, wiring or plating electrical connection defects).

ステージ14・22は、X,Y,Z、移動機構のうち1つ以上の組み合わせにより設置されたステージが用いられる。
MCPは入ってきた電子を増幅させる機能を有し、そこから出てきた電子は蛍光板により光に変換される。入射電子数が充分多くて増倍する必要にない場合には、MCP無しでも操作も可能である。また、蛍光板の代わりにシンチレータを用いることも可能である。この光の信号(あるいは像信号)はリレーレンズの場合には所定の倍率で、また、FOPの場合には1倍(1対1に光信号を伝える)でTDIへ伝える或いは像を形成する。ホトマルは光信号を増幅して電気信号へ変換するものであり、マルチホトマルはホトマルを複数並べたものである。
As the stages 14 and 22, a stage installed by a combination of one or more of X, Y, Z and a moving mechanism is used.
The MCP has a function of amplifying incoming electrons, and the emitted electrons are converted into light by a fluorescent screen. If the number of incident electrons is sufficiently large and does not need to be multiplied, the operation can be performed without MCP. It is also possible to use a scintillator instead of the fluorescent screen. This light signal (or image signal) is transmitted to the TDI at a predetermined magnification in the case of a relay lens, and is transmitted to the TDI or formed as an image in the case of FOP by a factor of 1 (transmitting the optical signal on a one-to-one basis). A photomal amplifies an optical signal and converts it into an electrical signal, and a multiphotomal is a plurality of photomals arranged.

画像処理器
画像処理器は、像比較、欠陥検出、欠陥分類、画像データ記録、等の機能を有する。
Image processor The image processor has functions such as image comparison, defect detection, defect classification, and image data recording.

上述した電子線検査装置において、1次ビームの照射ビーム形状が、X,Y軸に対して、少なくとも1軸以上に対して対称な照射ビーム形状を使用することができる。このことにより、光軸を中心としたビームによる検出器の電子入射面上で、低収差、低歪みの取得像形成が可能となる。   In the electron beam inspection apparatus described above, the irradiation beam shape of the primary beam can be an irradiation beam shape that is symmetric with respect to the X and Y axes at least one axis or more. This makes it possible to form an acquired image with low aberration and low distortion on the electron incident surface of the detector by a beam centered on the optical axis.

また、検出器としてCCDやTDIを用いる場合では、1画素に対応するエリア、例えば、MCP上において、1画素の形成において、電子の入射量が200個/画素エリア以下にて十分なS/Nを達成でき、画像処理及び欠陥検出に使用できる。これは、例えば、写像投影光学系においては、アパーチャ14・15又は14・19のサイズを規定することにより、ノイズカットと収差低減効果を発生できるため、例えば、径30μm〜1000μmのアパーチャを設置することにより、S/N向上を実現できるので、200電子数/1画素エリアにて、高分解能な良質な画像を取得できる。   Further, when a CCD or TDI is used as a detector, an S / N sufficient for an incident amount of electrons of 200 or less per pixel area in the formation of one pixel on an area corresponding to one pixel, for example, MCP. And can be used for image processing and defect detection. This is because, for example, in a projection projection optical system, by defining the size of the apertures 14, 15 or 14, 19, noise reduction and aberration reduction effects can be generated. For example, an aperture having a diameter of 30 μm to 1000 μm is installed. As a result, an S / N improvement can be realized, and a high-quality image with high resolution can be acquired in the area of 200 electrons / 1 pixel.

TDIはステージの移動方向に付いて段数分の積分を行う。本実施の形態の場合は256段分の積分を行うが、積算段数は114段以上8192段以下、好ましくは114段以上4096段以下、より好ましくは512段以上4096段以下が適当である。積算方向に若干、一次ビームの照度むらがあり、試料からの信号電子にもむらがあったとしても、積分の効果によりそのむらは平均化され、検出される電子情報は一定の安定したものとなる。従って、ステージの移動方向は1次電子ビームの照度むらの生じ易い方向も考慮して、その照度ムラの生じ易い方向がTDIの積分方向に一致するように決めることができる。TDIの使用により、連続した画像取得が可能になるが、CCDを使用して、ステップアンドリピート方式でステージを走査し、画像取得を行っても良い。   TDI performs integration for the number of stages along the moving direction of the stage. In this embodiment, 256 stages of integration are performed. The number of integration stages is 114 to 8192, preferably 114 to 4096, and more preferably 512 to 4096. Even if the illuminance unevenness of the primary beam is slightly in the integration direction and the signal electrons from the sample are also uneven, the unevenness is averaged by the effect of integration, and the detected electronic information is constant and stable. Become. Accordingly, the moving direction of the stage can be determined in consideration of the direction in which the illuminance unevenness of the primary electron beam is likely to occur, so that the direction in which the uneven illuminance is likely to coincide with the integration direction of TDI. Although the use of TDI enables continuous image acquisition, the CCD may be used to scan the stage in a step-and-repeat manner, and image acquisition may be performed.

試料表面の様子が電子により拡大されて、検出器に像を結ぶとき、画像の分解能をCCD又はTDIの1画素程度にする場合、二次光学系の収差やぼけ等は1画素以内であることが望ましい。E×Bにおいて信号電子が偏向を受けると、収差やぼけが大きくなるので、本実施の形態では、二次光学系において、二次電子、反射電子、後方散乱電子等の信号電子にはE×Bでは偏向力を与えずに直進させるように設定してある。すなわち、二次光学系の中心軸が試料の視野中心とE×B中心と、検出器の中心を通る直線である構成となっている。   When the surface of the sample is enlarged by electrons and an image is formed on the detector, if the resolution of the image is about one pixel of CCD or TDI, the aberration or blur of the secondary optical system should be within one pixel. Is desirable. When the signal electrons are deflected at E × B, aberration and blur increase. Therefore, in the present embodiment, in the secondary optical system, signal electrons such as secondary electrons, reflected electrons, and backscattered electrons are expressed as E ×. In B, it is set so as to go straight without applying a deflection force. That is, the central axis of the secondary optical system is a straight line that passes through the center of the visual field of the sample, the E × B center, and the center of the detector.

尚、上記の実施の形態以外であっても二次光学系の像にぼけが生じなければ良く、本件発明がそれを含むことは言うまでもない。   It should be noted that it is sufficient that the image of the secondary optical system is not blurred even if it is other than the above-described embodiment, and it goes without saying that the present invention includes it.

2−4)制御系
制御系は主にメインコントローラ、制御コントローラ、ステージコントローラから構成されている。メインコントローラにはマン−マシンインターフェースが備えられており、オペレータの操作はここを通して行われる(種々の指示/命令、レシピなどの入力、検査スタートの指示、自動と手動検査モードの切り替え、手動検査モード時のときの必要な全てのコマンドの入カ等)。その他、工場のホストコンピュータとのコミュニケーション、真空排気系の制御、ウェーハ等の試料搬送、位置合わせの制御、他の制御コントローラやステージコントローラヘのコマンドの伝達や情報の受け取り等もメインコントローラで行われる。また、光学顕微鏡からの画像信号の取得、ステージの変動信号を電子光学系にフィードバックさせて像の悪化を補正するステージ振動補正機能、試料観察位置のZ方向(二次光学系の軸方向)の変位を検出して、電子光学系ヘフィードバックし、自動的に焦点を補正する自動焦点補正機能を備えている。電子光学系へのフィードバック信号等の授受、及びステージからの信号の授受は、それぞれ制御コントローラ及びステージコントローラを介して行われる。
2-4) Control system The control system is mainly composed of a main controller, a control controller, and a stage controller. The main controller is equipped with a man-machine interface, through which operator operations are performed (various instructions / commands, recipe input, inspection start instructions, automatic and manual inspection mode switching, manual inspection mode, etc. Input of all necessary commands at the time). In addition, communication with the host computer in the factory, control of the evacuation system, sample transfer of wafers, alignment control, command transmission to other control controllers and stage controllers, reception of information, etc. are also performed by the main controller. . In addition, acquisition of image signals from an optical microscope, stage vibration correction function that feeds back stage fluctuation signals to the electron optical system to correct image deterioration, and the Z direction of the sample observation position (axial direction of the secondary optical system) It has an automatic focus correction function that detects the displacement, feeds back to the electron optical system, and automatically corrects the focus. Transmission / reception of a feedback signal and the like to the electron optical system and transmission / reception of a signal from the stage are performed via a control controller and a stage controller, respectively.

制御コントローラは主に電子光学系の制御(電子銃、レンズ、アライナー、ウィーンフィルタ用などの高精度電源の制御等)を担う。具体的には照射領域に、倍率が変わったときにも常に一定の電子電流が照射されるようにすること、各倍率に対応した各レンズ系やアライナーへの自動電圧設定等の、各オペレーションモードに対応した各レンズ系やアライナーへの自動電圧設定等の制御(連動制御)が行われる。   The control controller is mainly responsible for control of the electron optical system (control of a high-precision power source for an electron gun, a lens, an aligner, a Wien filter, etc.). Specifically, each operation mode, such as ensuring that the irradiation area is always irradiated with a constant electron current even when the magnification changes, and automatically setting the voltage to each lens system and aligner corresponding to each magnification. Control (interlocking control) such as automatic voltage setting for each lens system and aligner corresponding to is performed.

ステージコントローラは主にステージの移動に関する制御を行い精密なX方向およびY方向のμmオーダーの移動(±5μm以下、好ましくは±1μm以下、より好ましくは±0.5μm以下程度の誤差)を可能にしている。また、本ステージでは誤差精度±10秒程度以内で、好ましくは±1秒以内、より好ましくは±0.3秒以内で回転方向の制御(θ制御)も行われる。以下、制御系の構成について具体的に説明する。   The stage controller mainly controls the movement of the stage to enable precise movement in the X and Y directions in the order of μm (± 5 μm or less, preferably ± 1 μm or less, more preferably ± 0.5 μm or less). ing. In this stage, the rotational direction control (θ control) is also performed within an error accuracy of about ± 10 seconds, preferably within ± 1 second, more preferably within ± 0.3 seconds. The configuration of the control system will be specifically described below.

2−4−1)構成及び機能
本装置は、ウェーハの指定位置を電子顕微鏡もしくは光学顕微鏡で撮像し表示する機能と、ウェーハの指定位置を電子顕微鏡で撮像し欠陥検出および欠陥分類する機能と、欠陥が検出された位置を電子顕微鏡もしくは光学顕微鏡で撮像し表示する機能とを提供する。また、上記機能の実現およびメンテナンスのため、電子光学系制御と、真空系制御とウェーハ搬送制御と、構成機器単体操作と、撮像機能と、自動欠陥検査処理と、装置異常検知と、装置起動/停止処理機能とを有する。
2-4-1) Configuration and function This apparatus captures and displays a specified position of a wafer with an electron microscope or an optical microscope, and functions to detect and classify defects by imaging the specified position of a wafer with an electron microscope, And a function of imaging and displaying the position where the defect is detected with an electron microscope or an optical microscope. In addition, for the realization and maintenance of the above functions, electron optical system control, vacuum system control, wafer transfer control, component unit single operation, imaging function, automatic defect inspection processing, apparatus abnormality detection, apparatus activation / And a stop processing function.

補助機能は以下のとおりである。
(1)電子光学系制御機能
(a)レンズ電圧印加制御
(a−1)連動制御
(a−2)印加関数による電圧印加
(a−3)多極子レンズ連動電圧印加
(a−4)ウォッブル制御
(b)電子ビーム出力調整
(b−1)プレヒート(Gun)
(b−2)ヒートアップ(Gun)
(b−3)エミッション電流制御(BIAS制御)
(2)真空系制御機能
(a)チャンバ個別真空排気/大気開放
(b)指定チャンバ一括真空排気/大気開放
(3)ウェーハ搬送制御機能
下記動作のステップ動作/全自動動作
(a)ウェーハロード
(b)ウェーハアンロード
(4)構成機器単体操作機能
(5)撮像機能
以下の2つの入力系統を選択し撮像を行う:
(a)CCDカメラ
・光学顕微鏡低倍(ピクセルサイズ:2.75μm/pix)
・光学顕微鏡高倍(ピクセルサイズ:0.25μm/pix)
(b)TDIカメラ
(b−1)TDI−still
(b−2)TDI−scan
EB×80(ピクセルサイズ:0.2μm/pix)
EB×160(ピクセルサイズ:0.1μm/pix)
EB×320(ピクセルサイズ:0.05μm/pix)
EB×480(ピクセルサイズ:0.03μm/pix)。
The auxiliary functions are as follows.
(1) Electro-optical system control function (a) Lens voltage application control (a-1) Interlocking control (a-2) Voltage application by application function (a-3) Multipole lens interlocking voltage application (a-4) Wobble control (B) Electron beam output adjustment (b-1) Preheat (Gun)
(B-2) Heat up (Gun)
(B-3) Emission current control (BIAS control)
(2) Vacuum system control function (a) Individual chamber evacuation / atmosphere release (b) Specified chamber batch evacuation / atmosphere release (3) Wafer transfer control function Step operation of the following operation / Fully automatic operation (a) Wafer load ( b) Wafer unload (4) Single component operation function (5) Imaging function Select the following two input systems to perform imaging:
(A) CCD camera ・ Optical microscope low magnification (pixel size: 2.75 μm / pix)
・ High magnification of optical microscope (pixel size: 0.25 μm / pix)
(B) TDI camera (b-1) TDI-still
(B-2) TDI-scan
EB × 80 (pixel size: 0.2 μm / pix)
EB × 160 (pixel size: 0.1 μm / pix)
EB × 320 (pixel size: 0.05 μm / pix)
EB × 480 (pixel size: 0.03 μm / pix).

さらに誤操作などによる事故防止のため、操作する者の技術・知識レベルに応じて操作可能項目を制限する機能としてユーザーモード指定機能がある。このユーザーモードは、GUI(グラフィッカルユーザーインターフェース)起動時に入力するユーザーIDおよびパスワードにて指定される。   Furthermore, there is a user mode designation function as a function for restricting items that can be operated according to the skill and knowledge level of the operator to prevent accidents due to erroneous operations. This user mode is designated by a user ID and password that are input when a GUI (Graphical User Interface) is started.

ユーザーモードには、メンテナンスモード、レシピ作成モード、オペレータモードがあり、装置設置後の立ち上げ作業およびメンテナンス作業時にはメンテナンスモードにて操作を行い、レシピの作成時にはレシピ作成モードにて必要な操作および手順を支援し、自動欠陥検査時にはオペレータモードにて作成済みのレシピを使用して検査を行う。各ユーザーモードと装置運用形態の関係は図15のようになる。ここで、
メンテナンスモード....構成機器単体操作、ウェーハ搬送、真空系制御、電子光学系制御、観察(光顕撮像、TDI撮像)、欠陥検査、レビュー
レシピ作成モード.....ウェーハ搬送、観察(光顕撮像、TDI撮像)、欠陥検査、レビュー
オペレータモード.....自動欠陥検査(ウェーハ搬送など必要な機能の自動制御)、レビュー。
The user mode includes a maintenance mode, recipe creation mode, and operator mode. Operation is performed in maintenance mode during start-up work and maintenance work after device installation, and operations and procedures required in recipe creation mode when creating recipes At the time of automatic defect inspection, inspection is performed using a recipe already created in the operator mode. The relationship between each user mode and the device operation form is as shown in FIG. here,
Maintenance mode. . . . Single component operation, wafer transfer, vacuum system control, electron optical system control, observation (light microscope imaging, TDI imaging), defect inspection, review recipe creation mode. . . . . Wafer transfer, observation (optical microscope imaging, TDI imaging), defect inspection, review operator mode. . . . . Automatic defect inspection (automatic control of necessary functions such as wafer transfer) and review.

本装置には、運用に必要な可変パラメータとして装置定数とレシピが存在する。装置固有の(取付け誤差などの)誤差を吸収するパラメータとして装置定数が規定され、自動で欠陥検査を行うために各種条件を規定するパラメータとしてレシピが規定されている。装置定数は、立ち上げ作業時、メンテナンス作業後に設定され、基本的にその後は変更されることが無い。   In this apparatus, there are apparatus constants and recipes as variable parameters necessary for operation. An apparatus constant is defined as a parameter that absorbs an error inherent to the apparatus (such as an attachment error), and a recipe is defined as a parameter that defines various conditions for automatically performing defect inspection. The device constant is set at the time of start-up work and after maintenance work, and is basically not changed thereafter.

レシピは、搬送レシピ、アライメントレシピ、ダイマップレシピ、フォーカスマップレシピ、検査レシピに分類され、これらのレシピに従って欠陥検査が行われるため、設定作業は検査処理実施前に行われ、複数パターンの設定が保存される。   Recipes are classified into transport recipes, alignment recipes, die map recipes, focus map recipes, and inspection recipes, and defect inspection is performed according to these recipes, so setting work is performed before the inspection process is performed, and multiple patterns are set. Saved.

レシピ作成時の手順としては図16のように、ウェーハをステージ上へ搬送(ウェーハロード)するところが最初のステップとなる。ウェーハカセットを装置へ設置後、カセット内の各スロットのウェーハ有無を検出するためのウェーハサーチを行い、検出されたウェーハに対して、ウェーハサイズ、ノッチ/オリフラ種別、(ステージ上にロードされたときの)ノッチ方向を指定し、図17、図18に示される手順でウェーハをロードする。搬送レシピには、これらの条件が保存される。ステージ上にロードされたウェーハのダイの配置方向は、TDIカメラのスキャン方向と必ずしも一致しない(図19)。これを一致させるためにθステージでウェーハを回転させる操作が必要となり、この操作をアライメントと呼ぶ(図20)。アライメントレシピではステージ上にロードされた後のアライメント実行条件が保存される。   As a procedure for creating a recipe, the first step is to transfer the wafer onto the stage (wafer load) as shown in FIG. After installing the wafer cassette in the system, a wafer search is performed to detect the presence / absence of each slot in the cassette, and the wafer size, notch / orientation type, (when loaded on the stage) The notch direction is designated, and the wafer is loaded by the procedure shown in FIGS. These conditions are stored in the transfer recipe. The arrangement direction of the dies on the wafer loaded on the stage does not necessarily coincide with the scanning direction of the TDI camera (FIG. 19). In order to make this coincide, an operation of rotating the wafer on the θ stage is required, and this operation is called alignment (FIG. 20). In the alignment recipe, the alignment execution condition after being loaded on the stage is stored.

なお、アライメント実施時にダイの配列を示すダイマップ(図21)が作成され、ダイマップレシピではダイサイズや(ダイの位置を示す起点となる)原点ダイの位置などが保存される。   A die map (FIG. 21) showing the arrangement of the dies is created at the time of alignment, and the die size and the position of the origin die (which is the starting point indicating the position of the die) are stored in the die map recipe.

2−4−2)アライメント手順
アライメント(位置決め)手順としては、始めに光学顕微鏡の低倍にて粗い位置決めを行い、次いで光学顕微鏡の高倍により、最後にEB像により詳細な位置決めを行う。
2-4-2) Alignment Procedure As an alignment (positioning) procedure, first, coarse positioning is performed at a low magnification of the optical microscope, and then detailed positioning is performed by an EB image at the high magnification of the optical microscope.

A.光学顕微鏡低倍にて撮像
(1)<第1,2,3サーチダイ指定及びテンプレート指定>
(1−1)第1サーチダイ指定及びテンプレート指定
ウェーハ下方に位置するダイの左下隅がカメラ中央付近に位置するようにユーザー操作にてステージを移動し、位置決定後、パターンマッチ用テンプレート画像を取得する。このダイが位置決めの基準となるダイであり、左下隅の座標が特徴点の座標となる。今後、このテンプレート画像でパターンマッチングを行うことにより、基板上の任意のダイの正確な位置座標を測定していく。このテンプレート画像には、サーチ領域内でユニークなパターンとなるような画像を選択しなければならない。
A. Image taken with optical microscope at low magnification (1) <Specifying first, second, third search die and specifying template>
(1-1) First Search Die Designation and Template Designation The user moves the stage so that the lower left corner of the die located below the wafer is located near the center of the camera. get. This die is a die serving as a positioning reference, and the coordinates of the lower left corner are the coordinates of the feature points. In the future, we will measure the exact position coordinates of any die on the substrate by performing pattern matching on this template image. As the template image, an image that has a unique pattern within the search area must be selected.

なお、本実施例では、左下隅をパターンマッチング用テンプレート画像取得位置としたが、これに限られるものではなく、ダイ内の任意の位置を特徴点として選択してよい。ただし、一般的には、ダイの内部や辺の上にある点よりも、隅の方が座標を特定し易いので、四隅のいずれかを選択するのが好適である。また同様に、本実施例では、ウェーハ下方に位置するダイについてパターンマッチング用テンプレート画像を取得したが、これもアライメントが行い易いように任意のダイを選択しても構わないのは当然である。   In this embodiment, the lower left corner is the pattern matching template image acquisition position. However, the present invention is not limited to this, and an arbitrary position in the die may be selected as the feature point. However, in general, it is preferable to select any of the four corners because the corners are easier to specify the coordinates than the points on the inside of the die or on the sides. Similarly, in the present embodiment, the pattern matching template image is acquired for the die located below the wafer, but it is natural that any die may be selected so that alignment can be easily performed.

(1−2)第2サーチダイ指定
第1サーチダイの右隣のダイを第2サーチダイとし、第2サーチダイの左下隅がカメラ中央付近に位置するようにユーザー操作にてステージを移動し、位置決定後、上記(1−1)で取得したテンプレート画像を用いて自動でパターンマッチを実行することで第1サーチダイで指定したテンプレート画像と一致する第2サーチダイのパターンの厳密な座標値を取得する。
(1-2) Second search die designation The die next to the right of the first search die is the second search die, and the stage is moved by the user operation so that the lower left corner of the second search die is located near the center of the camera. After the position is determined, the exact coordinates of the pattern of the second search die that matches the template image specified by the first search die by automatically performing pattern matching using the template image acquired in (1-1) above. Get the value.

なお、本実施例では、第1サーチダイの右隣のダイを第2サーチダイとして例を挙げて説明したが、本発明の第2サーチダイはこれに限られるものではないことは勿論である。要は、正確な特徴点の位置座表を把握した基準点からの、行方向のダイの位置関係をパターンマッチングにより正確に把握することができる点を選択すればよいのである。したがって、例えば、第1サーチダイの左隣のダイを第2サーチダイとすることも可能である。   In the present embodiment, the die on the right side of the first search die is described as an example of the second search die. However, the second search die of the present invention is not limited to this. . In short, it is only necessary to select a point that can accurately grasp the positional relationship of the die in the row direction from the reference point that grasps the position map of the accurate feature point by pattern matching. Therefore, for example, the die next to the left of the first search die can be used as the second search die.

(1−3)第3サーチダイ指定
第2サーチダイの上隣のダイを第3サーチダイとし、第3サーチダイの左下隅がカメラ中央付近に位置するようにユーザー操作にてステージを移動し、位置決定後、上記(1−1)で取得したテンプレート画像を用いて自動でパターンマッチを実行することで第1サーチダイで指定したテンプレート画像と一致する第3サーチダイのパターンの厳密な座標値を取得する。
(1-3) Third search die designation The die next to the second search die is the third search die, and the stage is moved by the user operation so that the lower left corner of the third search die is located near the center of the camera. After the position is determined, the exact coordinates of the pattern of the third search die that matches the template image specified by the first search die by automatically performing pattern matching using the template image acquired in (1-1) above Get the value.

なお、本実施例では、第2サーチダイの上隣のダイを第3サーチダイとして例を挙げて説明したが、本発明の第3サーチダイはこれに限られるものではないことは言うまでもない。要は、特徴点の正確な座標を把握したダイを基準として、列方向のダイの特定点の座標の距離を含めた位置関係を把握することができればよいのである。したがって、第1サーチダイの上隣のダイも好適に代替適用可能である。   In the present embodiment, the upper die adjacent to the second search die is described as an example of the third search die. However, it goes without saying that the third search die of the present invention is not limited to this. In short, it is only necessary to be able to grasp the positional relationship including the distance of the coordinates of the specific point of the die in the column direction with reference to the die that grasped the exact coordinates of the feature points. Therefore, the die adjacent to the upper side of the first search die can be preferably applied as an alternative.

(2)<光顕低倍Y方向パターンマッチング>
(2−1)第2サーチダイのパターンマッチ座標(X2,Y2)と第3サーチダイのパターンマッチ座標(X3,Y3)の関係より、上隣ダイのパターンへの移動量(dX,dY)を算出する。
dX=X3−X2
dY=Y3−Y2
(2) <Light microscope low magnification Y direction pattern matching>
(2-1) From the relationship between the pattern match coordinates (X2, Y2) of the second search die and the pattern match coordinates (X3, Y3) of the third search die (dX, dY) Is calculated.
dX = X3-X2
dY = Y3-Y2

(2−2)算出した移動量(dX,dY)を用い、第1サーチダイの上隣のダイのパターンが存在する(と予想される)座標(XN,YN)へステージを移動。
XN=X1+dX
YN=Y1+dY
※(X1,Y1):第1サーチダイのパターンの座標
(2-2) Using the calculated movement amount (dX, dY), move the stage to the coordinates (XN, YN) where the pattern of the adjacent die on the first search die exists (expected).
XN = X1 + dX
YN = Y1 + dY
* (X1, Y1): Coordinates of the pattern of the first search die

(2−3)ステージ移動後、光顕低倍にて撮像し、テンプレート画像を用いてパターンマッチを実行することで、現在観察中のパターンの厳密な座標値(XN,YN)を取得し、さらにダイの検出個数(DN)の初期値として1を設定する。   (2-3) After moving the stage, pick up an image at a light microscope low magnification, and execute a pattern match using a template image, thereby obtaining exact coordinate values (XN, YN) of the currently observed pattern, 1 is set as the initial value of the number of detected die (DN).

(2−4)第1サーチダイのパターン座標(X1,Y1)から現在撮像中のパターンの座標(XN,YN)への移動量(dX,dY)を算出する。
dX=XN−X1
dY=YN−Y1
(2-4) The amount of movement (dX, dY) from the pattern coordinates (X1, Y1) of the first search die to the coordinates (XN, YN) of the pattern currently being imaged is calculated.
dX = XN-X1
dY = YN-Y1

(2−5)算出した移動量(dX,dY)の2倍の移動量(2*dX,2*dY)分だけ第1サーチダイを起点としてステージを移動する。   (2-5) The stage is moved starting from the first search die by a movement amount (2 * dX, 2 * dY) twice the calculated movement amount (dX, dY).

(2−6)ステージ移動後、光顕低倍にて撮像し、テンプレート画像を用いてパターンマッチを実行することで、現在観察中のパターンの厳密な座標値(XN,YN)を更新し、ダイの検出個数を2倍する。これについては図22参照。   (2-6) After moving the stage, the image is picked up at low magnification, and pattern matching is executed using the template image, thereby updating the exact coordinate values (XN, YN) of the currently observed pattern, The number of detected signals is doubled. See FIG. 22 for this.

(2−7)予め指定されたY座標値を超えるまでウェーハ上部へ向けて(2−4)〜(2−6)を繰り返し実行する。   (2-7) The steps (2-4) to (2-6) are repeatedly executed toward the upper part of the wafer until the Y coordinate value specified in advance is exceeded.

なお、本実施例では、精度を高めるため、及び処理回数(繰り返し回数)を低減させ、処理時間を短縮するために、2倍の移動量を繰り返す態様を例にとって説明したが、精度に問題がなく、更に処理時間を短縮させたければ、3倍、4倍というように、2倍以上等の整数倍の高倍率で実行しても構わない。また逆に、問題が無ければ、更に精度を高めるために、固定移動量で移動を繰り返してもよい。これらいずれの場合も、検出個数にもそれを反映させることは言うまでもない。   In the present embodiment, an example has been described in which a double movement amount is repeated in order to increase accuracy, reduce the number of times of processing (number of repetitions), and shorten the processing time. However, there is a problem in accuracy. If the processing time is to be further reduced, it may be executed at a high magnification of an integral multiple such as 2 or more, such as 3 or 4 times. Conversely, if there is no problem, the movement may be repeated with a fixed movement amount in order to further improve the accuracy. In any of these cases, it goes without saying that this is also reflected in the detected number.

(3)<光顕低倍θ回転>
(3−1)第1サーチダイのパターン座標(X1,Y1)から最後にサーチしたダイのパターンの厳密な座標値(XN,YN)までの移動量および、それまでに検出したダイの個数(DN)を用い、回転量(θ)およびY方向ダイサイズ(YD)を算出する(図23参照)。
dX=XN−X1
dY=YN−Y1
θ=tan-1(dX/dY)
YD=sqrt((dX)2+(dY)2)/DN
※sqrt(A)=√A
(3−2)算出した回転量(θ)分だけθステージを回転させる。
(3) <Light microscope low magnification θ rotation>
(3-1) The amount of movement from the pattern coordinates (X1, Y1) of the first search die to the exact coordinate values (XN, YN) of the last searched die pattern and the number of dies detected so far ( DN) is used to calculate the rotation amount (θ) and the Y-direction die size (YD) (see FIG. 23).
dX = XN-X1
dY = YN-Y1
θ = tan −1 (dX / dY)
YD = sqrt ((dX) 2 + (dY) 2 ) / DN
* Sqrt (A) = √A
(3-2) The θ stage is rotated by the calculated rotation amount (θ).

B.光学顕微鏡高倍にて撮像
(1)光顕低倍の(1)と同様の手順を光顕高倍像を用いて実行する。
(2)光顕低倍の(2)と同様の手順を光顕高倍像を用いて実行する。
(3)光顕低倍の(3)と同様の手順を実行する。
(4)<光顕高倍θ回転後の許容値チェック>
B. Imaging with optical microscope high magnification (1) The same procedure as (1) for optical microscope low magnification is executed using an optical microscope high magnification image.
(2) The same procedure as in (2) for light microscope low magnification is executed using a light microscope high magnification image.
(3) The same procedure as (3) for light microscopic low magnification is executed.
(4) <Tolerance value check after optical microscope magnification θ rotation>

(4−1)[第1サーチダイ、光顕高倍のテンプレート指定]
回転後の第1サーチダイの座標(X’1,Y’1)を回転前座標(X1,Y1)および回転量(θ)から算出し、座標(X’1,Y’1)へステージを移動、位置決定後、パターンマッチ用テンプレート画像を取得。
X’1= x1*cosθ−y1*sinθ
Y’1=x1*sinθ+y1*cosθ
(4−2)光顕高倍Y方向パターンマッチング
(4-1) [First search die, light magnifying power template specification]
The coordinates (X′1, Y′1) of the first search die after rotation are calculated from the coordinates (X1, Y1) before rotation and the rotation amount (θ), and the stage is moved to the coordinates (X′1, Y′1). After moving and locating, get a template image for pattern matching.
X′1 = x 1 * cos θ−y 1 * sin θ
Y′1 = x 1 * sin θ + y 1 * cos θ
(4-2) Light microscope high magnification Y direction pattern matching

回転後の第1サーチダイの座標(X’1,Y’1)からdYだけY方向へ移動し、パターンマッチを実行することで現在観察中のパターンの厳密な座標値(XN,YN)を取得する。   By moving in the Y direction by dY from the coordinates (X′1, Y′1) of the first search die after rotation, the exact coordinate values (XN, YN) of the currently observed pattern are obtained by executing pattern matching. get.

(4−3)回転後の第1サーチダイの座標(X’1,Y’1)から現在撮像中のパターンの座標
(XN,YN)への移動量(dX,dY)を算出する。
dX=XN−X’1
dY=YN−Y’1
(4-3) A movement amount (dX, dY) from the coordinates (X′1, Y′1) of the first search die after rotation to the coordinates (XN, YN) of the pattern currently being imaged is calculated.
dX = XN−X′1
dY = YN−Y′1

(4−4)算出した移動量(dX,dY)の2倍の移動量(2*dX,2*dY)分だけ第1サーチダイを起点としてステージを移動する。   (4-4) The stage is moved from the first search die as a starting point by a movement amount (2 * dX, 2 * dY) twice the calculated movement amount (dX, dY).

(4−5)ステージ移動後、光顕高倍にて撮像し、テンプレート画像を用いてパターンマッチを実行することで、現在観察中のパターンの厳密な座標値(XN,YN)を更新する。   (4-5) After moving the stage, the image is captured at optical magnification, and the pattern matching is executed using the template image, thereby updating the exact coordinate values (XN, YN) of the currently observed pattern.

(4−6)予め指定されたY座標値を超えるまでウェーハ上部へ向けて(4−3)〜(4−5)を繰り返し実行する。   (4-6) Steps (4-3) to (4-5) are repeatedly executed toward the upper portion of the wafer until the Y coordinate value designated in advance is exceeded.

(4−7)θの回転量を算出
回転後の第1サーチダイの座標(X’1,Y’1)から最後にサーチしたダイのパターンの厳密な座標値(XN,YN)までの移動量を用い、回転量(θ)を算出する。
dX=XN−X1
dY=YN−Y1
θ=tan―1(dX/dY)
(4-7) Calculate the rotation amount of θ Move from the coordinate (X′1, Y′1) of the first search die after rotation to the exact coordinate value (XN, YN) of the die pattern searched last The rotation amount (θ) is calculated using the amount.
dX = XN-X1
dY = YN-Y1
θ = tan− 1 (dX / dY)

(4−8)光顕高倍θ許容値チェック
(4−7)にて算出した回転量(θ)が既定値以下に収まっていることを確認する。収まっていない場合は、算出した回転量(θ)を用いてθステージ回転後、再度(4−1)〜(4−8)を実行する。ただし、規定回数繰り返して(4−1)〜(4−8)を実行しても許容範囲内に収まらない場合は、エラー扱いとして処理を中断する。
(4-8) Light microscopic magnification θ allowable value check It is confirmed that the rotation amount (θ) calculated in (4-7) is within a predetermined value or less. If not, the steps (4-1) to (4-8) are executed again after the θ stage is rotated using the calculated rotation amount (θ). However, if it does not fall within the allowable range even if (4-1) to (4-8) are repeatedly executed a specified number of times, the process is interrupted as an error.

C.EB像によるアライメント
(1)<Yサーチ第1ダイ、EBのテンプレート指定>
光顕高倍の(1)と同様の手順をEB像を用いて実行する。
(2)<EB Y方向パターンマッチング>
光顕高倍の(2)と同様の手順をEB像を用いて実行する。
(3)<EB θ回転>
光顕高倍の(3)と同様の手順をEB像を用いて実行する。
(4)<EB θ回転後の許容値チェック>
光顕高倍の(4)と同様の手順をEB像を用いて実行する。
(5)必要に応じ、高倍率のEB像を用いて(1)〜(4)を実行する。
(6)第1サーチダイの座標(X1,Y1)と第2サーチダイの座標(X2,Y2)より、X方向ダイサイズ(XD)の概略値を算出する。
dX=X2−X1
dY=Y2−Y1
XD=sqrt((dX)2+(dY)2
※sqrt(A)=√A
C. EB image alignment (1) <Y search first die, EB template designation>
The same procedure as that in (1) for optical magnification is performed using the EB image.
(2) <EB Y-direction pattern matching>
A procedure similar to that in (2) of the optical magnification is executed using the EB image.
(3) <EB θ rotation>
A procedure similar to that in (3) of the optical magnification is performed using the EB image.
(4) <Allowable value check after EB θ rotation>
A procedure similar to that in (4) of the optical magnification is performed using the EB image.
(5) If necessary, execute (1) to (4) using a high-magnification EB image.
(6) An approximate value of the X direction die size (XD) is calculated from the coordinates (X1, Y1) of the first search die and the coordinates (X2, Y2) of the second search die.
dX = X2-X1
dY = Y2-Y1
XD = sqrt ((dX) 2 + (dY) 2 )
* Sqrt (A) = √A

D.ダイマップレシピ作成
(1)<Xサーチ第1ダイ、EBのテンプレート指定>
ウェーハ左端に位置するダイの左下隅がTDIカメラ中央付近に位置するようにユーザー操作にてステージを移動し、位置決定後、パターンマッチ用テンプレート画像を取得。このテンプレート画像には、サーチ領域内でユニークなパターンとなるような画像を選択しなければならない。
D. Die map recipe creation (1) <X search first die, EB template designation>
Move the stage by user operation so that the lower left corner of the die located at the left edge of the wafer is located near the center of the TDI camera, and after determining the position, obtain a template image for pattern matching. As the template image, an image that has a unique pattern within the search area must be selected.

(2)<EB X方向パターンマッチング>
(2−1)X方向ダイサイズ概略値(XD)を用い、Xサーチ第1ダイの右隣のダイのパターンが存在する(と予想される)座標(X1+XD,Y1)へステージを移動。
(2) <EB X direction pattern matching>
(2-1) Using the approximate X-direction die size (XD), move the stage to the coordinates (X1 + XD, Y1) where the pattern of the die next to the X search first die exists (and is expected).

(2−2)ステージ移動後、TDIカメラにてEB像を撮像し、テンプレート画像を用いてパターンマッチを実行することで現在観察中のパターンの厳密な座標値(XN,YN)を取得し、さらにダイの検出個数(DN)の初期値として1を設定する。   (2-2) After moving the stage, an EB image is captured with a TDI camera, and pattern matching is executed using a template image to obtain exact coordinate values (XN, YN) of the currently observed pattern, Further, 1 is set as the initial value of the number of detected die (DN).

(2−3)Xサーチ第1ダイのパターン座標(X1,Y1)から現在撮像中のパターンの座標(XN,YN)への移動量(dX,dY)を算出する。
dX=XN−X1
dY=YN−Y1
(2-3) X Search The movement amount (dX, dY) from the pattern coordinates (X1, Y1) of the first die to the coordinates (XN, YN) of the pattern currently being imaged is calculated.
dX = XN-X1
dY = YN-Y1

(2−4)算出した移動量(dX,dY)の2倍の移動量(2*dX,2*dY)分だけXサーチ第1ダイを起点としてステージを移動する。   (2-4) The stage is moved from the X search first die as the starting point by a movement amount (2 * dX, 2 * dY) twice the calculated movement amount (dX, dY).

(2−5)ステージ移動後、TDIカメラにてEB像を撮像し、テンプレート画像を用いてパターンマッチを実行することで、現在観察中のパターンの厳密な座標値(XN,YN)を更新し、ダイの検出個数を2倍する。   (2-5) After moving the stage, an EB image is captured by the TDI camera, and pattern matching is executed using the template image, thereby updating the exact coordinate values (XN, YN) of the currently observed pattern. Double the number of detected dies.

(2−6)予め指定されたX座標値を超えるまでウェーハ右方向へ(2−3)〜(2−5)を繰り返し実行する。   (2-6) Steps (2-3) to (2-5) are repeatedly executed in the right direction of the wafer until the X coordinate value designated in advance is exceeded.

(3)<X方向傾きを算出>
Xサーチ第1ダイのパターン座標(X1,Y1)から最後にサーチしたダイのパターンの厳密な座標値(XN,YN)までの移動量および、それまでに検出したダイの個数(DN)を用い、ステージ直行誤差(Φ)およびX方向ダイサイズ(XD)を算出する。
dX=XN−X1
dY=YN−Y1
Φ=tan―1(dY/dX)
XD=sqrt((dX)2+(dY)2)/DN
※sqrt(A)=√A
(3) <Calculate X-direction tilt>
Using the amount of movement from the pattern coordinates (X1, Y1) of the first die of the X search to the exact coordinate values (XN, YN) of the last searched die pattern, and the number of dies detected so far (DN) The stage direct error (Φ) and the X-direction die size (XD) are calculated.
dX = XN-X1
dY = YN-Y1
Φ = tan− 1 (dY / dX)
XD = sqrt ((dX) 2 + (dY) 2 ) / DN
* Sqrt (A) = √A

(4)<ダイマップ作成>
このように、X方向ダイサイズ(XD)を求め、予め回転量(θ)を算出した際に求めたY方向ダイサイズ(YD)と合わせてダイマップ(理想上のダイの配置情報)を作成する。ダイマップにより、ダイの理想上の配置が分かる。一方、実際の基板上のダイは例えばステージの機械的誤差(ガイド等の部品や組み付けの誤差)、干渉計の誤差(例えばミラー等の組み付けの問題による)やチャージアップによる像の歪みの影響を受け、必ずしも理想的な配置には観察することができない場合があるが、この実際のダイの位置とダイマップ上の理想上の配置との誤差を把握し、この誤差を考慮しこれを自動補正しながら、検査を行っていくようにする。
(4) <Die map creation>
Thus, the X direction die size (XD) is obtained, and the die map (ideal die arrangement information) is created together with the Y direction die size (YD) obtained when the rotation amount (θ) is calculated in advance. To do. The die map shows the ideal die placement. On the other hand, the die on the actual substrate is affected by the mechanical error of the stage (components such as guides and assembly errors), the error of the interferometer (for example, due to the problem of assembly of mirrors, etc.) and the image distortion due to charge-up. However, it is not always possible to observe the ideal arrangement, but the error between this actual die position and the ideal arrangement on the die map is grasped, and this error is taken into account and automatically corrected. While doing the inspection, try to do it.

E.フォーカスレシピ作成手順
次に、フォーカスレシピの作成手順について説明する。フォーカスレシピは、基板等の試料の平面上の印の位置における最適なフォーカス位置、若しくはフォーカス位置に関する諸条件の情報を表等の所定の形式で記憶したものである。フォーカスマップレシピではウェーハ上の指定位置のみフォーカス条件が設定され、指定位置間のフォーカス値は、直線補完される(図24参照)。フォーカスレシピ作成手順は次のとおりである。
(1)フォーカス測定対象ダイをダイマップから選択する。
(2)ダイ内でのフォーカス測定点を設定する。
(3)各測定点へステージを移動させ、画像およびコントラスト値を基に、フォーカス値(CL12電圧)の調整を手動で行う。
E. Focus recipe creation procedure Next, a focus recipe creation procedure will be described. The focus recipe stores information on an optimum focus position at a mark position on a plane of a sample such as a substrate or various conditions related to the focus position in a predetermined format such as a table. In the focus map recipe, a focus condition is set only at a specified position on the wafer, and a focus value between the specified positions is linearly complemented (see FIG. 24). The focus recipe creation procedure is as follows.
(1) A focus measurement target die is selected from a die map.
(2) Set a focus measurement point in the die.
(3) The stage is moved to each measurement point, and the focus value (CL12 voltage) is manually adjusted based on the image and the contrast value.

アライメント処理にて作成したダイマップは、ウェーハの両端のダイ座標より算出した理想的な位置情報であり、様々な要因によりダイマップ上のダイ位置と実際のダイ位置には誤差が生じる(図25参照)。この誤差分を吸収するためのパラメータを作成する手順をファインアライメントと呼び、ファインアライメントレシピには、ダイマップ(理想上のダイ配置情報)と実際のダイの位置との誤差情報が保存される。ここで設定された情報は、欠陥検査時に使用される。ファインアライメントレシピではダイマップ上で指定されたダイのみ誤差が測定され、指定ダイ間の誤差は、直線補完される。   The die map created by the alignment process is ideal position information calculated from the die coordinates at both ends of the wafer, and an error occurs between the die position on the die map and the actual die position due to various factors (FIG. 25). reference). A procedure for creating a parameter for absorbing this error is called fine alignment, and error information between the die map (ideal die arrangement information) and the actual die position is stored in the fine alignment recipe. The information set here is used at the time of defect inspection. In the fine alignment recipe, the error is measured only for the die designated on the die map, and the error between the designated dies is linearly complemented.

F.ファインアライメント手順
(1)ファインアライメント用誤差測定対象ダイをダイマップから指定する。
(2)誤差測定対象ダイより基準ダイを選択し、このダイの位置をダイマップとの誤差がゼロの点とする。
(3)基準ダイの左下隅をTDIカメラで撮像し、パターンマッチ用テンプレート画像を取得する。
※サーチ領域内でユニークなパターンをテンプレート画像として選択
(4)近隣の誤差測定対象ダイの左下の(ダイマップ上での)座標(X0,Y0)を取得し、ステージを移動させる。移動後、TDIカメラで撮像し、(3)のテンプレート画像を用いてパターンマッチを実行することで、厳密な座標値(X,Y)を取得する。
(5)パターンマッチで取得した座標値(X,Y)とダイマップ上の座標値(X0,Y0)の誤差を保存。
(6)全ての誤差測定対象ダイについて(4)〜(5)を実行する。
F. Fine alignment procedure (1) An error measurement die for fine alignment is designated from a die map.
(2) The reference die is selected from the error measurement target die, and the position of this die is set to a point where the error from the die map is zero.
(3) The lower left corner of the reference die is imaged with a TDI camera, and a pattern matching template image is acquired.
* Select a unique pattern in the search area as a template image. (4) Acquire the coordinates (X0, Y0) at the lower left (on the die map) of the neighboring error measurement die and move the stage. After the movement, an image is taken with a TDI camera, and pattern matching is executed using the template image of (3), thereby obtaining exact coordinate values (X, Y).
(5) The error between the coordinate value (X, Y) acquired by pattern matching and the coordinate value (X0, Y0) on the die map is stored.
(6) Execute (4) to (5) for all error measurement target dies.

2−4−3)欠陥検査
欠陥検査は、図26に示すように、電子光学系の条件設定(撮像倍率などの設定)を行い、電子ビームを照射しながらステージを移動させることでTDIスキャン撮像(図27)を行い、設定された検査条件(アレイ検査条件、ランダム検査条件、検査エリア)に従い、検査専用処理ユニット(IPE)によりリアルタイムで欠陥検査が行われる。
2-4-3) Defect Inspection As shown in FIG. 26, the defect inspection is performed by setting the conditions of the electron optical system (setting the imaging magnification, etc.) and moving the stage while irradiating the electron beam to perform TDI scan imaging. (FIG. 27) is performed, and according to the set inspection conditions (array inspection conditions, random inspection conditions, inspection area), defect inspection is performed in real time by the inspection dedicated processing unit (IPE).

検査レシピでは、電子光学系の条件、検査対象ダイ、検査エリアおよび検査方法(ランダム/アレイ)などが設定される(図28のA、B)。   In the inspection recipe, the conditions of the electron optical system, the inspection target die, the inspection area, the inspection method (random / array), and the like are set (A and B in FIG. 28).

なお、欠陥検査用に安定した画像を取得するため、位置ズレや速度ムラなどによる撮像画像のブレを抑制するEO補正、理想的なダイマップ上の配置と実際のダイ位置との誤差を吸収するダイ位置補正、有限の測定点で予め測定したフォーカス値を用いウェーハ全領域のフォーカス値を補完するフォーカス調整がリアルタイムで同時に行われる。   In addition, in order to acquire a stable image for defect inspection, EO correction that suppresses blurring of a captured image due to positional deviation, speed unevenness, and the like, and an error between an ideal die map arrangement and an actual die position are absorbed. Die position correction and focus adjustment that complements the focus value of the entire area of the wafer using focus values measured in advance at finite measurement points are simultaneously performed in real time.

欠陥検査のスキャン動作において、検査対象ダイの全域を検査する(図29)他に、図30に示すように、スキャン方向と直角方向へのステップ移動量を調整することで間引き検査も可能となる(検査時間の短縮)。更に、単なる間引き検査では、検査時間の短縮は図れるが、間引き検査を行った領域が必ずしも検査上重要な領域であるとは限らないため、検査上特に重要な、クリティカルな領域を任意に選択して検査することも可能である。これにより、検査時間を短縮しつつ、重要な領域についてはきちんと検査を行い、効率的に精度の方も確保できる。   In the defect inspection scanning operation, in addition to inspecting the entire area of the inspection target die (FIG. 29), as shown in FIG. 30, thinning inspection can be performed by adjusting the step movement amount in the direction perpendicular to the scanning direction. (Reduced inspection time). Furthermore, although the inspection time can be shortened by simple thinning inspection, since the area where the thinning inspection is performed is not necessarily an important area for inspection, a critical area that is particularly important for inspection is arbitrarily selected. It is also possible to inspect. Thereby, while shortening the inspection time, it is possible to properly inspect important areas and to ensure the accuracy more efficiently.

検査終了後は、検査結果として欠陥個数、欠陥を含むダイの位置、欠陥サイズ、各ダイ内での欠陥位置、欠陥種別、欠陥画像、比較画像をディスプレイに表示し、これらの情報およびレシピ情報などをファイルへ保存することで過去の検査結果の確認、再現が可能となっている。   After the inspection is completed, the number of defects, the position of the die containing the defect, the defect size, the position of the defect in each die, the defect type, the defect image, and the comparison image are displayed on the display as the inspection result. It is possible to confirm and reproduce past test results by saving to a file.

自動欠陥検査時には各種レシピを選択指定することで、搬送レシピに従ってウェーハがロードされ、アライメントレシピに従ってステージ上でウェーハのアライメントが行われ、フォーカスマップレシピに従ってフォーカス条件の設定が行われ、検査レシピに従って検査が行われ、搬送レシピに従ってウェーハがアンロードされる(図31のA、B)。   By selecting and specifying various recipes during automatic defect inspection, the wafer is loaded according to the transfer recipe, the wafer is aligned on the stage according to the alignment recipe, focus conditions are set according to the focus map recipe, and inspection is performed according to the inspection recipe. And the wafer is unloaded according to the transfer recipe (A and B in FIG. 31).

2−4−4)制御系構成
本装置は、図32に示すように複数のコントローラにより構成されている。メインコントローラは、装置(EBI)のGUI部/シーケンス動作を司り、工場ホストコンピュータまたはGUIからの動作指令を受け取り、VMEコントローラやIPEコントローラへ必要な指示を与える。VMEコントローラは、装置(EBI)構成機器の動作を司り、メインコントローラからの指示に従い、ステージコントローラやPLCコントローラへ指示を与える。IPEコントローラは、メインコントローラからの指示によりIPEノードコンピュータからの欠陥検査情報取得、取得した欠陥の分類および画像表示を行う。IPEノードコンピュータは、TDIカメラから出力される画像の取得ならびに欠陥検査を行う。
2-4-4) Control system configuration This apparatus includes a plurality of controllers as shown in FIG. The main controller controls the GUI unit / sequence operation of the device (EBI), receives operation commands from the factory host computer or GUI, and gives necessary instructions to the VME controller and IPE controller. The VME controller manages the operation of the equipment (EBI) components and gives instructions to the stage controller and the PLC controller according to instructions from the main controller. The IPE controller acquires defect inspection information from the IPE node computer, classifies the acquired defects, and displays an image according to an instruction from the main controller. The IPE node computer acquires an image output from the TDI camera and performs defect inspection.

PLCコントローラは、VMEコントローラからの指示を受け、バルブ等の機器の駆動およびセンサ情報の取得、常時監視が必要な真空度異常などの異常監視を行なう。ステージコントローラは、VMEコントローラからの指示を受け、XY方向への移動およびステージ上に設置されたウェーハの回転を行う。   In response to an instruction from the VME controller, the PLC controller drives a device such as a valve, acquires sensor information, and performs abnormality monitoring such as a vacuum degree abnormality that requires constant monitoring. Upon receiving an instruction from the VME controller, the stage controller moves in the XY directions and rotates the wafer installed on the stage.

このような分散制御系を構成することで、末端の装置構成機器が変更された場合に各コントローラ間のインターフェースを同一に保つことで上位コントローラのソフトウェアおよびハードウェアの変更が不要となる。また、シーケンス動作が追加・修正された場合でも上位ソフトウェアおよびハードウェアの変更を最小限にとどめることで構成変更への柔軟な対応が可能となる。   By configuring such a distributed control system, it is not necessary to change the software and hardware of the host controller by keeping the interface between the controllers the same when the terminal device configuration device is changed. Further, even when the sequence operation is added / modified, it is possible to flexibly cope with the configuration change by minimizing the change of the upper software and the hardware.

2−4−5)ユーザーインターフェース構成
図33はユーザーインターフェース部の機器構成を示す。
(1)入力部
ユーザーからの入力を受け付ける機器で「キーボード」、「マウス」、「JOYパッド」から構成される。
(2)表示部
ユーザーへの情報を表示する機器で、モニタ2台で構成される。
モニタ1:CCDカメラまたはTDIカメラでの取得画像を表示
モニタ2:GUI表示
2-4-5) User Interface Configuration FIG. 33 shows a device configuration of the user interface unit.
(1) Input unit A device that accepts input from a user, and includes a “keyboard”, “mouse”, and “joy pad”.
(2) Display unit A device that displays information to the user, and consists of two monitors.
Monitor 1: Display the acquired image with CCD camera or TDI camera Monitor 2: GUI display

座標系について
本装置では、以下3つの座標系を規定する。
(1)ステージ座標系[XS,YS]
ステージ位置制御時の位置指示用の基準座標系
チャンバ左下隅を原点とし、右方向にX座標値が増加し、上方向にY座標値が増加する。
本座標系は、本装置に1つしか存在しない。
ステージ座標系で示される位置(座標値)は、ステージの中心(ウェーハ中心)とする。
つまり、ステージ座標系において座標値[0,0]を指定した場合、ステージ中心(ウェーハ中心)がステージ座標系の原点に重なるように移動する。
単位は[μm]とするが、最小分解能はλ/1024(≒0.618[μm])とする。
※λ:レーザ干渉計で用いられるレーザの波長(λ≒632.991[μm])
About the coordinate system This device defines the following three coordinate systems.
(1) Stage coordinate system [X S , Y S ]
Reference coordinate system for position indication during stage position control With the lower left corner of the chamber as the origin, the X coordinate value increases in the right direction and the Y coordinate value increases in the upward direction.
There is only one coordinate system in the apparatus.
The position (coordinate value) indicated in the stage coordinate system is the center of the stage (wafer center).
That is, when the coordinate value [0, 0] is specified in the stage coordinate system, the stage center (wafer center) moves so as to overlap the origin of the stage coordinate system.
The unit is [μm], but the minimum resolution is λ / 1024 (≈0.618 [μm]).
* Λ: Wavelength of the laser used in the laser interferometer (λ ≒ 632.991 [μm])

(2)ウェーハ座標系[XW,YW]
ウェーハ上の観察(撮像・表示)する位置を指示するための基準座標
ウェーハ中心を原点とし、右方向にX座標値が増加し、上方向にY座標値が増加する。
ウェーハ座標系で示される位置(座標値)は、そのとき選択された撮像機器(CCDカメラ、TDIカメラ)での撮像中心とする。
本座標系は、本装置に一つしか存在しない。
単位は[μm]とするが、最小分解能はλ/1024(≒0.618[μm])とする。
※λ:レーザ干渉計で用いられるレーザの波長(λ≒632.991[μm])
(2) Wafer coordinate system [X W , Y W ]
Reference coordinates for designating the observation (imaging / display) position on the wafer The X coordinate value increases in the right direction and the Y coordinate value increases in the upward direction with the wafer center as the origin.
The position (coordinate value) indicated in the wafer coordinate system is the imaging center of the imaging device (CCD camera, TDI camera) selected at that time.
There is only one coordinate system in the apparatus.
The unit is [μm], but the minimum resolution is λ / 1024 (≈0.618 [μm]).
* Λ: Wavelength of the laser used in the laser interferometer (λ ≒ 632.991 [μm])

(3)ダイ座標系[XD,YD]
各ダイにおける観察(撮像・表示)位置を規定するための基準座標
各ダイの左下隅を原点とし、右方向にX座標値が増加し、上方向にY座標値が増加する。本座標系はダイ毎に存在する。単位は[μm]とするが、最小分解能はλ/1024(≒0.618[μm])とする。
※λ:レーザ干渉計で用いられるレーザの波長(λ≒632.991[μm])
(3) Die coordinate system [X D , Y D ]
Reference coordinates for defining the observation (imaging / display) position in each die The X coordinate value increases in the right direction and the Y coordinate value increases in the upper direction with the lower left corner of each die as the origin. This coordinate system exists for each die. The unit is [μm], but the minimum resolution is λ / 1024 (≈0.618 [μm]).
* Λ: Wavelength of the laser used in the laser interferometer (λ ≒ 632.991 [μm])

なお、ウェーハ上のダイは、番号付け(ナンバリング)され、番号付けの基準となるダイを原点ダイと呼ぶ。デフォルトではウェーハ座標系原点に最も近いダイを原点ダイとするが、ユーザーの指定により原点ダイの位置を選択可能とする。     The dies on the wafer are numbered (numbered), and the die that serves as a reference for numbering is called the origin die. By default, the die closest to the wafer coordinate system origin is set as the origin die, but the position of the origin die can be selected by the user's specification.

※ユーザーインターフェースにより指示される座標および、ステージ移動方向の関係は、以下のとおりである。
(1)ジョイスティック & GUI矢印ボタン
ジョイスティックおよび、GUI矢印ボタンにより、指示される方向は、オペレータが見たい方向とみなし、ステージを指示方向と逆方向に移動させる。
例)
指示方向:右 .... ステージ移動方向:左 (画像が左に移動=視野が右に移動)
指示方向:上 ・・・・ ステージ移動方向:下 (画像が下に移動=視野が上に移動)
* The relationship between the coordinates specified by the user interface and the stage movement direction is as follows.
(1) Joystick & GUI arrow button The direction indicated by the joystick and the GUI arrow button is regarded as the direction that the operator wants to see, and the stage is moved in the direction opposite to the indicated direction.
Example)
Direction: Right . . . Stage movement direction: Left (image moves to the left = field of view moves to the right)
Direction: Up ... Stage movement direction: Down (Image moves down = Field of view moves up)

(2)GUI上で座標を直接入力
GUI上で直接入力される座標は、ウェーハ座標系上でオペレータが見たい場所とみなし、該当ウェーハ座標が撮像画像中心に表示されるようにステージを移動させる。
(2) Directly inputting coordinates on the GUI The coordinates directly input on the GUI are regarded as a place the operator wants to see on the wafer coordinate system, and the stage is moved so that the corresponding wafer coordinates are displayed at the center of the captured image. .

2−5)検査
次に、検査手順について図34を用いて説明する。まず、一般的な検査手順について説明し、次に、選択的検査について説明する。一般に電子線を用いた欠陥検査装置は高価であり、またスループットも他のプロセス装置に比べて低いために、現状では最も検査が必要と考えられている重要な工程(例えばエッチング、成膜、又はCMP(化学機械研磨)平坦化処理等)の後に、また、配線工程ではより微細な配線工程部分、すなわち配線工程の1から2工程、及び前工程のゲート配線工程等に利用されている。特に、デザイン・ルールが100nm以下、即ち,100nm以下の線幅を有する配線や直径100nm以下のビア・ホール等の形状欠陥や電気的欠陥を見つけ、また、プロセスにフィードバックすることが重要である。
2-5) Inspection Next, the inspection procedure will be described with reference to FIG. First, a general inspection procedure will be described, and then a selective inspection will be described. In general, a defect inspection apparatus using an electron beam is expensive and has a lower throughput than other process apparatuses. Therefore, an important process (e.g., etching, film formation, or After the CMP (Chemical Mechanical Polishing) flattening process, etc., and in the wiring process, it is used for a finer wiring process part, that is, one or two steps of the wiring process, the gate wiring process of the previous process, and the like. In particular, it is important to find shape defects and electrical defects such as wiring having a design rule of 100 nm or less, that is, a line width of 100 nm or less, and via holes having a diameter of 100 nm or less, and feeding back to the process.

検査されるウェーハは大気搬送系及び真空搬送系を通して、超精密X−Yステージ上に位置合わせ後、静電チャック機構等により固定され、以後、(図34)の手順に従って欠陥検査等が行われる。はじめに光学顕微鏡により、必要に応じて各ダイの位置確認や、各場所の高さ検出が行われ記憶される。光学顕微鏡はこの他に欠陥等の見たい所の光学顕微鏡像を取得し、電子線像との比較等にも使用される。次に電子光学系の条件設定を行い、電子線像を用いて、光学顕微鏡で設定された情報の修正を行い、精度を向上させる。   The wafer to be inspected is positioned on the ultra-precision XY stage through the atmospheric transfer system and the vacuum transfer system, and then fixed by an electrostatic chuck mechanism or the like, and thereafter, defect inspection or the like is performed according to the procedure of FIG. . First, as necessary, the position of each die is confirmed and the height of each location is detected and stored by an optical microscope. In addition to this, the optical microscope acquires an optical microscope image of a desired location such as a defect and is used for comparison with an electron beam image. Next, the conditions of the electron optical system are set, and the information set by the optical microscope is corrected using the electron beam image to improve the accuracy.

次いでウェーハの種類(どの工程後か、ウェーハのサイズは200mmか、300mmか等)に応じたレシピの情報を装置に入力し、以下、被検査領域の指定、電子光学系の設定、検査条件の設定等を行なった後、画像取得を行いながら通常はリアルタイムで欠陥検査を行なう。一般的なウエハの全面検査の場合には、セル同士の比較、ダイ比較等が、アルゴリズムを備えた高速の情報処理システムにより検査が行なわれ、必要に応じてCRT等に結果を出力や、メモリーへ記憶を行なう。   Next, recipe information corresponding to the type of wafer (after which process, whether the wafer size is 200 mm or 300 mm, etc.) is input to the apparatus. Hereinafter, specification of the inspection area, setting of the electron optical system, inspection conditions, etc. After setting, etc., defect inspection is usually performed in real time while acquiring images. In the case of general wafer entire surface inspection, cell-to-cell comparison, die comparison, etc. are inspected by a high-speed information processing system equipped with an algorithm, and the results are output to a CRT or the like if necessary. To remember.

欠陥にはパーティクル欠陥、形状異常(パターン欠陥)、及び電気的(配線又はビア等の断線及び導通不良等)欠陥等が有り、これらを区別したり欠陥の大きさや、キラー欠陥(チップの使用が不可能になる重大な欠陥等)の分類を自動的にリアルタイムで行うこともできる。特に、線幅が100nm以下の配線や直径100nm以下のビア等の前記欠陥を分類するのに有効である。電気的欠陥の検出はコントラスト異状を検出することで達成される。例えば導通不良の場所は電子線照射(500eV程度)により、通常正に帯電し、コントラストが低下するので正常な場所と区別ができる。この場合の電子線照射手段とは、通常検査用の電子線照射手段以外に別途、電位差によるコントラストを際立たせるために設けた低電位(エネルギー)の電子線発生手段(熱電子発生、UV/光電子)をいう。検査対象領域に検査用の電子線を照射する前に、この低電位(エネルギーが例えば100eV以下)の電子線を発生・照射している。検査用の電子線を照射すること自体正に帯電させることができる写像投影方式の場合は、仕様によっては、別途低電位の電子線発生手段を設ける必要はない。また、ウェーハ等の試料に基準電位に対して、正又は負の電位をかけること等による(素子の順方向又は逆方向により流れ易さが異なるために生じる)コントラストの違いから欠陥検出ができる。   Defects include particle defects, shape abnormalities (pattern defects), and electrical (disconnections such as wiring or vias and poor conduction) defects, etc., which can be distinguished from each other, the size of defects, and killer defects (use of chips). It is also possible to automatically classify critical defects that are impossible) in real time. In particular, it is effective for classifying the defects such as wiring having a line width of 100 nm or less and vias having a diameter of 100 nm or less. Detection of an electrical defect is achieved by detecting a contrast abnormality. For example, a place with poor conduction is normally positively charged by electron beam irradiation (about 500 eV), and the contrast is lowered, so that it can be distinguished from a normal place. The electron beam irradiation means in this case is a low potential (energy) electron beam generation means (thermoelectron generation, UV / photoelectron) provided to make contrast due to potential difference stand out separately from the electron beam irradiation means for normal inspection. ). Before irradiating the inspection target region with the inspection electron beam, this low potential (energy is, for example, 100 eV or less) electron beam is generated and irradiated. In the case of a projection method that can be positively charged by irradiating an inspection electron beam, it is not necessary to provide a low-potential electron beam generating means depending on the specifications. Further, it is possible to detect a defect from a difference in contrast caused by applying a positive or negative potential to a sample such as a wafer with respect to a reference potential (which occurs because the flowability varies depending on the forward direction or reverse direction of the element).

電位差によるコントラストは、電位コントラストデータを表示するのに有効な信号の画像に変換して表示してもよい。電位コントラスト画像を解析して、期待している値よりも高い又は低い電圧にある構造体、つまり、絶縁不良又は導通不良や欠陥を識別することができる。例えば、ウェーハ上の異なるダイからそれぞれ電位コントラスト画像を取得し、その差異を検出することで、欠陥を認識する。また、CADデータ等の設計データから被検査ダイの電位コントラスト画像と等価な画像データを生成して、この画像データとウェーハ上の被検査ダイから取得した電位コントラスト画像との差異を検出することで欠陥を認識する。   The contrast due to the potential difference may be converted into an image of a signal effective for displaying the potential contrast data and displayed. The potential contrast image can be analyzed to identify structures that are at voltages higher or lower than expected, ie, poor insulation or poor conduction or defects. For example, a potential contrast image is acquired from each different die on the wafer, and the defect is recognized by detecting the difference. In addition, by generating image data equivalent to the potential contrast image of the die to be inspected from design data such as CAD data, and detecting the difference between this image data and the potential contrast image acquired from the die to be inspected on the wafer. Recognize defects.

線幅測定装置及び合わせ精度測定にも利用できる。検査されるウェーハの情報、例えばカセットの番号、ウェーハの番号(又はロットナンバ)等は、これらが現在どのような位置や状態にあるか、全て記憶管理されている。したがって、誤って検査を2回以上行ったり、検査をしなかったりするトラブルは発生しない。   It can also be used for line width measurement equipment and alignment accuracy measurement. Information on wafers to be inspected, for example, cassette numbers, wafer numbers (or lot numbers), etc., are all stored and managed in what position and state they are currently in. Therefore, there is no trouble that the inspection is mistakenly performed twice or more or the inspection is not performed.

2−6)検査方法
2−6−1)概要
検査の基本的流れを、図35に示す。まずアライメント動作35・1を含んだウェーハ搬送の後、検査に関係する条件等を設定したレシピを作成する(35・2)。レシピは被検査ウェーハに最低1種類は必要であるが、複数の検査条件に対応するために、1枚の被検査ウェーハに対して、複数のレシピが存在しても構わない。また同一パターンの被検査ウェーハが複数枚ある場合、一種類のレシピで複数のウェーハを検査しても構わない。図35の経路35・3はこの様に過去に作成されたレシピで検査する場合、検査動作直前にレシピの作成が不要である事を示している。以下、図35において、検査動作35・4は、レシピに記載された条件、シーケンスに従いウェーハの検査を行う。欠陥抽出は、検査動作中に欠陥を発見するごと即時行われ、
a)欠陥分類(35・5)を行い、結果出力ファイルに抽出欠陥情報と欠陥分類情報を追加する動作
b)抽出欠陥画像を画像専用結果出力ファイルもしくはファイルに追加する動作
c)抽出欠陥の位置などの欠陥情報を操作画面上に表示する動作
をほぼ並列に実行する。
被検査ウェーハ単位で検査が終了すると、
a)結果出力ファイルをクローズして保存する動作
b)外部からの通信が検査結果を要求する場合、検査結果を送る動作
c)ウェーハを排出する動作
をほぼ並列に実行する。
2-6) Inspection method
2-6-1) Overview The basic flow of inspection is shown in FIG. First, after carrying the wafer including the alignment operation 35.1, a recipe in which conditions and the like related to the inspection are set is created (35.2). At least one type of recipe is required for a wafer to be inspected, but a plurality of recipes may exist for one wafer to be inspected in order to cope with a plurality of inspection conditions. Further, when there are a plurality of wafers to be inspected with the same pattern, a plurality of wafers may be inspected with one kind of recipe. The path 35.3 shown in FIG. 35 indicates that when an inspection is performed with a recipe created in the past, it is not necessary to create a recipe immediately before the inspection operation. In FIG. 35, an inspection operation 35.4 performs a wafer inspection according to the conditions and sequence described in the recipe. Defect extraction is performed immediately every time a defect is discovered during an inspection operation,
a) Operation to perform defect classification (35.5) and add extracted defect information and defect classification information to result output file b) Operation to add extracted defect image to image-only result output file or file c) Position of extracted defect The operation for displaying the defect information on the operation screen is executed almost in parallel.
When inspection is completed for each wafer to be inspected,
a) Operation to close and save result output file b) Operation to send inspection result when communication from outside requests inspection result c) Operation to discharge wafer is executed almost in parallel.

連続的にウェーハを検査する設定がなされている場合、次の被検査ウェーハを搬送して、前記一連の動作を繰り返す。   When the setting for continuously inspecting the wafer is made, the next wafer to be inspected is transported and the series of operations are repeated.

以下、図35フローについて、さらに詳細を述べる。
(1)レシピ作成
レシピとは、検査に関係する条件等の設定ファイルであり保存する事も可能である。検査時もしくは検査前にレシピを使用して装置設定を行うが、一般的なウエハ全面検査の場合にレシピに記載された検査に関係する条件とは、
a)検査対象ダイ
b)ダイ内部被検査領域
c)検査アルゴリズム
d)検出条件(検査感度等、欠陥抽出に必要な条件)
e)観察条件(倍率、レンズ電圧、ステージ速度、検査順序等、観察に必要な条件)などである。
Hereinafter, the details of the flow in FIG. 35 will be described.
(1) Recipe creation A recipe is a setting file for conditions related to inspection, and can be saved. The equipment is set up using the recipe at the time of inspection or before inspection, but the conditions related to the inspection described in the recipe in the case of general wafer entire inspection are:
a) Inspection target die b) Die internal inspection area c) Inspection algorithm d) Detection conditions (conditions necessary for defect extraction such as inspection sensitivity)
e) Observation conditions (magnification, lens voltage, stage speed, inspection order, and other conditions necessary for observation).

この中で、検査対象ダイの設定は、図36に示される様に、操作画面に表示されたダイマップ画面に対して、検査するダイをオペレータが指定する。図36の例では、ウェーハ端面のダイ1と前工程で明らかに不良と判定されたダイ2をグレイアウトして検査対象から削除し、残りを検査対象ダイとしている。また、ウェーハ端面からの距離や前工程で検出されたダイの良否情報をもとに自動的に検査ダイを指定する機能も有している。   Among these, as shown in FIG. 36, the operator designates the die to be inspected on the die map screen displayed on the operation screen as shown in FIG. In the example of FIG. 36, the die 1 on the wafer end surface and the die 2 that is clearly determined to be defective in the previous process are grayed out and deleted from the inspection target, and the remainder is used as the inspection target die. It also has a function of automatically specifying an inspection die based on the distance from the wafer end face and the quality information of the die detected in the previous process.

また、ダイ内部の被検査領域の設定は、図37に示される様に操作画面に表示されたダイ内部被検査領域設定画面に対して、被検査領域をオペレータが光学顕微鏡もしくはEB顕微鏡により取得した画像をもとにマウス等の入力機器で指定する。図37の例では、実線で指した領域37・1と破線で指した領域37・2を設定している。   In addition, as shown in FIG. 37, the setting of the inspection area inside the die is performed by the operator using the optical microscope or the EB microscope with respect to the die internal inspection area setting screen displayed on the operation screen. Specify with an input device such as a mouse based on the image. In the example of FIG. 37, a region 37.1 pointed to by a solid line and a region 37.2 pointed to by a broken line are set.

領域37・1は、ダイのほぼ全体を設定領域としている。検査アルゴリズムは隣接ダイ比較法(ダイ−ダイ検査)としこの領域に対する検出条件、観察条件の詳細は、別に設定する。領域37・2は、検査アルゴリズムをアレイ検査(検査)としこの領域に対する検出条件、観察条件の詳細は、別に設定する。すなわち複数の被検査領域の設定が可能でかつ、被検査領域は、それぞれ独自の検査アルゴリズムや検査感度を条件設定できる。また被検査領域は重ね合わせる事も可能で、同じ領域に対して、異なる検査アルゴリズムを同時に処理することも可能である。   In the region 37.1, almost the entire die is set as a setting region. The inspection algorithm is an adjacent die comparison method (die-die inspection), and details of detection conditions and observation conditions for this region are set separately. In the area 37.2, the inspection algorithm is an array inspection (inspection), and details of detection conditions and observation conditions for this area are set separately. That is, a plurality of inspection areas can be set, and each inspection area can be set with its own inspection algorithm and inspection sensitivity. In addition, the inspection areas can be overlapped, and different inspection algorithms can be simultaneously processed for the same area.

(2)検査動作
検査は、被検査ウェーハに対して図38の様にある走査幅に細分され走査する。走査幅は、ほぼラインセンサの長さで決まるが、ラインセンサの端部が少し重なる様に設定してある。これは検出した欠陥を最終的に統合処理する場合にライン間の連続性を判断する為や比較検査を行う際に画像アライメントするための余裕を確保するためである。その重ね量は2048ドットのラインセンサに対して16ドット程度である。
(2) Inspection operation The inspection is performed by subdividing the wafer to be inspected into a scan width as shown in FIG. The scanning width is substantially determined by the length of the line sensor, but is set so that the end portions of the line sensor slightly overlap. This is in order to determine the continuity between the lines when the detected defects are finally integrated, and to secure a margin for image alignment when performing the comparative inspection. The overlapping amount is about 16 dots for a 2048 dot line sensor.

走査方向およびシーケンスを、模式的に図39に示す。すなわち、検査時間短縮のために双方向動作Aや、機械制限からの単方向動作Bなどが、オペレータより選択できる構成になっている。   A scanning direction and a sequence are schematically shown in FIG. That is, in order to shorten the inspection time, a bidirectional operation A and a unidirectional operation B due to machine limitations can be selected by the operator.

またレシピの検査対象ダイ設定を元に走査量を減らす動作を自動演算して検査する機能も有している。図40は検査ダイ40・1が1個の場合の走査例で、不要な走査は行わない。また、図41は、セル部40・2とランダム部40・3を示している。   It also has a function of automatically calculating and inspecting the operation of reducing the scanning amount based on the inspection target die setting of the recipe. FIG. 40 shows an example of scanning when there is one inspection die 40. 1, and unnecessary scanning is not performed. FIG. 41 shows a cell part 40 • 2 and a random part 40 • 3.

2−6−2)選択的検査方法について
以下、選択的検査方法について説明する。選択的検査は、ウエハ全面を検査するのではなく、特に検査したい任意の領域、例えばパターンが密集していて、欠陥が生じ易い、或いはチップ上特に重要な領域についてのみ行うもので、これにより、重要な部分はきちんと検査しつつ、検査時間を大幅に短縮することが可能となる。
2-6-2) Selective Inspection Method Hereinafter, the selective inspection method will be described. The selective inspection does not inspect the entire surface of the wafer, but is performed only in an arbitrary region to be inspected, for example, a pattern is densely formed and a defect is likely to occur or a particularly important region on the chip. It is possible to significantly reduce the inspection time while inspecting important parts properly.

具体的には、以下のように検査を行う。まず、前述したように、試料(ウエハを含む種々の基板)が搬送され、検査装置のステージ上に載せられる。次に、アライメントと呼ばれる試料の位置合わせを行なう。まず、試料上に形成されているパターンについて、パターンマッチング等を行ない、試料の回転角を調整し、θずれの位置補正を行なう。次いで、ダイのx−y平面上のずれを記憶し、このずれを補正しながら検査を行なっていく。   Specifically, the inspection is performed as follows. First, as described above, a sample (various substrates including a wafer) is transported and placed on the stage of the inspection apparatus. Next, sample alignment called alignment is performed. First, pattern matching or the like is performed on the pattern formed on the sample, the rotation angle of the sample is adjusted, and the position of the θ deviation is corrected. Next, the deviation of the die on the xy plane is stored, and the inspection is performed while correcting the deviation.

各々の試料の検査は、予め種々の検査条件が指定されたレシピに基づいて行なう。つまり、原則的にはレシピに基づいて検査装置の種々の設定値、例えば加速電圧、ビーム電流、レンズの設定電圧といったものや、試料のどの領域を検査するかといった運転条件が定められ、その指定に従って検査を行なう。   Each sample is inspected based on a recipe in which various inspection conditions are designated in advance. In other words, in principle, various setting values of the inspection device, such as acceleration voltage, beam current, lens setting voltage, and operating conditions such as which region of the sample to be inspected are determined based on the recipe. Follow the inspection.

従って、検査装置のオペレーターの方で、検査以前の試料の処理プロセスを把握しており、各々の試料についてどの箇所を検査したい、ということが明確である場合には、レシピに被検査領域の指定を行い、その指定に基づいて検査を行なう。通常は、試料上の全面検査を行なうのが一般的であり、また図30右図のように間引き検査を行なったとしても、1つ置き等の規則的なステージ移動及び偏向しか行なわないのが通例であるが、本発明では、クリティカルな部分のレシピの指定に応じて、柔軟に検査を行なうことが必要なため、被検査領域の座標を指定し、当該指定座標を検査する。   Therefore, if the operator of the inspection device knows the processing process of the sample before the inspection and it is clear which part to inspect for each sample, specify the inspection area in the recipe. And inspecting based on the designation. Usually, the entire surface inspection is performed on the sample, and even if the thinning inspection is performed as shown in the right side of FIG. 30, only regular stage movement and deflection such as every other one are performed. As usual, in the present invention, since it is necessary to flexibly inspect according to the designation of the recipe of the critical part, the coordinates of the inspected area are designated and the designated coordinates are inspected.

この場合、リソグラフィーを例に取れば、図42に示したように、メモリ・セル部とランダム・ロジック部の境界部分を検査すればよいので、この境界部分を含むストライプを検査するようにする。   In this case, taking lithography as an example, as shown in FIG. 42, the boundary portion between the memory cell portion and the random logic portion may be inspected, so that the stripe including this boundary portion is inspected.

図42を参照すると、ウエハ1には複数のダイ2が並んでいる。そして、ウエハ1には、低パターン密度領域3と高パターン密度領域4が並んでいる。例えば、低パターン密度領域3がランダム・ロジック部に相当し、高パターン密度領域4がメモリ・セル部に相当する。   Referring to FIG. 42, a plurality of dies 2 are arranged on the wafer 1. A low pattern density region 3 and a high pattern density region 4 are arranged on the wafer 1. For example, the low pattern density region 3 corresponds to a random logic portion, and the high pattern density region 4 corresponds to a memory cell portion.

本実施の形態では、図示のように、検査を省略する領域である検査省略領域5が設けられる。そして、ストライプ状の被検査領域6が、低パターン密度領域3と高パターン密度領域4の境界部分に設定される。   In the present embodiment, as shown in the figure, an inspection omitted area 5 which is an area where inspection is omitted is provided. Then, the stripe-shaped inspected area 6 is set at the boundary between the low pattern density area 3 and the high pattern density area 4.

本発明で使用しているビームは、SEMのような1ピクセル分のビーム径しか持たないのではなく、例えば横幅2048ピクセル分の撮像領域を有する幅の広いビームを用いているため、1ストライプ分で相当に広い幅の領域を検査することができ、例えばSEMの走査幅の2倍程度を撮像幅とすることができる。また、検出器には、TDI−CCDを用いており、TDIの積算速度と同期させて、ステージを連続的に、ストライプと同じ方向に移動させて検査することができるので、ストライプ部分を連続的に、短時間で検査を行なえる。従って、クリティカルな箇所を含むストライプのx座標を指定できれば、極めて高速に選択的な検査が実行できる。   The beam used in the present invention is not only a beam diameter for one pixel as in the SEM, but, for example, a wide beam having an imaging region of 2048 pixels in width is used. Thus, it is possible to inspect a region having a considerably wide width. For example, the image width can be about twice the scanning width of the SEM. In addition, a TDI-CCD is used as a detector, and the stage can be inspected by moving the stage continuously in the same direction as the stripe in synchronization with the integrated speed of TDI. In addition, the inspection can be performed in a short time. Therefore, if the x coordinate of the stripe including the critical part can be designated, the selective inspection can be executed at a very high speed.

なお、境界部分のストライプを1本で済ます例を説明したが、必要に応じて、境界周辺
をもう少し広く検査するために、ストライプを2〜3本以上に設定してもよい。また、レシピの座標は、オペレーターが任意に設定できるが、ダイの幅は一定であるから、1つの境界のx座標と、ダイの幅が分かれば、自動的に演算して総てのダイについて境界座標を算出することが可能である。なお、レシピの作成に当たり、運転者は過去の欠陥履歴を把握している場合が多いので、その履歴の分析結果から、欠陥が多いと予想される箇所を指定して検査するようにすれば、効率的で比較的精度の高い検査が実行できる。例えば、パターン密度が大きいセル部と、パターン密度が比較的小さいランダム部の境界領域では、パターン密度が大きく変化するため、EBリソグラフィーで試料上のパターン形成を行った場合、近接効果補正誤差が発生し易いし、光リソグラフィーを用いてパターン形成を行なった場合においても、OPC(Optical Proximity Correction)の補正誤差が発生し易いので、この場合には、セル部とランダム部の境界領域(通常はx座標)を被検査領域に指定すれば、効率的な検査が実行できる。欠陥が多い箇所の予想には、適宜シュミレーション実験等を利用して行なってもよい。また、パターン設計者が、特に欠陥が発生し易いと考えている領域、或いはパターン上特に重要と考えている領域があれば、その領域を被検査領域に指定してもよい。例えば、パターンが密集して線幅が細くなっており、デザイン上の余裕が少ない箇所があれば、そこを被検査領域に指定すればよい。なお、試料の全面検査と、レシピに基づく選択的検査は、モードにより切り替え可能にしてもよい。
Although an example has been described in which only one stripe is required at the boundary portion, if necessary, two or more stripes may be set in order to inspect the periphery of the boundary a little more widely. The coordinates of the recipe can be arbitrarily set by the operator, but the width of the die is constant, so if the x coordinate of one boundary and the width of the die are known, it is automatically calculated for all dies. It is possible to calculate boundary coordinates. When creating a recipe, the driver often knows the past defect history, so from the analysis result of the history, if you specify and inspect the place where it is expected that there are many defects, Efficient and relatively accurate inspection can be performed. For example, since the pattern density changes greatly at the boundary region between the cell portion having a high pattern density and the random portion having a relatively small pattern density, a proximity effect correction error occurs when the pattern is formed on the sample by EB lithography. Even in the case where pattern formation is performed using optical lithography, an OPC (Optical Proximity Correction) correction error is likely to occur. In this case, the boundary region between the cell portion and the random portion (usually x If the coordinate) is designated as the inspection area, an efficient inspection can be performed. You may perform a simulation experiment etc. suitably for prediction of a location with many defects. In addition, if there is a region that the pattern designer thinks that a defect is particularly likely to occur or a region that is considered particularly important in the pattern, the region may be designated as an inspection region. For example, if the pattern is dense and the line width is narrow, and there is a portion with a small design margin, it may be designated as the inspection area. Note that the entire inspection of the sample and the selective inspection based on the recipe may be switched depending on the mode.

また、図42には、ストライプ状の被検査領域6の他に、被検査領域7、9、10が示されている。被検査領域7は、ステージの連続移動、若しくはビームスキャン、又は双方の組み合わせで選択的に検査を行う領域である。被検査領域7は、欠陥が多発すると予想される領域である欠陥多発領域8に対応している。被検査領域9も、ステージの連続移動、若しくはビームスキャン、又は双方の組み合わせで検査が行われる領域である。なお、さらに、本実施の形態では、ステップ・アンド・リピート方式で検査が行われてもよい。また、被検査領域10は、低パターン密度領域であるが選択的に検査が行われる領域の例を示しており、これも本実施の形態に含まれる。   Further, FIG. 42 shows inspected regions 7, 9, and 10 in addition to the striped inspected region 6. The inspection area 7 is an area in which inspection is selectively performed by continuous movement of the stage, beam scanning, or a combination of both. The inspection area 7 corresponds to a defect occurrence area 8 which is an area where defects are expected to occur frequently. The inspection area 9 is also an area in which inspection is performed by continuous movement of the stage, beam scanning, or a combination of both. Furthermore, in the present embodiment, the inspection may be performed by a step-and-repeat method. In addition, the region to be inspected 10 is an example of a region which is a low pattern density region but is selectively inspected, and this is also included in the present embodiment.

また、図43に示すように、レシピではなく、最初に試料上の所定の小さい領域について予備検査を行ない、その結果を分析してダイ内で欠陥が多いと予想される領域を推定し、その領域を選択的に検査するようにしてもよい。最初に予備検査を行なう小領域は、図43の中央に示すように、ダイ1〜2列について、ストライプ幅で全面検査してもよいし、図43の左方に示すように、更にy座標も制限し、例えばダイ2行2列分(合計4個)について行なうようにしてもよい。これらの小領域について画像を取得し、ウエハマップの作成を行なう。ここで作成した、ウエハマップから、選択的に検査を行なう箇所を指定し、これにより検査を行なうことが可能である。同一試料上における、現実の小領域の検査結果から全体の検査箇所を決定するため、それまでの処理工程の状況を反映した形での検査箇所の選択が可能であり、より現状に即した柔軟な対応が可能である。なお、この選択は、画面上にウエハマップを表示し、該ウエハマップの検査したい領域をクリック、又はドラッグして矩形で検査したい領域を囲むことにより指定を可能とすれば、操作上も便利である。   In addition, as shown in FIG. 43, instead of a recipe, first, a preliminary inspection is performed on a predetermined small area on the sample, and the result is analyzed to estimate an area expected to have many defects in the die. The region may be selectively inspected. As shown in the center of FIG. 43, the small area to be preliminarily inspected may be inspected on the entire surface with the stripe width for one or two rows of dies or as shown on the left side of FIG. For example, it may be performed for 2 dies and 2 columns (4 total). Images are acquired for these small areas, and a wafer map is created. It is possible to designate a portion to be inspected selectively from the wafer map created here, thereby performing the inspection. Since the entire inspection location is determined from the inspection results of the actual small area on the same sample, it is possible to select the inspection location in a form that reflects the status of the previous processing process, and more flexibility in accordance with the current situation Is possible. Note that this selection is also convenient in terms of operation if a wafer map is displayed on the screen and designation can be made by enclosing the area to be inspected with a rectangle by clicking or dragging the area to be inspected. is there.

更に、それらの被検査領域の選択を、自動的に演算処理するようにしてもよい。例えば、小領域の画像を取得した際、予め被検査パターンのテンプレート等をメモリーに入れておき、これと小領域画像との差分をとり、所定の閾値を超えた箇所を特定し、その特定箇所、即ち欠陥候補の箇所の最も多い部分を含むように被検査領域を決定するようなアルゴリズムを用意しておけばよい。   Furthermore, the selection of those areas to be inspected may be automatically processed. For example, when an image of a small area is acquired, a template of the pattern to be inspected is previously stored in a memory, a difference between this and the small area image is taken, a location exceeding a predetermined threshold is specified, and the specified location In other words, an algorithm for determining the inspection region so as to include the most frequent defect candidate portions may be prepared.

また、図44に示したように、ダイ20の周辺部のスクライブ・ライン21に、検査用の微細なテストパターン23を形成し、このテストパターン23を含むストライプを被検査領域に指定してもよい。図44では、メモリ・セル部24を含むダイ20の4隅にテストパターン23が配置されている。ダイ内の実際のパターンよりも微細なパターンをテストパターンとして形成しておき、このパターンを検査して欠陥が無ければ、ダイ内の実際のパターンも欠陥無く処理出来ている可能性が高いため、これも有効な選択的検査となる。特に、ダイの外側の四隅にそれぞれテストパターンを形成しておき、これらを検査して欠陥が無ければ、テストパターンが試料全体に均等に割り振られているため、試料全体に欠陥が無い可能性が高いと推定できる。   Further, as shown in FIG. 44, even if a fine test pattern 23 for inspection is formed on the scribe line 21 around the die 20, and a stripe including the test pattern 23 is designated as an inspection region. Good. In FIG. 44, test patterns 23 are arranged at four corners of the die 20 including the memory cell portion 24. If a pattern that is finer than the actual pattern in the die is formed as a test pattern and this pattern is inspected and there are no defects, the actual pattern in the die is likely to be processed without defects. This is also an effective selective test. In particular, if test patterns are formed at the four corners on the outside of the die and these are inspected and there are no defects, the test pattern is evenly distributed over the entire sample, so there is a possibility that the entire sample is free of defects. It can be estimated to be high.

なお、本発明では、写像光学系を採用した検査装置であり、検出器にTDI−CCDを用いているため、前述のように、ステージを連続移動させて、ストライプ単位で連続的に検査していくのに非常に有利であり、この検査方法が本装置に最も適した検査方法であるが、ステージ移動をステップ・アンド・リピート方式とし、クリティカルな領域のみをスポット的に選択して検査することも可能である。   In the present invention, the inspection optical system adopts a mapping optical system, and a TDI-CCD is used as a detector. Therefore, as described above, the stage is continuously moved to inspect continuously in units of stripes. This inspection method is the most suitable inspection method for this equipment, but the stage movement is a step-and-repeat method, and only critical areas are spot-selected and inspected. Is also possible.

また、検査に用いる電子は、試料に一次電子ビームを直接照射し、試料から放出された二次電子、反射電子、後方散乱電子の他、試料近傍に逆電界をかけ、一次電子ビームが試料に衝突する前に反射した電子(ミラー電子ともいう)を用いてもよい。更に、試料を透過した透過電子により検査することも可能である。これらは、必要に応じて、レンズやリターディングの設定を変更したり、あるいは必要なハードを追加・変更することにより適応可能となる。   Electrons used for inspection directly irradiate the sample with a primary electron beam, and in addition to secondary electrons, reflected electrons, and backscattered electrons emitted from the sample, a reverse electric field is applied in the vicinity of the sample, and the primary electron beam is applied to the sample. Electrons reflected before collision (also referred to as mirror electrons) may be used. Furthermore, it is possible to inspect with the transmitted electrons that have passed through the sample. These can be adapted by changing the lens and retarding settings as needed, or by adding / changing necessary hardware.

検査した画像は、一旦メモリに取り込まれ、そのメモリに入った画像と次に取り込まれた画像、つまり同じストライプのダイの前後同士で比較する。あるいは同一又は異なる試料上のストライプ同士又は設計上のデータのストライプと比較することにより、比較検査を行う。   The inspected image is once captured in the memory, and the image stored in the memory is compared with the next captured image, that is, before and after the same stripe die. Alternatively, a comparative inspection is performed by comparing stripes on the same or different samples or stripes of design data.

なお、検査時間を短縮するためには、間引き検査、あるいはサンプリング検査を行ってもよい。これは、間引き率、又はサンプリング率を予め定め、この間引き率に従って、ストライプを数行おきに間隔を開けて検査を行うものである。機械的に被検査領域となるストライプを決めてしまうものであるから、必ずしもクリティカルな部分を検査しているとは言えず、精度の面では前述の選択的検査に劣るが、検査時間の短縮は実現できる。また、被検査領域の指定も容易であるため、検査時間の短縮を最重要視するならば、この検査も有効である。本発明で使用している写像投影型検査装置であれば、1行のストライプ幅
がSEMと比較して非常に広いので、検査時間を相当に短縮することが可能である。
In order to shorten the inspection time, a thinning inspection or a sampling inspection may be performed. In this method, a thinning rate or a sampling rate is determined in advance, and the stripes are inspected at intervals of several rows according to this thinning rate. Because it is mechanically determined the stripe to be the inspection area, it can not be said that the critical part is necessarily inspected, and in terms of accuracy, it is inferior to the selective inspection described above, but the inspection time is shortened realizable. In addition, since it is easy to specify the region to be inspected, this inspection is also effective if the most important is to shorten the inspection time. In the case of the mapping projection type inspection apparatus used in the present invention, the stripe width of one row is much wider than that of the SEM, so that the inspection time can be considerably shortened.

なお、本発明の選択的検査方法及び装置は、今まで説明してきたように、写像投影型の電子線を用いた検査装置に適用するのが好適であるが、他の方式を採用した検査装置にも適用可能である。検査したい任意の領域を設定すれば、そのストライプを、SEM型の細く絞ったビームを用いて検査してもよい。また、1本のビームのSEM方式で検査速度が遅ければ、例えば、ビーム数を増やしてマルチビームの照射源とし、図45に示すように、各ラスターを複数のビームで同時に走査し検出信号をビーム位置を考慮して画像合成を行えばよい。   As described above, the selective inspection method and apparatus of the present invention is preferably applied to an inspection apparatus using a mapping projection type electron beam, but an inspection apparatus adopting another method. It is also applicable to. If an arbitrary region to be inspected is set, the stripe may be inspected using a narrowed beam of SEM type. Also, if the inspection speed is slow in the single beam SEM method, for example, the number of beams is increased to make a multi-beam irradiation source, and each raster is simultaneously scanned with a plurality of beams as shown in FIG. Image synthesis may be performed in consideration of the beam position.

図45は、マルチビームで欠陥多発生が予想される場所を選択的に検査する場合を例示したものである。ストライプ幅30を9本のビームがすべて走査するように、走査開始点と走査終了点を決め、9本のビームを同時に走査し、各走査点からの2次電子を9個の検出器で互いにクロストーク無しに検出し、各検出器からの信号からSEM画像を合成する。別々に設定された領域31、32、33のSEM画像を比較し、領域32の画像のうち、領域31とも領域33とも異なる画像の部分が欠陥候補となる。   FIG. 45 illustrates a case where a place where a large number of defects are expected to be generated is selectively inspected with multi-beams. The scanning start point and the scanning end point are determined so that all nine beams scan the stripe width 30, and the nine beams are scanned simultaneously, and the secondary electrons from each scanning point are mutually detected by the nine detectors. Detection is performed without crosstalk, and an SEM image is synthesized from signals from each detector. The SEM images of the regions 31, 32, and 33 set separately are compared, and a portion of the image of the region 32 that is different from the region 31 and the region 33 is a defect candidate.

更に、本発明で用いた写像投影型の検査装置で、マルチビーム方式を採用してもよい。写像投影型で、ビーム径自体が大きいのに加えて、更に複数のビームで試料を照射するので、大幅な検査時間の短縮が実現できる。写像投影型のマルチビーム検査装置を、図46に示す。電子銃46・1から放出された4本の電子線46・2(46・3〜46・6)は開口絞り46・7で整形され、2段のレンズ46・8、46・9(レンズ系46・50)でウィーンフィルタ46・10の偏向中心面に10μm×12μmの楕円状に結像される。電子線は、図の紙面垂直方向に偏向器46・11によりラスタースキャンされ、4本の電子線全体として1mm×0.25mmの矩形領域を均一にカバーするように結像される。E×B分離器(ウイーンフィルタ)46・10で偏向された複数の電子線はNA絞りでクロスオーバーを結び、レンズ46・20で1/5に縮小され試料Wに200μ×50μmをカバーし、かつ試料面に垂直になるように照射、投影される(ケーラー照明と呼ばれる)。E×B分離器46・10は、電極46・52および磁石46・53を備えている。試料から放出されたパターン画像(試料像F)の情報を持った4本の2次電子線46・12はレンズ46・11、46・13、46・14(レンズ46・13、レンズ46・14はレンズ系46・51)で拡大され、MCP46・15上に全体として4本の電子線46・12で合成された矩形画像(拡大投影像F’)として結像する。この二次電子線46・12による拡大投影像F’は、MCP46・15で1万倍に増感され、蛍光部により光に変換され、TDI−CCD46・16で試料の連続移動速度に同期された電気信号となり、画像表示部46・17で連続した画像として取得され、CRT等に出力する。   Furthermore, the projection type inspection apparatus used in the present invention may adopt a multi-beam method. In addition to the large beam diameter itself, which is a projection projection type, the sample is further irradiated with a plurality of beams, so that the inspection time can be greatly shortened. A projection type multi-beam inspection apparatus is shown in FIG. The four electron beams 46.2 (46.3 to 46.6) emitted from the electron gun 46.1 are shaped by the aperture stop 46.7, and the two-stage lenses 46.8, 46.9 (lens system). 46.50), an image is formed in an ellipse of 10 .mu.m.times.12 .mu.m on the deflection center plane of the Wien filter 46.10. The electron beam is raster-scanned by the deflectors 46 and 11 in the direction perpendicular to the paper surface of the drawing, and is imaged so as to uniformly cover a rectangular area of 1 mm × 0.25 mm as a whole of the four electron beams. A plurality of electron beams deflected by an E × B separator (Wien filter) 46 and 10 are crossed over by an NA aperture, reduced to 1/5 by lenses 46 and 20, and a sample W is covered by 200 μ × 50 μm, Irradiated and projected so as to be perpendicular to the sample surface (called Koehler illumination). The E × B separators 46 and 10 include electrodes 46 and 52 and magnets 46 and 53. The four secondary electron beams 46 and 12 having information of the pattern image (sample image F) emitted from the sample are lenses 46 and 11, 46 and 13, 46 and 14 (lenses 46 and 13, lenses 46 and 14). Is magnified by the lens systems 46 and 51) and formed on the MCP 46 and 15 as a rectangular image (enlarged projection image F ′) synthesized by the four electron beams 46 and 12 as a whole. The enlarged projection image F ′ by the secondary electron beams 46 and 12 is sensitized 10,000 times by the MCP 46 and 15, converted into light by the fluorescent part, and synchronized with the continuous moving speed of the sample by the TDI-CCD 46 and 16. It is acquired as a continuous image by the image display units 46 and 17 and output to a CRT or the like.

電子線照射部は試料表面をできるだけ均一に、かつ照射むらを少なくして、矩形または楕円状に電子線で照射する必要があり、また、スループットをあげるためにはより大きな電流で照射領域を電子線照射する必要がある。   The electron beam irradiation unit needs to irradiate the sample surface with the electron beam in a rectangular or elliptical shape with as little uniformity as possible and with less irradiation unevenness. Irradiation is necessary.

本実施の形態の一次電子線照射方法を図47により示す。一次電子線47・1は4本の電子線47・2〜47・5で構成され、それぞれのビームは2μm×2.4μmの楕円状しており、それぞれ1本当り200μm×12.5μmの矩形領域をラスタースキャンし、それらが重なり合わないように足し合わせて全体として200μ×50μmの矩形領域を照射する。ビーム46・2は46・2’ヘ有限の時間で到達し次にビームスポット径分(10μm)ずれた46・2の直下にほとんど時間損失なしに戻り、再度前記と同じ有限の時間で46・2〜46・2’に平行に46・2’の直下(46・3’方向)に移動し、これを繰り返して図の点線で示す矩形の照射領域の1/4(200μm×12.5μm)を走査した後はじめの点47・1に戻りこれを高速に繰り返す。   The primary electron beam irradiation method of this embodiment mode is shown in FIG. The primary electron beam 47.1 is composed of four electron beams 47.2 to 47.5, and each beam has an elliptical shape of 2 μm × 2.4 μm, each having a rectangular shape of 200 μm × 12.5 μm. The areas are raster-scanned and added together so that they do not overlap to irradiate a rectangular area of 200 μm × 50 μm as a whole. The beam 46.2 arrives at 46.2 'in a finite time, and then returns to the position immediately below 46.2 shifted by the beam spot diameter (10 μm) with almost no time loss. Move parallel to 2-46.2 'and immediately below 46.2' (in the direction of 46.3 '), and this is repeated until 1/4 of the rectangular irradiation area indicated by the dotted line in the figure (200 μm × 12.5 μm) After scanning, return to the first point 47.1 and repeat this at high speed.

他の電子線47・3〜47・5も電子線47・2と同様に同じ速度で走査を繰り返し、全体として図の矩形の照射領域(200μ×50μm)を均一に高速に照射する。   The other electron beams 47 and 3 to 47 and 5 are repeatedly scanned at the same speed as the electron beams 47 and 2 to uniformly irradiate a rectangular irradiation region (200 μ × 50 μm) as a whole at high speed.

均一に照射できれば、前記のラスタースキャンでなくても良い。例えばリサージュ形を描くように走査しても良い。従って、ステージの移動方向は図に示す方向Aである必要は無い。即ち、スキャン方向(図の横方向の高速走査方向)に垂直である必要は無い。   As long as the irradiation can be performed uniformly, the raster scan may not be performed. For example, scanning may be performed so as to draw a Lissajous shape. Therefore, the moving direction of the stage need not be the direction A shown in the figure. That is, it is not necessary to be perpendicular to the scanning direction (the high-speed scanning direction in the horizontal direction in the figure).

本実施の形態では電子線照射むらは±3%程度で照射できた。照射電流は1本の電子線当たり250nAで試料表面で全体として、4本の電子ビームで1.0μAを得ることができた(従来の2倍)。電子線の本数を増やすことにより、電流を増加でき、高スループットを得ることができる。また、照射点が従来に比べて小さく(面積で約1/80)また移動しているのでチャージアップは従来の1/20以下に抑えることができた。   In this embodiment, the unevenness of electron beam irradiation can be irradiated at about ± 3%. The irradiation current was 250 nA per electron beam, and 1.0 μA was obtained with four electron beams as a whole on the sample surface (twice as compared with the conventional method). By increasing the number of electron beams, current can be increased and high throughput can be obtained. Further, since the irradiation point is smaller than the conventional one (about 1/80 in area) and moved, the charge-up can be suppressed to 1/20 or less of the conventional one.

なお、本発明において検出される電子は、基板の表面の情報を得ているものであれば何でもよく、例えば、基板付近に逆電界を形成することにより、基板に直接衝突せずに、基板付近で反射するミラー電子(広義には反射電子とも言う)、或いは基板を透過する透過電子等でもよい。特に、ミラー電子を用いた場合には、電子が試料に直接衝突しないので、チャージアップの影響が極めて小さいという利点がある。   The electron detected in the present invention may be anything as long as it obtains information on the surface of the substrate. For example, by forming a reverse electric field in the vicinity of the substrate, it does not directly collide with the substrate, but near the substrate. Mirror electrons that are reflected on the substrate (also referred to as reflected electrons in a broad sense) or transmitted electrons that pass through the substrate may be used. In particular, when mirror electrons are used, the electrons do not directly collide with the sample, so that there is an advantage that the influence of charge-up is extremely small.

ミラー電子を利用する場合には、試料に、加速電圧よりも低い負の電位を印加し、試料付近に逆電界を形成する。この負の電位は、基板の表面付近で殆どの電子線が戻される程度の値に設定するのがよい。具体的には、電子銃の加速電圧よりも0.5〜1.0V以上低い電位に設定すればよい。例えば、本発明の場合、加速電圧が−4kVの場合、試料への印加電圧は−4.000kV〜−4.050kVに設定するのが好ましい。更に望ましくは、−4.0005kV〜−4.020kVがよく、更に好ましくは−4.0005kV〜−4.010kVに設定するのが好適である。   When mirror electrons are used, a negative potential lower than the acceleration voltage is applied to the sample to form a reverse electric field near the sample. This negative potential is preferably set to a value such that most of the electron beam is returned near the surface of the substrate. Specifically, it may be set to a potential lower by 0.5 to 1.0 V or more than the acceleration voltage of the electron gun. For example, in the case of the present invention, when the acceleration voltage is −4 kV, the voltage applied to the sample is preferably set to −4.00 kV to −4.050 kV. More desirably, it is preferably −4.005 kV to −4.020 kV, and more preferably −4.005 kV to −4.010 kV.

3)製造ラインの実施の形態
図48は、本発明の装置を使用した製造ラインの例を示す。検査装置48・1で検査されるウェーハのロット番号、製造に経由した製造装置履歴等の情報をSMIFまたはFOUP48・2に備えられたメモリから読み出すか、または、そのロット番号を、SMIF、FOUP又はウェーハカセットのID番号を読むことにより認識できるようになっている。ウェーハの搬送中は水分の量をコントロールしてメタル配線の酸化等を防止している。
3) Embodiment of Production Line FIG. 48 shows an example of a production line using the apparatus of the present invention. Read out information such as the lot number of the wafer to be inspected by the inspection apparatus 48. 1, the history of the manufacturing apparatus via the production from the memory provided in the SMIF or FOUP 48 2, or the lot number is read from the SMIF, FOUP or It can be recognized by reading the ID number of the wafer cassette. During the transfer of the wafer, the amount of moisture is controlled to prevent the metal wiring from being oxidized.

欠陥検査装置48・1は生産ラインのネットワークシステムと接続することが可能となっており、このネットワークシステム48・3を介して、生産ラインを制御している生産ラインコントロールコンピュータ48・4、各製造装置48・5及び別の検査装置に、被検査物であるウェーハのロット番号などの情報とその検査結果を送ることができる。製造装置には、リソグラフィー関連装置例えば露光装置、コーター、キュア装置、デベロッパ等、又は、エッチング装置、スパッタ装置及びCVD装置などの成膜装置、CMP装置、各種計測装置、他の検査装置、レビュー装置等が含まれる。   The defect inspection apparatus 48.1 can be connected to a production line network system. Through this network system 48.3, a production line control computer 48.4 for controlling the production line, each manufacture. Information such as the lot number of the wafer to be inspected and the inspection result can be sent to the apparatus 48.5 and another inspection apparatus. The manufacturing apparatus includes lithography-related apparatuses such as exposure apparatuses, coaters, curing apparatuses, developers, etc., film forming apparatuses such as etching apparatuses, sputtering apparatuses, and CVD apparatuses, CMP apparatuses, various measuring apparatuses, other inspection apparatuses, and review apparatuses. Etc. are included.

図49は、本発明の実施の形態の電子線装置を使用する半導体デバイス製造方法の例を示すフロー図である。図49の半導体デバイス製造方法は、以下の主工程を含む。(1)ウエハ49・2を製造するウエハ製造工程49・1又はウエハ49・2を準備するウエハ準備工程、(2)露光に使用するマスク(レチクル)49・12を製作するマスク製造工程49・11又はマスクを準備するマスク準備工程、(3)ウエハに必要な加工を行うウエハプロセッシング工程49・3、(4)ウエハ上に形成されたチップを1個ずつ切り出し、動作可能にならしめるチッブ組立工程49・4、(5)できたチップ49・5を検査するチップ検査工程49・6及び検査に合格したチップからなる製品(半導体デバイス)49・7を得る工程。なお、これらの主工程は、それぞれ幾つかのサブ工程を含む。図49の右方部分は、そのうちのウエハプロセッシング工程49・3のサブ工程を示す。   FIG. 49 is a flowchart showing an example of a semiconductor device manufacturing method using the electron beam apparatus according to the embodiment of the present invention. The semiconductor device manufacturing method of FIG. 49 includes the following main steps. (1) Wafer manufacturing process 49 • 1 for manufacturing wafer 49 • 2 or wafer preparation process for preparing wafer 49.2, (2) Mask manufacturing process 49 • for manufacturing mask (reticle) 49 • 12 used for exposure 11 or a mask preparation step for preparing a mask, (3) a wafer processing step 49, 3 for performing necessary processing on the wafer, and (4) a chip assembly for cutting out chips formed on the wafer one by one and making them operable. Steps 49, 4 and (5) Chip inspection step 49, 6 for inspecting the completed chip 49, 5 and a step of obtaining a product (semiconductor device) 49, 7 composed of chips that have passed the inspection. Each of these main processes includes several sub-processes. The right part of FIG. 49 shows a sub-process of the wafer processing process 49 · 3.

上記(1)〜(5)の主工程の中で、半導体デバイスの性能に決定的な影響を及ぼす主工程がウエハプロセッシング工程49・3である。この工程では、設計きれた回路パターンをウエハ上に順次積層し、メモリやMPUとして動作するチップを多数形成する。このウエハプロセッシング工程は、以下の工程を含む。(6)絶縁層となる誘電体薄膜や配線部、あるいは電極部を形成する金属薄膜等を形成する薄膜形成工程49・14(CVDやスパッタリング等を用いる)。(7)この薄膜層やウエハ基板を酸化する酸化工程49・14。(8)薄膜層やウエハ基板等を選択的に加工するためのマスク(レチクル)を用いてレジストのパターンを形成するリソグラフィー工程49・13。(9)レジストパターンに従って薄膜層や基板を加工するエッチング工程49・14(例えばドライエッチング技術を用いる)。(10)イオン・不純物注入拡散工程49・14。(11)レジスト剥離工程。(12)加工されたウエハを検査する検査工程。なお、ウエハプロセッシング工程49・3は、必要な層数だけ繰り返し行い、設計通り動作する半導体デバイスを製造する。   Among the main processes (1) to (5), the main process that has a decisive influence on the performance of the semiconductor device is the wafer processing process 49. In this step, designed circuit patterns are sequentially stacked on a wafer to form a large number of chips that operate as memories and MPUs. This wafer processing step includes the following steps. (6) Thin film forming steps 49 and 14 for forming a dielectric thin film to be an insulating layer, a wiring portion, or a metal thin film for forming an electrode portion (using CVD or sputtering). (7) Oxidation steps 49 and 14 for oxidizing the thin film layer and the wafer substrate. (8) Lithography steps 49 and 13 for forming a resist pattern using a mask (reticle) for selectively processing a thin film layer, a wafer substrate, or the like. (9) Etching steps 49 and 14 for processing a thin film layer and a substrate according to a resist pattern (for example, using a dry etching technique). (10) Ion / impurity implantation diffusion steps 49 and 14. (11) Resist stripping step. (12) An inspection process for inspecting the processed wafer. The wafer processing steps 49 and 3 are repeated as many times as necessary to manufacture a semiconductor device that operates as designed.

図49のフロー図は、上記(6)、(9)及び(10)をまとめて1つのブロック49・14で示し、付加的なウエハ検査工程49・15を含み、更に繰り返し工程をブロック49・16で示す。上記(12) の加工されたウエハを検査する検査工程に本発明の検査装置を用いることにより、微細なパターンを有する半導体デバイスでもスル―プットよく検査でき、全数検査が可能になり、製品の歩留まり向上、欠陥製品の出荷防止が可能である。   The flow chart of FIG. 49 shows the above (6), (9) and (10) together as one block 49/14, which includes an additional wafer inspection process 49/15, and further repeats the block 49. 16. By using the inspection apparatus of the present invention in the inspection process for inspecting the processed wafer of (12) above, even a semiconductor device having a fine pattern can be inspected with good throughput, and 100% inspection can be performed, and the product yield can be obtained. It is possible to improve and prevent shipment of defective products.

図50は、図49の製造方法におけるリソグラフィ工程49・13の詳細を示すフロー図である。図50に示すように、リソグラフィ工程49・13は、(13)前段の工程で回路パターンが形成されたウエハ上にレジストを被覆するレジスト塗布工程50・1、(14)レジストを露光する露光工程50・2、(15)露光されたレジストを現像してレジストパ夕ーンを得る現像工程50・3、(16)現像されたレジストパターンを安定化させるためのアニール工程50・4からなる。なお、半導体デバイス製造工程、ウエハプロセッシング工程、及びリソグラフィ工程は、周知のものである。   FIG. 50 is a flowchart showing details of the lithography steps 49 and 13 in the manufacturing method of FIG. As shown in FIG. 50, the lithography steps 49 and 13 include (13) a resist coating step 50 and 1 for coating a resist on the wafer on which the circuit pattern is formed in the preceding step, and (14) an exposure step for exposing the resist. 50.2, (15) development step 50.3 for developing the exposed resist to obtain a resist pattern, and (16) annealing step 50.4 for stabilizing the developed resist pattern. The semiconductor device manufacturing process, the wafer processing process, and the lithography process are well known.

以上に、本発明の好適な実施の形態について説明した。主として図42〜図45を参照して説明したように、本実施の形態では選択的検査が行われる。検査装置の要求される使用用途に応じて、試料の全面のみならず、検査が特に必要なクリティカルな領域のみを選択的に検査することができるため、検査の精度をある一定の水準に保ちながら、検査時間を大幅に短縮することができる。検査したい領域が限られている場合には、所望の領域のみを検査することが可能であるため、効率よく必要な領域のみを検査することができる。   The preferred embodiments of the present invention have been described above. As described mainly with reference to FIGS. 42 to 45, a selective inspection is performed in the present embodiment. Depending on the intended use of the inspection device, not only the entire surface of the sample but also only the critical areas that require inspection can be selectively inspected, keeping the inspection accuracy at a certain level. The inspection time can be greatly shortened. When the area to be inspected is limited, only a desired area can be inspected, so that only a necessary area can be inspected efficiently.

また、写像投影方式を採用し、検出器にTDI−CCDを使用しているため、ステージを連続移動させながら検査することが可能である。部分的検査にありがちな、ステップ・アンド・リピートのステージ移動後の振動の影響を気にする必要がなく、全面検査と同じように検査を行える。また、ビームの照射領域が広いため、クリティカルな領域を1回の
ストライプでカバーでき、高スループットと高い検査精度を実現することができる。
Further, since the projection method is adopted and the TDI-CCD is used as the detector, it is possible to inspect while continuously moving the stage. There is no need to worry about the influence of vibration after moving the stage of step-and-repeat, which is often found in partial inspection, and inspection can be performed in the same way as full-surface inspection. In addition, since the irradiation area of the beam is wide, the critical area can be covered with a single stripe, and high throughput and high inspection accuracy can be realized.

このようにして、本実施の形態は、高スループットの要求に応え、そして、高い検査精度での検査を可能とする。   In this way, the present embodiment meets the demand for high throughput and enables inspection with high inspection accuracy.

本発明に係る検査方法および検査装置は、半導体製造工程等で使用でき、有用である。   The inspection method and inspection apparatus according to the present invention can be used in a semiconductor manufacturing process or the like and are useful.

1 ウェーハ
2 ダイ
3 低パターン密度領域
4 高パターン密度領域
5 検査省略領域
6、7、9、10 被検査領域
8 欠陥多発領域
20 ダイ
21 ストライブ・ライン
22 テストパターン
23 メモリ・セル部
DESCRIPTION OF SYMBOLS 1 Wafer 2 Dies 3 Low pattern density area 4 High pattern density area 5 Inspection omission area 6, 7, 9, 10 Inspection area 8 Defect occurrence area 20 Die 21 Strive line 22 Test pattern 23 Memory cell part

Claims (4)

試料の表面を検査する検査装置であって、
電子線を試料に向けて照射する電子銃と、
該試料を保持する試料ステージと、
該電子ビームの前記試料へ向けた照射によって該試料の表面の情報を得た電子を検出する検出器と、
該検出器に検出された電子に基づいて試料表面の画像を生成する手段と、
前記電子銃から前記試料ステージへの1次電子光学系と前記試料ステージから前記検出器への2次電子光学系を分離するウィーンフィルタと、
を備え、
前記電子銃から放出された電子線は前記ウィーンフィルタにおいてクロスオーバを形成すると共に、前記試料表面から放出された放出電子は前記ウィーンフィルタにおいてクロスオーバを形成し、前記1次電子光学系と前記2次電子光学系のクロスオーバの位置は、前記ウィーンフィルタ上で異なっていることを特徴とする試料表面検査装置。
An inspection device for inspecting the surface of a sample,
An electron gun that irradiates the sample with an electron beam;
A sample stage for holding the sample;
A detector for detecting electrons obtained from the surface of the sample by irradiation of the electron beam toward the sample;
Means for generating an image of the sample surface based on the electrons detected by the detector;
A Wien filter that separates a primary electron optical system from the electron gun to the sample stage and a secondary electron optical system from the sample stage to the detector;
With
The electron beam emitted from the electron gun forms a crossover in the Wien filter, and the emitted electron emitted from the sample surface forms a crossover in the Wien filter, and the primary electron optical system and the 2 The sample surface inspection apparatus, wherein the position of the crossover of the secondary electron optical system is different on the Wien filter.
前記2次電子光学系は、前記試料ステージと前記ウィーンフィルタとの間に配置された第1のアパーチャと、前記ウィーンフィルタと前記検出器との間に配置された第2のアパーチャとを備え、
前記第2のアパーチャのサイズは、第1のアパーチャのサイズより大きいことを特徴とする試料表面検査装置。
The secondary electron optical system includes a first aperture disposed between the sample stage and the Wien filter, and a second aperture disposed between the Wien filter and the detector,
The sample surface inspection apparatus, wherein the size of the second aperture is larger than the size of the first aperture.
前記検出器は、一次電子ビームが試料に衝突する以前に反射したミラー電子を検出することを特徴とする請求項1または2に記載の試料表面検査装置。   The sample surface inspection apparatus according to claim 1, wherein the detector detects mirror electrons reflected before the primary electron beam collides with the sample. 請求項1〜3のいずれかに記載の試料表面検査装置を用いて半導体ウェーハの位置決めを行う方法であって、
光学顕微鏡にてウェーハ内に存在するダイの位置決めを行うステップと、
前記光学顕微鏡の倍率を上げて、前記ダイの位置決めを行うステップと、
前記検出器に検出された電子に基づいて生成された試料表面の画像に基づいて前記ダイの位置決めを行うステップと、
を備える位置決め方法。
A method for positioning a semiconductor wafer using the sample surface inspection apparatus according to claim 1,
Positioning the die present in the wafer with an optical microscope;
Increasing the magnification of the optical microscope and positioning the die;
Positioning the die based on an image of the sample surface generated based on the electrons detected by the detector;
A positioning method comprising:
JP2010137983A 2010-06-17 2010-06-17 Sample surface inspection method and inspection apparatus Expired - Lifetime JP5302934B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2010137983A JP5302934B2 (en) 2010-06-17 2010-06-17 Sample surface inspection method and inspection apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2010137983A JP5302934B2 (en) 2010-06-17 2010-06-17 Sample surface inspection method and inspection apparatus

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2004064629A Division JP2005249745A (en) 2004-03-08 2004-03-08 Sample surface inspecting method and inspecting apparatus

Publications (2)

Publication Number Publication Date
JP2010272528A true JP2010272528A (en) 2010-12-02
JP5302934B2 JP5302934B2 (en) 2013-10-02

Family

ID=43420358

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010137983A Expired - Lifetime JP5302934B2 (en) 2010-06-17 2010-06-17 Sample surface inspection method and inspection apparatus

Country Status (1)

Country Link
JP (1) JP5302934B2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013172365A1 (en) * 2012-05-15 2013-11-21 株式会社日立ハイテクノロジーズ Electron beam application device and electron beam adjustment method
US11156567B2 (en) 2016-01-29 2021-10-26 Fujifilm Corporation Defect inspection apparatus, method, and program

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002001597A1 (en) * 2000-06-27 2002-01-03 Ebara Corporation Charged particle beam inspection apparatus and method for fabricating device using that inspection apparatus
JP2002141010A (en) * 2000-11-02 2002-05-17 Nikon Corp Electron beam device and manufacturing method of device using the same
JP2003257355A (en) * 2002-03-04 2003-09-12 Nikon Corp Scanning electron microscope

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002001597A1 (en) * 2000-06-27 2002-01-03 Ebara Corporation Charged particle beam inspection apparatus and method for fabricating device using that inspection apparatus
JP2002141010A (en) * 2000-11-02 2002-05-17 Nikon Corp Electron beam device and manufacturing method of device using the same
JP2003257355A (en) * 2002-03-04 2003-09-12 Nikon Corp Scanning electron microscope

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013172365A1 (en) * 2012-05-15 2013-11-21 株式会社日立ハイテクノロジーズ Electron beam application device and electron beam adjustment method
JP2013239329A (en) * 2012-05-15 2013-11-28 Hitachi High-Technologies Corp Electron beam application device and electron beam adjustment method
US11156567B2 (en) 2016-01-29 2021-10-26 Fujifilm Corporation Defect inspection apparatus, method, and program

Also Published As

Publication number Publication date
JP5302934B2 (en) 2013-10-02

Similar Documents

Publication Publication Date Title
JP2005249745A (en) Sample surface inspecting method and inspecting apparatus
US9136091B2 (en) Electron beam apparatus for inspecting a pattern on a sample using multiple electron beams
US7408643B2 (en) Method and apparatus for inspecting samples, and method for manufacturing devices using method and apparatus for inspecting samples
US8035082B2 (en) Projection electron beam apparatus and defect inspection system using the apparatus
JP5647327B2 (en) Inspection apparatus using charged particle beam and device manufacturing method using the inspection apparatus
US7352195B2 (en) Electron beam apparatus with detailed observation function and sample inspecting and observing method using electron beam apparatus
US9390886B2 (en) Electro-optical inspection apparatus using electron beam
JP5963453B2 (en) Inspection device
US7157703B2 (en) Electron beam system
WO2004100206A1 (en) Electron beam device, electron beam inspection method, electron beam inspection device, pattern inspection method and exposure condition determination method
JP2006244875A (en) Mapping projection type electron beam device and defect inspection system using the same
JP4642362B2 (en) Substrate alignment method, substrate surface inspection method, substrate positioning method, semiconductor device manufacturing method, substrate alignment apparatus, and substrate surface inspection apparatus
JP4279689B2 (en) Electron beam equipment
JP2005091342A (en) Sample defect inspecting apparatus and method, and device-manufacturing method using the sample defect inspecting apparatus and the method
JP5302934B2 (en) Sample surface inspection method and inspection apparatus
JP4384022B2 (en) Electron beam apparatus with detailed observation function, sample inspection using the electron beam apparatus, and sample observation method
JPWO2002040980A1 (en) Substrate inspection method, substrate inspection device, and electron beam device
JP2009135108A (en) Mapping projection type electron beam device and defect inspecting system using the same

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121211

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130208

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130611

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130621

R150 Certificate of patent or registration of utility model

Ref document number: 5302934

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term