JP2010262647A - タイミング制約の競合を検出するための方法および装置 - Google Patents

タイミング制約の競合を検出するための方法および装置 Download PDF

Info

Publication number
JP2010262647A
JP2010262647A JP2010100581A JP2010100581A JP2010262647A JP 2010262647 A JP2010262647 A JP 2010262647A JP 2010100581 A JP2010100581 A JP 2010100581A JP 2010100581 A JP2010100581 A JP 2010100581A JP 2010262647 A JP2010262647 A JP 2010262647A
Authority
JP
Japan
Prior art keywords
directed
timing constraint
timing
nodes
test points
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2010100581A
Other languages
English (en)
Other versions
JP5579492B2 (ja
Inventor
Suo Ming Pu
スオミン・プ
Hong Hua Song
ホンファ・ソン
hong wei Dai
ホンウェイ・ダイ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2010262647A publication Critical patent/JP2010262647A/ja
Application granted granted Critical
Publication of JP5579492B2 publication Critical patent/JP5579492B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking
    • G06F30/3308Design verification, e.g. functional simulation or model checking using simulation
    • G06F30/3312Timing analysis

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Tests Of Electronic Circuits (AREA)

Abstract

【課題】タイミング制約の競合を自動的に検出する。
【解決手段】タイミング制約ファイルを受信するステップと、タイミング制約ファイルにおける全ての試験ポイントをノードとして取得し、タイミング制約ファイルにおける試験ポイントに関連したタイミング制約に従ってノード間の有向エッジおよび有向エッジの重みを求めて有向グラフを確立するステップと、有向グラフの全ての有向サイクルを検索するステップと、各有向サイクルについて、有向サイクルを構成する有向エッジの重みの和が必要条件を満足する場合、有向サイクルを構成する試験ポイントおよびタイミング制約間にタイミング制約の競合が存在することを判定するステップと、を含む。この方法および装置は、タイミング制約の競合を自動的に100%検出して、ASICプロジェクトにおいて設計所要時間を短縮すると共にエンジニア・リソースを軽減することができる。
【選択図】図1

Description

本発明は、特定用途向け集積回路設計の技術分野に関し、更に具体的には、特定用途向け集積回路設計におけるタイミング制約の競合を検出するための方法および装置に関する。
ASIC(Application-Specificintegrated Circuit:特定用途向け集積回路)設計は、フロント・エンド設計およびバック・エンド設計に分割することができる。フロント・エンド設計の職員は、設計要求文書に従って、ネットリスト・ファイルおよびタイミング制約のファイルを出力する。ネットリスト・ファイルは、チップ設計において用いられる様々なデバイスおよびそれらのデバイス間の論理接続関係を記述するが、様々なデバイスが物理的にどのように配置されるかは記述しない。タイミング制約のファイルは、データ信号(またはクロック信号あるいはその両方)がクロック信号(またはデータ信号あるいはその両方)よりも早くまたは遅く到着する必要がある時間量を指定するために用いられる。従って、回路における各回路の時間遅延が規定される。フロント・エンド設計の職員によって出力されるネットリスト・ファイルおよびタイミング制約のファイルに従って、バック・エンド設計の職員は、ネットリスト・レベルの設計上でレイアウト配線を実行し、これらは、標準的なセル、マクロセル、およびパッドから構成されるレイアウト設計に変換される。ここで、標準的なセル・ライブラリは、いくつかの基本的な論理ゲート回路から構成されるライブラリであり、各セルは同一のレイアウト高を有し、様々な異なるビューを有する。マクロセルは、RAM、ROM、および専用IPモジュールを含む。パッドは、入力、出力、および電力供給パッドを含む。バック・エンド設計の職員による設計の1つの重要な課題は、タイミング制約のファイルにおいて必要とされるタイミング制約を満足させることである。
従って、タイミング制約は、ASIC設計要求において1つの重要なファクタであり、タイミング制約の競合は、同一の回路について矛盾するタイミング制約の要求が行われることを意味する。例えば、制約1によって、信号Aが信号Bよりも早く到着することが要求され、制約2によって、信号Aが信号Bよりも遅く到着することが要求されると、信号Aについて、タイミング制約の競合が存在する。明らかに、タイミング制約間に競合が存在する場合、設計要求を満足させることは不可能である。しかしながら、異なる理由のために、タイミング制約の競合の問題は、ほぼ全てのチップ設計において存在する。
現在、タイミング制約ファイルにおけるタイミング制約を満足させることができるか否かを検出するために、設計職員は通常、STA法(static timing analysis method:静的タイミング分析方法)を採用する。静的タイミング分析は、特定のタイミング・モデルを無差別に用い、特定の回路に関して、これが設計者によって与えられたタイミング制約に反するか否かを分析する。静的タイミング分析ツールの入力は、ネットリスト、タイミング制約、およびタイミング・モデルである。静的タイミング分析ツールは、ユーザがタイミング分析を実行する際に役立ついくつかの機能を実施する。この業界における主なツールは、Synopsys社のPrimeTime (R)およびCadence社のETS(Encounter(R) Timing System)である。STAプロセスの間、競合するタイミング制約を検出するために、手作業でタイミング・リポートを分析し、誤ったタイミング制約をデバッグする必要がある。しかしながら、今日のASIC設計のタイミング制約リポートは、10,000から100,000ラインまでの多様なエントリを含み、デバッグの作業は、静的タイミング分析のエンジニアにとって、著しい時間量(数日から数週間)を要する。また、これらの目標を達成するために、ASICタイミング駆動レイアウト・ツールでは、著しい時間がかかる。タイミング制約ファイル自体にタイミング制約の競合が存在する場合、この設計目標は、ほとんど不可能である。実際のASIC設計においては、この理由のために出荷の遅延が起こることが多々ある。従って、早い段階でタイミング制約の競合が捕獲されれば、設計における設計所要時間は著しく短縮されることになる。
既存の異なるSTAツールの中で、IBM (IBM Corporationの商標) 社のEinstimer (IBM Corporationの商標) ツールは、以下の機能を提供する。すなわち、UDT(User Defined Test:ユーザ定義試験)およびRAT(Required Arrival Time:必要到着時間)が同一ポートに存在する場合、警告メッセージが与えられる。この機能は、ポートを対象とするだけであり、内部論理に適用することはできない。警告が与えられるのは、上の2つの試験が重複する場合だけである。内部論理のタイミング制約が相互に競合する場合、ツールは検出機能を有しない。他のSTAツールは関連する機能を持ってさえいない。
上述の解決策の別の欠点は、10,000から100,000ラインを有するタイミング・リポートでは、単に手作業によって全てのタイミング制約の競合を100%検出し対象とするという目標を達成することは極めて難しく、タイミング制約デバッグの効率が極めて低いということである。
従って、100%の対象範囲でタイミング制約の競合を自動的に検出して、ASICプロジェクトにおいて設計所要時間を短縮すると共にエンジニア・リソースを軽減することができる方法が必要とされている。
本発明の一態様によれば、タイミング制約の競合を検出するための方法が提供される。この方法は、タイミング制約ファイルを受信するステップと、タイミング制約ファイルにおける全ての試験ポイントをノードとして取得し、タイミング制約ファイルにおける試験ポイントに関連したタイミング制約に従ってノード間の有向エッジおよび有向エッジの重みを求めて有向グラフ(directed graph)を確立するステップと、有向グラフの全ての有向サイクルを検索するステップと、各有向サイクルについて、有向サイクルを構成する有向エッジの重みの和が必要条件を満足する場合、有向サイクルを構成する試験ポイントおよびタイミング制約間にタイミング制約の競合が存在することを判定するステップと、を含む。
本発明の別の態様によれば、タイミング制約の競合を検出するための装置が提供される。この装置は、タイミング制約ファイルを受信するための受信モジュールと、タイミング制約ファイルにおける全ての試験ポイントをノードとして取得し、タイミング制約ファイルにおける試験ポイントに関連したタイミング制約に従ってノード間の有向エッジおよび有向エッジの重みを求めて有向グラフを確立するための確立モジュールと、有向グラフの全ての有向サイクルを検索するための検索モジュールと、各有向サイクルについて、有向サイクルを構成する有向エッジの重みの和が必要条件を満足する場合、有向サイクルを構成する試験ポイントおよびタイミング制約間にタイミング制約の競合が存在することを判定するための判定モジュールと、を含む。
本発明の上述および他の目的、特徴、および利点は、図面における本発明の例示的な実施の更に詳細な説明から、いっそう明らかとなろう。図面において、同様の参照番号は、一般に、本発明の例示的な実施における同一の部分を表す。
本発明に従ったタイミング制約の競合を検出するための方法のフローチャートを概略的に示す。 最小必要時間経過(MRTA)の概念の有向グラフ表現を示す。 MRTA=0である場合の、異なるタイミング検出タイプを有するノードの有向グラフを示す。 本発明の一実施形態に従った、ネットリストから不完全な試験ポイント情報を検索するフローを概略的に示す。 本発明の一実施形態に従った、全体タイミング制約を取得するための方法を示す。 本発明の一実施形態に従った、有向グラフを確立するためのフローを概略的に示す。 トリガ・セットアップ・チェックのネットリストを示す。 トリガ・ホールド・チェックのネットリストを示す。 図7および図8に示すようなネットリストおよびタイミング制約関係に従って取得された有向グラフを示す。 ユーザ定義のスキュー検出のネットリストおよび有向グラフを示す。 ポイント・ツー・ポイント遅延検出のネットリストおよび有向グラフを示す。 ネットリスト・ファイルおよびタイミング制約ファイルに従って取得された有向グラフを概略的に示す。 図12の有向グラフから強固に接続された領域を検索した結果を概略的に示す。 図13の強固に接続された領域から有向サイクルを検索した結果を概略的に示す。 タイミング制約の競合を検出するための装置を概略的に示す。
本発明の好適な実施形態について、本発明の好適な実施形態が図示されている図面を参照して更に詳細に説明する。しかしながら、本発明は、様々な形態で実施することができ、本明細書に記載する実施形態によって限定されるものとは解釈されない。むしろ、これらの実施形態は、本発明をいっそう完全かつ充分にし、更に、本発明の範囲を当業者に充分に伝えるために与えられる。
本発明をいっそう理解するために、最初に、本明細書において、いくつかの基本的な概念を与える。
(1)MRTA(MinimumRequired Time Advance:最小必要時間経過):ポイントAにおける信号の到着時間(ATA)が、ポイントBにおける信号の到着時間(ATB)よりも、少なくともXだけ遅い場合、すなわち、

である場合、ポイントBにおける信号の到着について、Xが最小必要時間経過である。
(2)回路における各ポイントは、早期モード信号到着時間および後期モード信号到着時間を含む、異なる時間検出タイプを有する。早期モード信号到着時間は、そのポイントにおける最も早い信号到着時間を与え、後期モード信号到着時間は、そのポイントにおける最も遅い信号到着時間を与える。有向グラフにおいて、2つのノードを用いて、異なる時間検出タイプを有するそのようなノードを表す。
図1は、本発明に従ったタイミング制約の競合を検出するための方法のフローチャートを概略的に示す。ここでは、最初にフローの基本的な概要を示し、次いで、各ステップの実施方法を詳述する。最初に、ステップS101において、タイミング制約ファイルを受信する。タイミング制約ファイルは、タイミング試験ポイントのタイミング制約を与える。次いで、ステップS102において、タイミング制約ファイルにおける全ての試験ポイントをノードとして取得し、タイミング制約ファイルにおける試験ポイントに関連したタイミング制約に従って、ノード間の有向エッジおよび有向エッジの重みを求めて、有向グラフを確立する。どのように有向グラフを確立するかについては、ここでは省略し、後で詳細に説明する。ステップS103において、有向グラフの全ての有向サイクルをシークし、最後にステップS104において、各有向サイクルについて、その有向サイクルを構成している有向エッジの重みの和が必要条件を満たす場合、有向サイクルを構成している試験ポイントおよびタイミング制約の間にタイミング制約の競合が存在することが判定される。また、この方法は、好ましくは、タイミング制約の競合が存在する有向サイクルを構成している試験ポイントおよびタイミング制約を出力することを含む。それらは、限定ではないが、プリンタ出力、グラフィカル・ユーザ・インタフェース出力等を含む様々な方法で出力することができる。
ステップS102において、タイミング制約ファイルにおける全ての試験ポイントをノードとして取得し、タイミング制約ファイルにおける試験ポイントに関連したタイミング制約に従って、ノード間の有向エッジおよび有向エッジの重みを求めて、有向グラフを確立する場合、複数のステップが含まれる。これらについて、以下で詳細に論じる。
ここでの有向グラフは、ノード、有向エッジ、および有向エッジの重みを含む。図2は、最小必要時間経過(MRTA)の概念の有向グラフ表現を与える。MRTAは、ノードBからノードAへの有向エッジの重みである。すなわち、有向エッジの重みは、その有向エッジによって接続される2つのノードの信号到着の最小必要時間経過である。一般に、これは、ネットリスト内のデータおよびタイミング制約ファイル内のデータから推定される。グラフにおいて、有向エッジの方向が、後の時点で信号が到着するノードを指し示す場合、MRTA>0である。また、明らかに、有向エッジの方向は、BからAを指し示すように変えることができ、この場合はMRTA<0となる。これらの2種類の有向グラフは、双方とも許容可能である。しかしながら、1つの有向グラフでは1つのみの方法を採用することができる。すなわち、全ての有向エッジの方向が全て後のノードを指し示すか、または全てのポイントが早いノードを指し示すかのいずれかである。以下では、有向エッジの方向が全て後のノードを指し示す場合を一例に取り上げて説明する。
更に、回路における1つのポイントが異なる検出タイプを有する場合があり、1つのみのノードしかないが、これも本明細書において有向グラフとして表すことができる。図3は、MRTA=0である場合の、異なるタイミング検出タイプを有するノードの有向グラフを示す。ここでは、有向グラフの2つのノードを用いて、回路における1つのノードの異なるタイミング検出タイプを表す。
タイミング制約ファイルによって与えられるタイミング制約は、基本的に、試験ポイントの情報を含む。しかしながら多くの場合、試験ポイント情報は不完全である。例えば、タイミング制約はワイルドカードreg[*]/Dによって与えられる。ここで*はいずれかの文字を表す。また、この時、全ての試験ポイントを完全にパースするために必然的にネットリストが必要であり、ネットリストは、設計において用いられる様々なデバイスおよびデバイス間の論理接続関係を記述し、ネットリストを検索することによってのみ、いくつのデバイスがこの照合条件に合致するかを知ることができ、例えば、ネットリストがその内部に、条件に合致するreg[0]からreg[20]を有する場合、検索結果が得られる。従って、図4は、本発明の一実施形態に従った、ネットリストから不完全な試験ポイント情報を検索するフローを概略的に示す。タイミング制約ファイルにおける全ての試験ポイントをノードとして取得する必要に応じて、最初にステップS401において、タイミング制約ファイルにおける全ての試験ポイントの情報が完全であるか否かを判定する。全ての試験ポイントにおける試験ポイントの一部の情報が不完全である場合、ステップS402において、ネットリストにおいて検索を実行して、試験ポイントの一部の完全な情報を取得する。このようにして完全な試験ポイント情報を取得し、これによって有向グラフの全てのノードを確立することができる。
更に、いくつかのタイミング制約は暗黙タイミング制約であり、これらは用いるデバイスによって与えられ、かかる暗黙タイミング制約もチェックしなければならない。暗黙タイミング制約は、タイミング制約ファイル内では与えられず、ネットリストのデバイス・ライブラリにおいて与えられる。この時、ネットリストにおいてライブラリ・ファイルにクエリを行ってデバイスの暗黙タイミング制約を取得する必要があり、暗黙タイミング制約をタイミング制約ファイル内に与えられたタイミング制約と組み合わせて、全体タイミング制約を構成し、タイミング制約有向グラフを確立するようになっている。全体タイミング制約は、暗黙タイミング制約およびタイミング制約ファイル内に与えられたタイミング制約の少なくとも一方を含む。暗黙タイミング制約をタイミング制約ファイル内のタイミング制約と組み合わせることは、実際、そのタイミング制約を単に一緒にすることである。例えば、暗黙タイミング制約が制約(1)および(2)を含み、タイミング制約ファイル内に与えられたタイミング制約が制約(3)および(4)を含むと、全体タイミング制約は、制約(1)、(2)、(3)、および(4)を含む。図5は、本発明の一実施形態に従った、全体タイミング制約を取得するための方法を与える。最初に、ステップS404において、ネットリストにおいて暗黙タイミング制約を検索する。これらの暗黙タイミング制約は、ネットリストにおいて用いられるデバイスによって定義され、タイミング制約ファイル内では与えられない。次いで、ステップS405において、暗黙タイミング制約をタイミング制約ファイル内で与えられたタイミング制約と組み合わせて、全体タイミング制約を構成する。
図6は、本発明の一実施形態に従って有向グラフを確立するフローを概略的に示す。ステップS407において、全体タイミング制約をパースし、全ての取得された試験ポイントをノードとして取得する。ここで、全体タイミング制約は、暗黙タイミング制約およびタイミング制約ファイル内で与えられたタイミング制約の少なくとも一方を含む。ステップS408において、パースした全体タイミング制約に従って、全てのノード間の有向エッジおよび有向エッジの重みを取得する。ステップS409において、全てのノード、有向エッジ、および有向エッジ上の重みを用いて、有向グラフを構成する。
次に、有向グラフを確立するプロセスを、いくつかの例によって説明する。
例1は、暗黙タイミング制約を与える。図7および図8は、トリガ・セットアップ・チェックおよびトリガ・ホールド・チェックのネットリストをそれぞれ与える。ここで、タイミング制約ファイルは存在しない。トリガは2つのチェックポイントを含む。すなわちデータ・ポイントおよびクロック・ポイントであり、これらが有向グラフのノードを構成する。図7に従って、セットアップ・チェックにおいて、最も遅いデータ到着時間(LateDataAT)が、最も早いクロック到着時間(EarlyClockAT)よりも、MRTAsetup(MRTAsetup=ClockJitter+SetupGuradTime−ClockPeriod)だけ早いことが必要である。ここで、ClockJitterはクロック・ジッタであり、SetupGuradTimeは、デバイスによって決定される時間パラメータである。ClockPeiodはクロック周期である。図8に従って、ホールド・チェックにおいて、最も遅いクロック到着時間(LateClockAT)が、最も早いデータ到着時間よりも、MRTAhold(MRTAhold=HoldGuardTime)だけ早いことが必要である。ここで、HoldGuardTimeは、デバイスによって決定される時間パラメータである。従って、デバイスの暗黙タイミング制約は、以下のようにパースすることができる。
タイミング制約のパースは、STAツールによって完了するか、または独立したプログラミングによって実施することができる。
パースした暗黙タイミング制約に従って、2つのノードすなわちデータ・ノードおよびクロック・ノードが含まれることがわかる。各ノードは2つのタイミング検出タイプを有するので、有向グラフは4つのノードを含む。図9は、図7および図8のネットリストおよびタイミング制約関係に従って取得された有向グラフを示す。明らかに、これは有向サイクルである。
例2は、ユーザ定義のスキュー検出のためのプロセスを確立する有向グラフを与える。図10は、ユーザ定義のスキュー検出のネットリストおよび有向グラフを示す。ネットリストに従って、回路は2つの入出力デバイスを有し、これらは、いくつかの論理と、試験ポイントすなわちPAD1およびPAD2である2つの接続ポイントとに接続されている。しかしながら、各ポイントは2つのタイミング検出タイプを有するので、有向グラフは4つのノードを有する。タイミング制約ファイルの内容は以下の通りである。

これが意味するのは、デバイスのピンPAD1およびピンPAD2の信号到着時間がSkewGuardの範囲内であるということである。ここで、タイミング制約ファイルは不完全な情報を含まない。更に、ネットリスト内のデバイスは暗黙タイミング制約を含まない。パースの結果を以下のように表すことができる。

図10の右側に、ノード、タイミング制約における有向エッジ、および有向エッジの重みに従って確立した有向グラフを示す。
例3は、ポイント・ツー・ポイント遅延検出のためのプロセスを確立する有向グラフを与える。ポイント・ツー・ポイント遅延検出は、チップ設計における2つのポイント間の遅延を制御するために用いられ、非同期インタフェース論理において広く用いられる。図11は、ポイント・ツー・ポイント検出のネットリストおよび有向グラフを示す。図11に示すネットリストにおいて、いくつかの論理によって2つのトリガを相互に接続し、その接続ポイントは試験ポイントである。図11の上部のネットリストに従って、3つの試験ポイントすなわちA、B、およびCがある。しかしながら、各ポイントは2つのタイミング検出タイプを有するので、有向グラフは6つのノードを有する。タイミング制約ファイルの内容は以下の通りである。

これが意味するのは、ポイントAからポイントBへの最大遅延はP2PGuard_ABであり、ポイントBからポイントCへの最大遅延はP2PGuard_BCであり、ポイントAからポイントCへの最大遅延はP2PGuard_ACであるということである。ここで、タイミング制約ファイルは不完全な情報を含まない。更に、ネットリスト内のデバイスは暗黙タイミング制約を含まない。パースの結果を以下のように表すことができる。

図11の下側に、ノード、タイミング制約における有向エッジ、および有向エッジの重みに従って確立した有向グラフを示す。
有向グラフを確立した後、有向グラフの全ての有向サイクルを検索する必要がある。有向グラフにおいて有向サイクルを検索するためには、様々な方法がある。
広く用いられている1つの方法は、まず、強固に接続されたコンポーネントを全て検索し、次いで、求められた強固に接続されたコンポーネントから有向サイクルを検索することである。強固に接続されたコンポーネントとは、いずれかの2つのノードが相互に到達可能である有向グラフ内の部分を指す。グラフ理論技術においては、強固に接続されたコンポーネントを検索するため、深さ優先検索アルゴリズム、Kosaraju-Sharirアルゴリズム等の多くのアルゴリズムがある。次に、深さ優先検索アルゴリズムのフローを与える。
(1)有向グラフG上で、1つの頂点から開始して、その頂点が末端部となる弧に沿って深さ優先検索横断を実行し、それらの隣接ポイント全ての検索を全て完了する順序に従って頂点を配列する。有向グラフGの強固に接続された分岐を導出するためのアルゴリズム・ステップは、以下の通りである。
1)G上で深さ優先検索を実行し、反復コールが完了するシーケンスに従って、各頂点に番号を付ける。
2)Gの各エッジの方向を変更して、新しい有向グラフGrを構築する。
3)1)において決定した頂点番号に従って、最大の番号を有する頂点から開始して、Gr上で深さ優先検索を実行する。検索プロセス中にGrの頂点を全部は調べていない場合、調べていない頂点から最大の番号を有する頂点を選択し、その頂点から開始して、深さ優先検索を継続する。
4)Grの、最終的に取得された深さ優先全域森(spanning forest)において、各ツリー上の頂点が、Gの強固に接続された分岐1つを構成する。
上記は、単に例示的な説明であり、有向グラフの強固に接続された領域を検索するためのいずれのアルゴリズムもここで使用可能であることは、当業者には認められよう。
有向グラフの強固に接続された領域を見出した後、グラフ理論のアルゴリズムを用いて、強固に接続された領域において有向サイクルを検索することができる。例えば、Dijkstraアルゴリズム、FLOYDアルゴリズム等を採用することができ、FLOYDアルゴリズムでは、http://www.zjtg.cn/itjs/suanfa/2_4.asp.でコード記述が入手可能である。
ここに、図面を例として取り上げて説明を行う。
図12は、ネットリスト・ファイルおよびタイミング制約ファイルに従って取得された有向グラフを概略的に示す。図13は、図12の有向グラフから強固に接続された領域を検索した結果を概略的に示す。図14は、図13の強固に接続された領域から有向サイクルを検索した結果を概略的に示す。
強固に接続された領域を検索する目的が有向サイクルを検索することであることは、当業者には認められよう。強固に接続された領域を検索せずに有向サイクルを直接検索するグラフ理論のアルゴリズムを、本発明に直接適用することも可能である。
最後のステップS104において、各有向サイクルごとに、有向サイクルを構成する有向エッジの重みの和が必要条件を満足させる場合、有向サイクルを構成する試験ポイントおよびタイミング制約間にタイミング制約の競合が存在することが判定される。具体的には、有向グラフの全ての有向エッジの方向が、後の時点で信号が到着するノードを指し示す場合、MRTA>0であり、有向サイクルを構成する有向エッジの重みの和によって満足することが必要である条件は、重みの和が0より大きいことである。むろん、有向エッジの方向が、早い時点で信号が到着するノードを指し示すようにされた場合、MRTA<0であり、有向サイクルを構成する有向エッジの重みの和によって満足することが必要である条件は、重みの和が0より小さいことである。
このように、重みの和が条件を満足させる有向エッジによって構成される有向サイクルに従って、関連する試験ポイントおよびタイミング制約を判定することができ、これらのタイミング制約が競合するタイミング制約である。
上記の説明から、本発明は、純粋にソフトウェアにおいて自動検出を実行し、複雑な手作業からエンジニアを解放し、検出効率を高め、これによって競合するタイミング制約の100%検出を達成可能とすることがわかる。
同じ本発明の概念に基づいて、本発明は、タイミング制約の競合を検出するための装置も開示し、図15に示すように、この装置は、タイミング制約ファイルを受信するための受信モジュール1201と、タイミング制約ファイルにおける全ての試験ポイントをノードとして取得し、タイミング制約ファイルにおける試験ポイントに関連したタイミング制約に従ってノード間の有向エッジおよび有向エッジの重みを求めて有向グラフを確立するための確立モジュール1202と、有向グラフの全ての有向サイクルを検索するための検索モジュール1203と、各有向サイクルについて、有向サイクルを構成する有向エッジの重みの和が必要条件を満足させる場合、有向サイクルを構成する試験ポイントおよびタイミング制約間にタイミング制約の競合が存在することを判定するための判定モジュール1204と、を含む。好ましくは、この装置は、更に、タイミング制約の競合が存在する有向サイクルを構成する試験ポイントおよびタイミング制約を出力するための出力モジュール1205を含む。
本発明の1つの実施に従えば、確立モジュール1202は、タイミング制約ファイルにおける全ての試験ポイントの情報が完全であるか否かを判断するための判断モジュールと、全ての試験ポイントにおける試験ポイントの一部の情報が不完全である場合、ネットリストにおいて検索を実行して試験ポイントの一部の完全な情報を取得するためのネットリスト検索モジュールと、を含む(図には示していない)。
本発明の別の実施に従えば、確立モジュール1202は、暗黙タイミング制約およびタイミング制約ファイル内に与えられたタイミング制約の少なくとも一方を含む全体タイミング制約をパースし、全ての取得した試験ポイントをノードとして取得するパース・モジュールと、パースした全体タイミング制約に従って、全てのノード間の有向エッジおよび有向エッジの重みを取得するための有向エッジおよび重み確立モジュールと、全てのノード、有向エッジ、および有向エッジ上の重みを用いることによって、有向グラフを形成するための有向グラフ確立モジュールと、を含む(図には示していない)。
本発明の更に別の実施に従えば、パース・モジュールは、ネットリストにおいて暗黙タイミング制約を検索するためのネットリスト検索モジュールであって、暗黙タイミング制約が、ネットリストにおいて用いられるデバイスによって定義され、タイミング制約ファイルにおいては与えられない、ネットリスト検索モジュールと、暗黙タイミング制約を、タイミング制約ファイルにおいて与えられたタイミング制約と組み合わせて、全体タイミング制約を形成するための組み合わせモジュールと、を含む(図には示していない)。
本発明の1つの実施に従えば、有向エッジが、後の時点で信号が到着するノードを指し示す場合、有向サイクルを構成する有向エッジの重みの和が満足させる必要がある条件は、重みの和がゼロより大きいことである。
本発明の別の実施に従えば、有向エッジが、早い時点で信号が到着するノードを指し示す場合、有向サイクルを構成する有向エッジの重みの和が満足させる必要がある条件は、重みの和がゼロより小さいことである。
本発明の更に別の実施に従えば、検索モジュール1203は、有向グラフの全ての強固に接続されたコンポーネントを検索するための、強固に接続されたコンポーネント検索モジュールをさらに含む(図には示していない)。
本発明において、有向エッジの重みは、その有向エッジによって接続された2つのノードの信号到着の最小必要時間経過である。
本発明の例示的な実施形態を、本明細書において図面を参照して説明しているが、本発明はこれらの実施形態に厳密に限定されるわけではなく、本発明の範囲および精神から逸脱することなく、当業者によって様々な変更および変形を実施可能であることは認められよう。これらの変更および変形は全て、添付の特許請求の範囲に定義されるように本発明の範囲内に包含されることが意図される。
更に、上記の説明に従って、当業者には認められるように、本発明は、システム、装置、方法、またはコンピュータ・プログラムとして具現化することができる。従って、本発明は、全体的にハードウェアの実施形態、全体的にソフトウェアの実施形態(ファームウェア、常駐ソフトウェア、マイクロコード等を含む)、または、本明細書において「回路」、「モジュール」、もしくは「システム」として全て一般的に称することができるソフトウェアおよびハードウェアの態様を組み合わせた実施形態という形態を取る場合がある。更に、本発明は、表現のいずれかの有形の媒体において具現化されたコンピュータ・プログラムの形態を取り、この媒体に具現化したコンピュータ使用可能プログラム・コードを有するものである場合がある。
1つ以上のコンピュータ使用可能またはコンピュータ読み取り可能媒体(複数の媒体)のいずれかの組み合わせを利用することができる。コンピュータ使用可能またはコンピュータ読み取り可能媒体は、例えば、電子、磁気、光、電磁、赤外線、または半導体システム、装置、デバイス、またはプログラム媒体とすることができるが、これらに限定されるわけではない。コンピュータ読み取り可能媒体の更に具体的な例(非網羅的なリスト)は、1つ以上のワイヤを有する電気的接続、携帯型コンピュータ・ディスケット、ハード・ディスク、ランダム・アクセス・メモリ(RAM)、読み取り専用メモリ(ROM)、消去可能プログラマブルROM(EEPROMもしくはフラッシュ・メモリ)、光ファイバ、携帯型コンパクト・ディスクROM(CDROM)、光記憶デバイス、インターネットもしくはイントラネットをサポートするもの等の伝送媒体、または磁気記憶デバイスを含む。コンピュータ使用可能またはコンピュータ読み取り可能媒体は、プログラムが印刷された紙または別の適切な媒体とすることも可能であり、その場合は、プログラムを、例えば紙または他の媒体の光学スキャンによって電子的に捕獲し、次いでコンパイル、解釈、または必要な場合には他の適切な方法で処理し、次いでコンピュータ・メモリに記憶することができることに留意すべきである。この文書の状況において、コンピュータ使用可能またはコンピュータ読み取り可能媒体は、命令実行システム、装置、またはデバイスによって、またはこれと接続して用いるためのプログラムを含有、記憶、伝達、伝播、または転送することができるいずれかの媒体とすれば良い。コンピュータ使用可能媒体は、ベースバンドにおいてまたは搬送波の一部として、コンピュータ使用可能プログラム・コードが埋め込まれた伝播データ信号を含むことができる。コンピュータ使用可能プログラムは、無線、ワイヤライン、光ファイバ・ケーブル、RF等を含むがこれらには限定されないいずれかの適切な媒体を用いて、伝送することができる。
本発明の動作を実行するためのコンピュータ・プログラム・コードは、Java (Sun Microsystemの商標)、Smalltalk (TM)、C++等のオブジェクト指向プログラミング言語を含む1つ以上のプログラミング言語、および、「C」プログラミング言語または同様のプログラミング言語等の従来の手順プログラミング言語のいずれかの組み合わせで記述することができる。プログラム・コードは、全体的にユーザのコンピュータ上で、部分的にユーザのコンピュータ上で、スタンドアロンのソフトウェア・パッケージとして、部分的にユーザのコンピュータ上でおよび部分的に遠隔コンピュータ上でまたは全体的に遠隔コンピュータ上もしくはサーバ上で、実行することができる。後者の場合には、遠隔コンピュータを、ローカル・エリア・ネットワーク(LAN)もしくはワイド・エリア・ネットワーク(WAN)を含むいずれかのタイプのネットワークを介して、ユーザのコンピュータに接続することができ、または、この接続は、外部のコンピュータに対して行うことも可能である(例えば、インターネット・サービス・プロバイダを用いてインターネットを介して)。
更に、フローチャート図またはブロック図あるいはその両方の各ブロック、およびフローチャート図またはブロック図あるいはその両方におけるブロックの組み合わせは、コンピュータ・プログラム命令によって実施することができる。コンピュータ・プログラム命令を、汎用コンピュータ、特殊目的コンピュータ、または他のプログラマブル・データ処理装置のプロセッサに提供して、機械を生成することで、コンピュータまたは他のプログラマブル・データ処理装置のプロセッサによって実行する命令が、フローチャートまたはブロック図あるいはその両方のブロックまたは複数のブロックにおいて明記された機能/行為を実施するための手段を生成することが可能となっている。
また、これらのコンピュータ・プログラム命令は、コンピュータ読み取り可能媒体に記憶することができ、この媒体が、コンピュータまたは他のプログラマブル・データ処理装置に、特定の方法で機能するように指示することによって、コンピュータ読み取り可能媒体に記憶された命令が、フローチャートまたはブロック図あるいはその両方のブロックまたは複数のブロックにおいて明記された機能/行為を実施する命令手段を含む製造品(article of manufacture)を生成することが可能となっている。
また、コンピュータ・プログラム命令は、コンピュータまたは他のプログラマブル・データ処理装置にロードして、そのコンピュータまたは他のプログラマブル・データ処理装置上で一連の動作ステップを実行させ、コンピュータ実施プロセスを生成することによって、コンピュータまたは他のプログラマブル装置上で実行する命令が、フローチャートまたはブロック図あるいはその両方のブロックまたは複数のブロックにおいて明記された機能/行為を実施するためのプロセスを提供することが可能となっている。
図面におけるフローチャートおよびブロック図は、本発明の様々な実施形態に従ったシステム、装置、方法、およびコンピュータ・プログラムの可能な実施のアーキテクチャ、機能性、および動作を例示する。この点で、フローチャートまたはブロック図における各ブロックは、明記された論理機能(複数の機能)を実施するための1つ以上の実行可能命令を含むコードのモジュール、セグメント、または部分を表すことができる。また、いくつかの代替的な実施形態においては、ブロックに記載された機能は、図面に記載された順序に従わずに実行される場合があることに留意すべきである。例えば、呼び出される機能性に応じて、連続して示された2つのブロックは、実際には実質的に同時に実行されることがあり、または、ブロックは時として逆の順序で実行される場合もある。また、ブロック図またはフローチャート図あるいはその両方の各ブロック、およびブロック図またはフローチャート図あるいはその両方におけるブロックの組み合わせは、明記された機能もしくは行為を実行する特殊目的ハードウェア・ベース・システムによって、または特殊目的ハードウェアおよびコンピュータ命令の組み合わせによって実施可能であることに留意すべきである。
1201 受信モジュール
1202 確立モジュール
1203 検索モジュール
1204 判定モジュール
1205 出力モジュール

Claims (19)

  1. タイミング制約の競合を検出するための方法であって、
    タイミング制約ファイルを受信するステップと、
    前記タイミング制約ファイルにおける全ての試験ポイントを複数のノードとして取得し、前記タイミング制約ファイルにおける前記試験ポイントに関連したタイミング制約に従って前記ノード間の有向エッジおよび前記有向エッジの重みを求めて有向グラフを確立するステップと、
    前記有向グラフの全ての有向サイクルを検索するステップと、
    各有向サイクルについて、前記有向サイクルを構成する前記有向エッジの前記重みの和が必要条件を満足する場合、前記有向サイクルを構成する前記試験ポイントおよびタイミング制約間にタイミング制約の競合が存在することを判定するステップと、
    を含み、
    これらのステップをコンピュータまたは他のプログラマブル・データ処理装置に実施させる、方法。
  2. 有向エッジの前記重みが、その有向エッジによって接続された2つのノードの信号到着の最小必要時間経過である、請求項1に記載の方法。
  3. 前記タイミング制約ファイルにおける前記試験ポイントをノードとして取得するステップが、
    前記タイミング制約ファイルにおける全ての前記試験ポイントの情報が完全であるか否かを判断するステップと、
    全ての前記試験ポイントにおける前記試験ポイントの一部の情報が不完全である場合、ネットリストにおいて検索を実行して、前記試験ポイントの前記一部の完全な情報を取得するステップと、
    を更に含む、請求項1または2に記載の方法。
  4. 前記タイミング制約ファイルにおける全ての試験ポイントをノードとして取得し、前記タイミング制約ファイルにおける前記試験ポイントに関連したタイミング制約に従って前記ノード間の有向エッジおよび前記有向エッジの重みを求めて有向グラフを確立するステップが、
    全体タイミング制約をパースし、全ての取得した試験ポイントをノードとして取得し、前記全体タイミング制約が、暗黙タイミング制約および前記タイミング制約ファイル内に与えられたタイミング制約の少なくとも一方を含む、ステップと、
    パースした全体タイミング制約に従って、全ての前記ノード間の有向エッジおよび前記有向エッジの重みを取得する、ステップと、
    全ての前記ノード、前記有向エッジ、および前記有向エッジ上の前記重みを用いることによって、有向グラフを形成するステップと、
    を含む、請求項1または2に記載の方法。
  5. 全体タイミング制約をパースするステップが、
    ネットリストにおいて暗黙タイミング制約を検索し、前記暗黙タイミング制約が、前記ネットリストにおいて用いられるデバイスによって定義され、前記タイミング制約ファイルにおいては与えられない、ステップと、
    前記暗黙タイミング制約を、前記タイミング制約ファイルにおいて与えられた前記タイミング制約と組み合わせて、全体タイミング制約を形成する、ステップと、
    を含む、請求項4に記載の方法。
  6. 前記有向エッジが、後の時点で信号が到着するノードを指し示す場合、前記有向サイクルを構成する前記有向エッジの前記重みの前記和が満足させる必要がある前記条件は、前記重みの前記和がゼロより大きいことである、請求項1または2に記載の方法。
  7. 前記有向エッジが、早い時点で信号が到着するノードを指し示す場合、前記有向サイクルを構成する前記有向エッジの前記重みの前記和が満足させる必要がある前記条件は、前記重みの前記和がゼロより小さいことである、請求項1または2に記載の方法。
  8. 前記有向グラフの全ての有向サイクルを検索するステップが、前記有向グラフの全ての強固に接続されたコンポーネントを検索するステップを含む、請求項1または2に記載の方法。
  9. 前記タイミング制約の競合が存在する前記有向サイクルを構成する前記試験ポイントおよびタイミング制約を出力するステップを更に含む、請求項1または2に記載の方法。
  10. タイミング制約の競合を検出する装置であって、
    タイミング制約ファイルを受信する、受信モジュールと、
    前記タイミング制約ファイルにおける全ての試験ポイントを複数のノードとして取得し、前記タイミング制約ファイルにおける前記試験ポイントに関連したタイミング制約に従って前記ノード間の有向エッジおよび前記有向エッジの重みを求めて有向グラフを確立する、確立モジュールと、
    前記有向グラフの全ての有向サイクルを検索する、検索モジュールと、
    各有向サイクルについて、前記有向サイクルを構成する前記有向エッジの前記重みの和が必要条件を満足する場合、前記有向サイクルを構成する前記試験ポイントおよびタイミング制約間にタイミング制約の競合が存在することを判定する、判定モジュールと、
    を含む、装置。
  11. 有向エッジの前記重みが、その有向エッジによって接続された2つのノードの信号到着の最小必要時間経過である、請求項10に記載の装置。
  12. 前記確立モジュールが、
    前記タイミング制約ファイルにおける全ての前記試験ポイントの情報が完全であるか否かを判断する、判断モジュールと、
    全ての前記試験ポイントにおける前記試験ポイントの一部の情報が不完全である場合、ネットリストにおいて検索を実行して、前記試験ポイントの前記一部の完全な情報を取得する、ネットリスト検索モジュールと、
    を含む、請求項10または11に記載の装置。
  13. 前記確立モジュールが、
    全体タイミング制約をパースし、全ての取得した試験ポイントをノードとして取得するためのパース・モジュールであって、前記全体タイミング制約が、暗黙タイミング制約および前記タイミング制約ファイル内に与えられたタイミング制約の少なくとも一方を含む、パース・モジュールと、
    パースした全体タイミング制約に従って、全ての前記ノード間の有向エッジおよび前記有向エッジの重みを取得する、有向エッジおよび重み確立モジュールと、
    全ての前記ノード、前記有向エッジ、および前記有向エッジ上の前記重みを用いることによって、有向グラフを形成する、有向グラフ確立モジュールと、
    を含む、請求項10または11に記載の装置。
  14. 前記パース・モジュールが、
    前記ネットリストにおいて暗黙タイミング制約を検索するネットリスト検索モジュールであって、前記暗黙タイミング制約が、前記ネットリストにおいて用いられるデバイスによって定義され、前記タイミング制約ファイルにおいては与えられない、ネットリスト検索モジュールと、
    前記暗黙タイミング制約を、前記タイミング制約ファイルにおいて与えられた前記タイミング制約と組み合わせて、全体タイミング制約を形成する、組み合わせモジュールと、
    を含む、請求項13に記載の装置。
  15. 前記有向エッジが、後の時点で信号が到着するノードを指し示す場合、前記有向サイクルを構成する前記有向エッジの前記重みの前記和が満足させる必要がある前記条件は、前記重みの前記和がゼロより大きいことである、請求項10または11に記載の装置。
  16. 前記有向エッジが、早い時点で信号が到着するノードを指し示す場合、前記有向サイクルを構成する前記有向エッジの前記重みの前記和が満足させる必要がある前記条件は、前記重みの前記和がゼロより小さいことである、請求項10または11に記載の装置。
  17. 前記検索モジュールが、
    前記有向グラフの全ての強固に接続されたコンポーネントを検索する、強固に接続されたコンポーネント検索モジュールを含む、請求項10または11に記載の装置。
  18. 前記タイミング制約の競合が存在する前記有向サイクルを構成する前記試験ポイントおよびタイミング制約を出力する、出力モジュールを更に含む、請求項10または11に記載の装置。
  19. タイミング制約の競合を検出するためのコンピュータ・プログラムであって、
    タイミング制約ファイルを受信する命令と、
    前記タイミング制約ファイルにおける全ての試験ポイントを複数のノードとして取得し、前記タイミング制約ファイルにおける前記試験ポイントに関連したタイミング制約に従って前記ノード間の有向エッジおよび前記有向エッジの重みを求めて有向グラフを確立する命令と、
    前記有向グラフの全ての有向サイクルを検索する命令と、
    各有向サイクルについて、前記有向サイクルを構成する前記有向エッジの前記重みの和が必要条件を満足する場合、前記有向サイクルを構成する前記試験ポイントおよびタイミング制約間にタイミング制約の競合が存在することを判定する命令と、
    を含み、
    これらの命令をコンピュータまたは他のプログラマブル・データ処理装置に実施させる、プログラム。
JP2010100581A 2009-04-30 2010-04-26 タイミング制約の競合を検出するための方法および装置 Expired - Fee Related JP5579492B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
CN200910136969.0 2009-04-30
CN2009101369690A CN101877014B (zh) 2009-04-30 2009-04-30 一种检测时序约束冲突的方法和装置

Publications (2)

Publication Number Publication Date
JP2010262647A true JP2010262647A (ja) 2010-11-18
JP5579492B2 JP5579492B2 (ja) 2014-08-27

Family

ID=43019569

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010100581A Expired - Fee Related JP5579492B2 (ja) 2009-04-30 2010-04-26 タイミング制約の競合を検出するための方法および装置

Country Status (3)

Country Link
US (1) US8302048B2 (ja)
JP (1) JP5579492B2 (ja)
CN (1) CN101877014B (ja)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8365116B2 (en) * 2010-12-06 2013-01-29 University Of Utah Research Foundation Cycle cutting with timing path analysis
CN102436525B (zh) * 2011-10-27 2014-10-15 西安华芯半导体有限公司 一种集成电路设计过程中多节点并行自动修复保持时间违例的方法
US20130227113A1 (en) * 2012-02-26 2013-08-29 International Business Machines Corporation Managing virtualized networks based on node relationships
CN106407489B (zh) * 2015-07-31 2019-11-22 展讯通信(上海)有限公司 一种时序约束检查方法
CN105912404B (zh) * 2016-04-27 2019-03-08 华中科技大学 一种基于磁盘的大规模图数据中寻找强连通分量的方法
US10606979B1 (en) * 2018-06-06 2020-03-31 Xilinx, Inc. Verifying equivalence of design latency
CN114692551B (zh) * 2022-03-22 2024-06-07 中国科学院大学 一种Verilog设计文件安全关键信号的检测方法

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06110978A (ja) * 1992-09-30 1994-04-22 Toshiba Corp 電子部品のレイアウト設計支援装置
JPH07182394A (ja) * 1993-12-24 1995-07-21 Nec Corp 順序回路の遅延最適化システム
JPH0973469A (ja) * 1995-09-07 1997-03-18 Toshiba Corp 電子部品のレイアウト設計支援方法及びその装置
JPH1065008A (ja) * 1996-08-14 1998-03-06 Nec Corp 集積回路の配線設計方法および装置
JP2002215711A (ja) * 2000-11-16 2002-08-02 Fujitsu Ltd 論理回路のタイミング制約生成方式,論理回路のタイミング制約生成用プログラムおよび論理回路のタイミング制約生成用プログラム記録媒体
JP2004013720A (ja) * 2002-06-10 2004-01-15 Fujitsu Ltd 論理回路のタイミング制約モデル生成方法、論理回路のタイミング制約モデル生成プログラム、およびタイミング制約モデルを用いるタイミングドリブンレイアウト方法
US6836753B1 (en) * 2001-06-13 2004-12-28 Cadence Design Systems, Inc. Cone slack allocator for computing time budgets
US20050198601A1 (en) * 2004-03-05 2005-09-08 Picocraft Design Systems, Inc. Method for analyzing and validating clock integration properties in circuit systems
JP2005321980A (ja) * 2004-05-07 2005-11-17 Matsushita Electric Ind Co Ltd タイミング制約作成方法

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5561790A (en) * 1992-03-24 1996-10-01 International Business Machines Corporation Shortest path determination processes for use in modeling systems and communications networks
US5457638A (en) * 1993-02-23 1995-10-10 Nec Research Institue, Inc. Timing analysis of VLSI circuits
US5663891A (en) * 1996-04-03 1997-09-02 Cadence Design Systems, Inc. Optimization of multiple performance criteria of integrated circuits by expanding a constraint graph with subgraphs derived from multiple PWL convex cost functions
US6363520B1 (en) * 1998-06-16 2002-03-26 Logicvision, Inc. Method for testability analysis and test point insertion at the RT-level of a hardware development language (HDL) specification
US6321362B1 (en) * 1999-04-06 2001-11-20 International Business Machines Corporation Method of reformulating static circuit optimization problems for reduced size, degeneracy and redundancy
US6826733B2 (en) * 2002-05-30 2004-11-30 International Business Machines Corporation Parameter variation tolerant method for circuit design optimization
US7010763B2 (en) * 2003-05-12 2006-03-07 International Business Machines Corporation Method of optimizing and analyzing selected portions of a digital integrated circuit
US7047506B2 (en) * 2003-11-19 2006-05-16 International Business Machines Corporation Method to identify geometrically non-overlapping optimization partitions for parallel timing closure
JP4082616B2 (ja) * 2005-01-17 2008-04-30 インターナショナル・ビジネス・マシーンズ・コーポレーション 信号伝播経路描画装置、その描画方法及びプログラム
US7698674B2 (en) * 2006-12-01 2010-04-13 International Business Machines Corporation System and method for efficient analysis of point-to-point delay constraints in static timing
US7549137B2 (en) * 2006-12-14 2009-06-16 International Business Machines Corporation Latch placement for high performance and low power circuits
US7793245B2 (en) * 2006-12-29 2010-09-07 Wisconsin Alumni Research Foundation Statistical iterative timing analysis of circuits having latches and/or feedback loops
US7555740B2 (en) * 2007-02-27 2009-06-30 International Business Machines Corporation Method and system for evaluating statistical sensitivity credit in path-based hybrid multi-corner static timing analysis
US7584443B1 (en) * 2007-03-07 2009-09-01 Altera Corporation Clock domain conflict analysis for timing graphs
US7814452B1 (en) * 2007-11-01 2010-10-12 Xilinx, Inc. Function symmetry-based optimization for physical synthesis of programmable integrated circuits
JP5142132B2 (ja) * 2007-11-01 2013-02-13 インターナショナル・ビジネス・マシーンズ・コーポレーション 設計プロセスの順序の決定を支援する技術
US7849429B2 (en) * 2008-03-24 2010-12-07 International Business Machines Corporation Methods for conserving memory in statistical static timing analysis
US7873926B2 (en) * 2008-03-31 2011-01-18 International Business Machines Corporation Methods for practical worst test definition and debug during block based statistical static timing analysis
US7886246B2 (en) * 2008-04-16 2011-02-08 International Business Machines Corporation Methods for identifying failing timing requirements in a digital design
US7996812B2 (en) * 2008-08-14 2011-08-09 International Business Machines Corporation Method of minimizing early-mode violations causing minimum impact to a chip design
US8141025B2 (en) * 2009-01-15 2012-03-20 International Business Machines Corporation Method of performing timing analysis on integrated circuit chips with consideration of process variations
US8056038B2 (en) * 2009-01-15 2011-11-08 International Business Machines Corporation Method for efficiently checkpointing and restarting static timing analysis of an integrated circuit chip

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06110978A (ja) * 1992-09-30 1994-04-22 Toshiba Corp 電子部品のレイアウト設計支援装置
JPH07182394A (ja) * 1993-12-24 1995-07-21 Nec Corp 順序回路の遅延最適化システム
JPH0973469A (ja) * 1995-09-07 1997-03-18 Toshiba Corp 電子部品のレイアウト設計支援方法及びその装置
JPH1065008A (ja) * 1996-08-14 1998-03-06 Nec Corp 集積回路の配線設計方法および装置
JP2002215711A (ja) * 2000-11-16 2002-08-02 Fujitsu Ltd 論理回路のタイミング制約生成方式,論理回路のタイミング制約生成用プログラムおよび論理回路のタイミング制約生成用プログラム記録媒体
US6836753B1 (en) * 2001-06-13 2004-12-28 Cadence Design Systems, Inc. Cone slack allocator for computing time budgets
JP2004013720A (ja) * 2002-06-10 2004-01-15 Fujitsu Ltd 論理回路のタイミング制約モデル生成方法、論理回路のタイミング制約モデル生成プログラム、およびタイミング制約モデルを用いるタイミングドリブンレイアウト方法
US20050198601A1 (en) * 2004-03-05 2005-09-08 Picocraft Design Systems, Inc. Method for analyzing and validating clock integration properties in circuit systems
JP2005321980A (ja) * 2004-05-07 2005-11-17 Matsushita Electric Ind Co Ltd タイミング制約作成方法

Also Published As

Publication number Publication date
US20100281447A1 (en) 2010-11-04
CN101877014B (zh) 2012-07-25
JP5579492B2 (ja) 2014-08-27
CN101877014A (zh) 2010-11-03
US8302048B2 (en) 2012-10-30

Similar Documents

Publication Publication Date Title
JP5579492B2 (ja) タイミング制約の競合を検出するための方法および装置
JP4485330B2 (ja) 回路の有向ファルシフィケーション
US9600403B1 (en) Method and system for creating functional model of test cases
US7162706B2 (en) Method for analyzing and validating clock integration properties in circuit systems
Petrenko et al. Testing from partial deterministic FSM specifications
TWI627547B (zh) 用於ic設計協定的自動化功能覆蓋生成和管理的系統和方法
US6536018B1 (en) Reverse engineering of integrated circuits
US20090132984A1 (en) Optimal Flow In Designing A Circuit Operable In Multiple Timing Modes
US8661383B1 (en) VLSI black-box verification
US8910099B1 (en) Method for debugging unreachable design targets detected by formal verification
US20070079266A1 (en) Method and computer program for analysis of an integrated circuit design to identify and resolve a problematic structure characterized by multiple rule violations using a design closure knowledge base and a physical design database
CN103440363A (zh) 一种fpga布局布线后仿真中异常信号溯源方法
US7888971B2 (en) Verification support system and method
US9817930B1 (en) Method, system, and computer program product for verifying an electronic circuit design with a graph-based proof flow
US8484591B2 (en) Enhancing redundancy removal with early merging
CN108829903B (zh) 判定fpga冗余设计的代码与综合后电路一致性的方法和系统
Ara et al. A proposal for transaction-level verification with component wrapper language
CN107784185B (zh) 一种门级网表中伪路径的提取方法、装置及终端设备
US10380301B1 (en) Method for waveform based debugging for cover failures from formal verification
US10706195B1 (en) System, method, and computer program product for over-constraint/deadcode detection in a formal verification
US8015523B2 (en) Method and system for sequential netlist reduction through trace-containment
US10599797B1 (en) System, method, and computer program product for grouping one or more failures in a formal verification
TWI474207B (zh) 偵測時序限制衝突的方法與裝置
US10176285B1 (en) System, method, and computer program product for property violation comprehension
US20080148209A1 (en) Method of designing semiconductor integrated circuit using test point insertion adjustable to delay time

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20130115

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130618

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130625

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130807

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20131029

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140115

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140624

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140709

R150 Certificate of patent or registration of utility model

Ref document number: 5579492

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees