JP2010219481A - Charged particle beam drawing device and position measuring method - Google Patents

Charged particle beam drawing device and position measuring method Download PDF

Info

Publication number
JP2010219481A
JP2010219481A JP2009067663A JP2009067663A JP2010219481A JP 2010219481 A JP2010219481 A JP 2010219481A JP 2009067663 A JP2009067663 A JP 2009067663A JP 2009067663 A JP2009067663 A JP 2009067663A JP 2010219481 A JP2010219481 A JP 2010219481A
Authority
JP
Japan
Prior art keywords
stage
pass filter
predetermined
charged particle
component
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2009067663A
Other languages
Japanese (ja)
Other versions
JP5204697B2 (en
JP2010219481A5 (en
Inventor
Yuichi Tachikawa
雄一 立川
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nuflare Technology Inc
Original Assignee
Nuflare Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nuflare Technology Inc filed Critical Nuflare Technology Inc
Priority to JP2009067663A priority Critical patent/JP5204697B2/en
Publication of JP2010219481A publication Critical patent/JP2010219481A/en
Publication of JP2010219481A5 publication Critical patent/JP2010219481A5/ja
Application granted granted Critical
Publication of JP5204697B2 publication Critical patent/JP5204697B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Landscapes

  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Electron Beam Exposure (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To provide a method for measuring a highly accurate position by correcting a non-leaner error in a direction orthogonal to a direction to move a stage so as to be drawn toward the drawing direction. <P>SOLUTION: The charged particle beam drawing device includes a movable stage, a drive portion which moves the stage in a first direction to draw in a drawing direction and controls its driving so that the stage vibrates in a second direction orthogonal to the first direction at a predetermined speed and a predetermined amplitude while moving the stage in the first direction, a measurement portion which measures the moving position of the stage in the second direction using a laser, a filter circuit which attenuates a component of a predetermined frequency domain from a value measured by the measurement portion in the second direction, and a drawing portion which draws a pattern by radiating a charged particle beam to a specimen using movement position data of the stage which have passed through the filter circuit. <P>COPYRIGHT: (C)2010,JPO&INPIT

Description

本発明は、荷電粒子ビーム描画装置及び位置測定方法に関し、特に、レーザ測長によるステージの位置測定手法に関する。   The present invention relates to a charged particle beam drawing apparatus and a position measuring method, and more particularly to a stage position measuring method by laser length measurement.

半導体デバイスの微細化の進展を担うリソグラフィ技術は半導体製造プロセスのなかでも唯一パターンを生成する極めて重要なプロセスである。近年、LSIの高集積化に伴い、半導体デバイスに要求される回路線幅は年々微細化されてきている。これらの半導体デバイスへ所望の回路パターンを形成するためには、高精度の原画パターン(レチクル或いはマスクともいう。)が必要となる。ここで、電子線(電子ビーム)描画技術は本質的に優れた解像性を有しており、高精度の原画パターンの生産に用いられる。   Lithography technology, which is responsible for the progress of miniaturization of semiconductor devices, is an extremely important process for generating a pattern among semiconductor manufacturing processes. In recent years, with the high integration of LSI, circuit line widths required for semiconductor devices have been reduced year by year. In order to form a desired circuit pattern on these semiconductor devices, a highly accurate original pattern (also referred to as a reticle or a mask) is required. Here, the electron beam (electron beam) drawing technique has an essentially excellent resolution, and is used for producing a high-precision original pattern.

図14は、従来の可変成形型電子線描画装置の動作を説明するための概念図である。可変成形型電子線(EB:Electron beam)描画装置は、以下のように動作する。第1のアパーチャ410には、電子線330を成形するための矩形例えば長方形の開口411が形成されている。また、第2のアパーチャ420には、第1のアパーチャ410の開口411を通過した電子線330を所望の矩形形状に成形するための可変成形開口421が形成されている。荷電粒子ソース430から照射され、第1のアパーチャ410の開口411を通過した電子線330は、偏向器により偏向され、第2のアパーチャ420の可変成形開口421の一部を通過して、所定の一方向(例えば、X方向とする)に連続的に移動するステージ上に搭載された試料340に照射される。すなわち、第1のアパーチャ410の開口411と第2のアパーチャ420の可変成形開口421との両方を通過できる矩形形状が、X方向に連続的に移動するステージ上に搭載された試料340の描画領域に描画される。第1のアパーチャ410の開口411と第2のアパーチャ420の可変成形開口421との両方を通過させ、任意形状を作成する方式を可変成形方式という。   FIG. 14 is a conceptual diagram for explaining the operation of a conventional variable shaping type electron beam drawing apparatus. The variable shaped electron beam (EB) drawing apparatus operates as follows. In the first aperture 410, a rectangular opening for forming the electron beam 330, for example, a rectangular opening 411 is formed. Further, the second aperture 420 is formed with a variable shaping opening 421 for shaping the electron beam 330 having passed through the opening 411 of the first aperture 410 into a desired rectangular shape. The electron beam 330 irradiated from the charged particle source 430 and passed through the opening 411 of the first aperture 410 is deflected by the deflector, passes through a part of the variable shaping opening 421 of the second aperture 420, and passes through a predetermined range. The sample 340 mounted on a stage that continuously moves in one direction (for example, the X direction) is irradiated. That is, the drawing area of the sample 340 mounted on the stage in which the rectangular shape that can pass through both the opening 411 of the first aperture 410 and the variable shaping opening 421 of the second aperture 420 is continuously moved in the X direction. Drawn on. A method of creating an arbitrary shape by passing both the opening 411 of the first aperture 410 and the variable shaping opening 421 of the second aperture 420 is referred to as a variable shaping method.

電子ビーム描画装置では、ステージの高精度な位置合わせが必要となる。一般に、ステージの位置は、レーザ干渉計を用いたレーザ測長システムにより測定される。しかしながら、レーザ干渉計を用いたレーザ測長システムによりステージの位置を測定する場合には、測定された位置データに非線形誤差を含んでしまう。パターンの微細化に伴い、かかる非線形誤差が描画精度に影響するようになってきた。そのため、かかるステージ移動の際の非線形誤差成分をローパスフィルタで低減する手法が発明者によって考案されている(例えば、特許文献1参照)。   The electron beam drawing apparatus requires highly accurate alignment of the stage. In general, the position of the stage is measured by a laser length measurement system using a laser interferometer. However, when the position of the stage is measured by a laser length measurement system using a laser interferometer, the measured position data includes a nonlinear error. With the miniaturization of patterns, such nonlinear errors have come to affect the drawing accuracy. Therefore, the inventor has devised a method for reducing the nonlinear error component during the stage movement with a low-pass filter (see, for example, Patent Document 1).

ここで、電子ビーム描画装置では、描画対象となる試料の描画領域を短冊状のストライプ領域に仮想分割し、各ストライブ領域を描画単位領域として、ストライブ領域毎に一定方向(例えば、X方向とする)にステージを移動させながら試料340にパターンを描画していく。そして、1つのストライブ領域の描画が終了すると直交する方向(例えば、Y方向)にステージを移動させて次のストライブ領域上に移動する。そのため、所定の速度、或いは可変速の速度でかかる一定方向にステージを移動させる際に発生する非線形誤差成分は、発生周波数が比較的高いので特許文献1の手法を使って非線形誤差成分をローパスフィルタで低減することが可能であった。   Here, in the electron beam drawing apparatus, the drawing area of the sample to be drawn is virtually divided into strip-shaped stripe areas, and each stripe area is set as a drawing unit area in each stripe area (for example, the X direction). The pattern is drawn on the sample 340 while moving the stage. When the drawing of one stripe area is completed, the stage is moved in a direction perpendicular to the drawing area (for example, the Y direction) and moved onto the next stripe area. Therefore, the non-linear error component generated when moving the stage in a certain direction at a predetermined speed or a variable speed has a relatively high frequency. Therefore, the non-linear error component is low-pass filtered using the method of Patent Document 1. It was possible to reduce it.

一方、かかる一定方向にステージを移動させている間、ステージの駆動制御上は、直交する方向(例えば、Y方向)にステージを移動させていない。そのため、ステージ位置は一定のはずである。しかしながら、実際には、かかるY方向にも微小揺らぎが発生する。例えば、ステージの走行系の歪み等の影響がある。これらの微小揺らぎが生じている状態でレーザ測長システムによりY方向のステージの位置を測定すると、測定された位置データに非線形誤差を含んでしまう。しかし、これらの微小揺らぎの場合には、非線形誤差成分の発生周波数が低いため、特許文献1の手法を使って非線形誤差成分をローパスフィルタで低減しようとしてもその除去が困難であった。   On the other hand, while the stage is moved in such a fixed direction, the stage is not moved in an orthogonal direction (for example, the Y direction) in terms of drive control of the stage. Therefore, the stage position should be constant. In practice, however, minute fluctuations also occur in the Y direction. For example, there is an influence such as distortion of the traveling system of the stage. If the position of the stage in the Y direction is measured by the laser length measurement system in a state where these minute fluctuations are generated, a nonlinear error is included in the measured position data. However, in the case of these minute fluctuations, since the generation frequency of the non-linear error component is low, it is difficult to remove the non-linear error component using the low-pass filter using the method of Patent Document 1.

特開2007−33281号公報JP 2007-33281 A

上述したように、描画中に一定方向にステージを移動させている間、停止しているはずの直交方向に生じている微小揺らぎによる非線形誤差を低減しようとしてもその除去が困難であった。よって、高精度な位置を測定することが困難となってしまう場合がある。   As described above, while the stage is moved in a fixed direction during drawing, it is difficult to remove non-linear errors due to minute fluctuations occurring in the orthogonal direction that should have stopped. Therefore, it may be difficult to measure a highly accurate position.

本発明は、かかる問題点を克服し、描画方向に向かって描画されるようにステージを移動させる方向と直交する方向の非線形誤差を補正して高精度な位置を測定する手法を提供することを目的とする。   The present invention overcomes such problems and provides a method for measuring a highly accurate position by correcting a non-linear error in a direction orthogonal to the direction in which the stage is moved so as to be drawn toward the drawing direction. Objective.

本発明の一態様の荷電粒子ビーム描画装置は、
移動可能なステージと、
描画方向に向かって描画されるようにステージを第1の方向に移動させると共に、第1の方向にステージを移動させながら第1の方向と直交する第2の方向に所定の速度と所定の振幅でステージが振動するように駆動制御する駆動部と、
レーザを用いて、第2の方向についてステージの移動位置を測定する測定部と、
第2の方向について測定部の測定値から所定の周波数領域の成分を減衰させるフィルタ回路と、
フィルタ回路を通過したステージの移動位置データを用いて、試料に荷電粒子ビームを照射することによってパターンを描画する描画部と、
を備えたことを特徴とする。
A charged particle beam drawing apparatus according to one embodiment of the present invention includes:
A movable stage,
The stage is moved in the first direction so that drawing is performed in the drawing direction, and the stage is moved in the first direction, and a predetermined speed and a predetermined amplitude are set in a second direction orthogonal to the first direction. A drive unit for controlling the drive so that the stage vibrates at
A measurement unit that measures the moving position of the stage in the second direction using a laser;
A filter circuit for attenuating a component in a predetermined frequency region from the measurement value of the measurement unit in the second direction;
A drawing unit that draws a pattern by irradiating the sample with a charged particle beam using the moving position data of the stage that has passed through the filter circuit;
It is provided with.

また、描画部は、荷電粒子ビームを偏向する偏向器を有し、所定の振幅は、かかる偏向器で偏向可能な幅であると好適である。   The drawing unit preferably includes a deflector that deflects the charged particle beam, and the predetermined amplitude is preferably a width that can be deflected by the deflector.

また、フィルタ回路は、ローパスフィルタと、かかるローパスフィルタに並列して配置され、直列に接続された1組のハイパスフィルタとローパスフィルタと、ローパスフィルタと通過した第1の測定値と、1組のハイパスフィルタとローパスフィルタを通過した第2の測定値とを合成する合成部と、を有すると好適である。   The filter circuit is disposed in parallel with the low-pass filter, the pair of high-pass filters and low-pass filters connected in series, the first measurement value passed through the low-pass filter, and one set of It is preferable to have a combining unit that combines the high-pass filter and the second measurement value that has passed through the low-pass filter.

本発明の一態様の位置測定方法は、
試料が描画方向に向かって描画されるように試料が載置されたステージを第1の方向に移動させると共に、第1の方向にステージを移動させながら第1の方向と直交する第2の方向に所定の速度と所定の振幅でステージが振動するように駆動制御する工程と、
レーザを用いて、第2の方向について、第2の方向に振動しながら第1の方向に移動しているステージの移動位置を測定する工程と、
所定のカットオフ周波数に設定されたローパスフィルタを用いて、第2の方向について測定された測定値から非線形誤差成分を除去し、結果を出力する工程と、
を備えたことを特徴とする。
The position measurement method of one embodiment of the present invention includes:
The stage on which the sample is placed is moved in the first direction so that the sample is drawn in the drawing direction, and the second direction orthogonal to the first direction is moved while moving the stage in the first direction. A step of driving and controlling the stage to vibrate at a predetermined speed and a predetermined amplitude;
Measuring a moving position of the stage moving in the first direction while oscillating in the second direction using a laser; and
Using a low-pass filter set to a predetermined cut-off frequency, removing a non-linear error component from the measurement value measured in the second direction, and outputting the result;
It is provided with.

また、所定のカットオフ周波数と同一なカットオフ周波数に設定された1組のハイパスフィルタとローパスフィルタとを用いて、非線形誤差成分を除去する際にローパスフィルタを用いた結果、測定値に生じた位相遅れを修正する工程をさらにを備えると好適である。   In addition, a low-pass filter was used to remove the nonlinear error component using a pair of high-pass filter and low-pass filter set to the same cutoff frequency as the predetermined cutoff frequency, resulting in a measured value. It is preferable that the method further includes a step of correcting the phase delay.

本発明の他の態様の荷電粒子ビーム描画装置は、
移動可能なステージと、
描画する際に、第1の方向と、第1の方向と直交する第2の方向とにそれぞれ所定の速度と所定の振幅でステージが振動するように駆動制御する駆動部と、
レーザを用いて、第1と第2の方向についてステージの移動位置を測定する測定部と、
第1と第2の方向について測定部の測定値から所定の周波数領域の成分を減衰させるフィルタ回路と、
フィルタ回路を通過したステージの移動位置データを用いて、試料に荷電粒子ビームを照射することによってパターンを描画する描画部と、
を備えたことを特徴とする。
The charged particle beam drawing apparatus according to another aspect of the present invention includes:
A movable stage,
A drive unit that controls driving so that the stage vibrates at a predetermined speed and a predetermined amplitude in a first direction and a second direction orthogonal to the first direction when drawing,
A measurement unit that measures the moving position of the stage in the first and second directions using a laser;
A filter circuit for attenuating a component in a predetermined frequency region from the measurement value of the measurement unit in the first and second directions;
A drawing unit that draws a pattern by irradiating the sample with a charged particle beam using the moving position data of the stage that has passed through the filter circuit;
It is provided with.

本発明の他の態様の位置測定方法は、
描画する際に、第1の方向と、第1の方向と直交する第2の方向とにそれぞれ所定の速度と所定の振幅で前記ステージが振動するように駆動制御する工程と、
レーザを用いて、第1と第2の方向について、振動しているステージの移動位置を測定する工程と、
所定のカットオフ周波数に設定されたローパスフィルタを用いて、第1と第2の方向について測定されたそれぞれの測定値から非線形誤差成分を除去し、結果を出力する工程と、
を備えたことを特徴とする位置測定方法。
The position measurement method according to another aspect of the present invention includes:
A step of driving and controlling the stage to vibrate at a predetermined speed and a predetermined amplitude in a first direction and a second direction orthogonal to the first direction when drawing,
Measuring the moving position of the vibrating stage in the first and second directions using a laser;
Using a low-pass filter set to a predetermined cut-off frequency, removing a non-linear error component from each measured value measured in the first and second directions, and outputting the result;
A position measuring method comprising:

本発明によれば、所謂停止状態であった直交方向の非線形誤差成分を除去することができるので、より高精度な位置を測定することができる。より高精度な位置を測定することができるので、高精度な描画を行なうことができる。   According to the present invention, since the non-linear error component in the orthogonal direction that has been in a so-called stopped state can be removed, a more accurate position can be measured. Since a position with higher accuracy can be measured, highly accurate drawing can be performed.

実施の形態1における描画装置の構成を示す概念図である。1 is a conceptual diagram illustrating a configuration of a drawing apparatus according to Embodiment 1. FIG. ステージ移動の様子を説明するための図である。It is a figure for demonstrating the mode of a stage movement. フィルタ回路の内部構成を示すブロック図である。It is a block diagram which shows the internal structure of a filter circuit. 実施の形態1における位置測定方法のフローチャートを示す図である。FIG. 4 is a diagram showing a flowchart of a position measurement method in the first embodiment. y方向の位置と時間との関係を示す図である。It is a figure which shows the relationship between the position of ay direction, and time. x方向の出力と位置との関係を示す図である。It is a figure which shows the relationship between the output of a x direction, and a position. LPF通過後の位置成分のデータの周波数に対するゲインと位相の関係を示す図である。It is a figure which shows the relationship between the gain with respect to the frequency of the data of the position component after LPF passage, and a phase. 1組のHPFとLPFとを通過後のy方向の位置成分のデータの周波数に対するゲインと位相の関係を示す図である。It is a figure which shows the relationship between the gain and phase with respect to the frequency of the data of the position component data of the y direction after passing 1 set of HPF and LPF. LPFを通過後のy方向の位置成分のデータと1組のHPFとLPFとを通過後のy方向の位置成分のデータとを合成したデータの周波数に対するゲインと位相の関係を示す図である。It is a figure which shows the relationship between the gain and phase with respect to the frequency of the data which synthesize | combined the data of the position component of the y direction after passing LPF, and the data of the position component of the y direction after passing 1 set of HPF and LPF. デジタルフィルタの伝達関数式を示す図である。It is a figure which shows the transfer function type | formula of a digital filter. 実施の形態1におけるフィルタを通過後のy方向についてのゲインの変化量と位相の変化量の一例を示す図である。6 is a diagram illustrating an example of a gain change amount and a phase change amount in the y direction after passing through the filter according to Embodiment 1. FIG. 実施の形態1における組合せフィルタを通す場合と通さない場合との位置成分のデータの波形の一例を示す図である。It is a figure which shows an example of the waveform of the data of the position component with the case where it does not pass through the case where the combination filter in Embodiment 1 is passed. 従来技術との比較を説明するための図である。It is a figure for demonstrating the comparison with a prior art. 可変成形型電子線露光装置の動作を説明するための概念図である。It is a conceptual diagram for demonstrating operation | movement of a variable shaping type | mold electron beam exposure apparatus.

以下、実施の形態では、荷電粒子ビームの一例として、電子ビームを用いた構成について説明する。但し、荷電粒子ビームは、電子ビームに限るものではなく、イオンビーム等の荷電粒子を用いたビームでも構わない。   Hereinafter, in the embodiment, a configuration using an electron beam will be described as an example of a charged particle beam. However, the charged particle beam is not limited to an electron beam, and a beam using charged particles such as an ion beam may be used.

実施の形態1.
図1は、実施の形態1における描画装置の構成を示す概念図である。
図1において、描画装置100は、電子鏡筒102、描画室103、駆動部106、レーザ干渉計300、位置演算部109、フィルタ回路110、描画演算回路111、及び駆動制御部112を備えている。描画装置100は、電子ビーム200を用いて試料101に所望するパターンを描画する。電子鏡筒102は、描画部の一例となる。レーザ干渉計300は、測定部の一例となる。
Embodiment 1 FIG.
FIG. 1 is a conceptual diagram illustrating a configuration of a drawing apparatus according to the first embodiment.
1, the drawing apparatus 100 includes an electron column 102, a drawing chamber 103, a drive unit 106, a laser interferometer 300, a position calculation unit 109, a filter circuit 110, a drawing calculation circuit 111, and a drive control unit 112. . The drawing apparatus 100 draws a desired pattern on the sample 101 using the electron beam 200. The electronic lens barrel 102 is an example of a drawing unit. The laser interferometer 300 is an example of a measurement unit.

電子鏡筒102内には、電子銃201、照明レンズ202、第1のアパーチャ203、投影レンズ204、偏向器205、第2のアパーチャ206、対物レンズ207、副偏向器209、及び主偏向器208を有している。描画室103内には、XYステージ105が配置される。XYステージ105上には、レーザ測長用のミラー104が配置される。また、XYステージ105上には、描画対象となる試料101が載置される。レーザ干渉計300は、レーザ光源となるレーザヘッド107、XYステージ105上のミラー104、光学系113、及び受光部108を有している。ここでは、1セットのレーザ干渉計300が示されているが、x方向用とy方向用の少なくとも2セットのレーザ干渉計300が配置されることは言うまでもない。レーザ干渉計300は、位置演算部109に接続されている。位置演算部109は、フィルタ回路110に接続されている。駆動制御部112は、駆動部106に接続され、駆動部106は、XYステージ105をx方向とy方向に移動させる。描画演算回路111には、駆動制御部112及びフィルタ回路110が接続されている。   In the electron column 102, there are an electron gun 201, an illumination lens 202, a first aperture 203, a projection lens 204, a deflector 205, a second aperture 206, an objective lens 207, a sub deflector 209, and a main deflector 208. have. An XY stage 105 is disposed in the drawing chamber 103. On the XY stage 105, a mirror 104 for laser length measurement is arranged. A sample 101 to be drawn is placed on the XY stage 105. The laser interferometer 300 includes a laser head 107 serving as a laser light source, a mirror 104 on the XY stage 105, an optical system 113, and a light receiving unit 108. Here, one set of laser interferometers 300 is shown, but it goes without saying that at least two sets of laser interferometers 300 for the x direction and y direction are arranged. The laser interferometer 300 is connected to the position calculation unit 109. The position calculation unit 109 is connected to the filter circuit 110. The drive control unit 112 is connected to the drive unit 106, and the drive unit 106 moves the XY stage 105 in the x direction and the y direction. A drive control unit 112 and a filter circuit 110 are connected to the drawing arithmetic circuit 111.

電子銃201から放出された電子線200は、照明レンズ202により矩形例えば長方形の穴を持つ第1のアパーチャ203全体を照明する。ここで、電子線200をまず矩形例えば長方形に成形する。そして、第1のアパーチャ203を通過した第1のアパーチャ像の電子線200は、投影レンズ204により第2のアパーチャ206上に投影される。かかる第2のアパーチャ206上での第1のアパーチャ像の位置は、偏向器205によって制御され、ビーム形状と寸法を変化させることができる。そして、第2のアパーチャ206を通過した第2のアパーチャ像の電子線200は、対物レンズ207により焦点を合わせ、副偏向器209及び主偏向器208により偏向されて、移動可能に配置されたXYステージ105上の試料101の所望する位置に照射される。   The electron beam 200 emitted from the electron gun 201 illuminates the entire first aperture 203 having a rectangular hole, for example, a rectangular hole, by the illumination lens 202. Here, the electron beam 200 is first formed into a rectangle, for example, a rectangle. Then, the electron beam 200 of the first aperture image that has passed through the first aperture 203 is projected onto the second aperture 206 by the projection lens 204. The position of the first aperture image on the second aperture 206 is controlled by the deflector 205, and the beam shape and size can be changed. Then, the electron beam 200 of the second aperture image that has passed through the second aperture 206 is focused by the objective lens 207, deflected by the sub-deflector 209 and the main deflector 208, and is movably arranged. The desired position of the sample 101 on the stage 105 is irradiated.

図2は、ステージ移動の様子を説明するための図である。描画(露光)面は、主偏向器208によって電子ビーム200を偏向可能な短冊状の複数のストライプ領域に仮想分割される。各ストライプ領域が描画単位領域となる。試料101にパターンを描画する場合には、1つのストライプ領域上を、XYステージ105を駆動部106によりストライプ領域の長手方向となる、例えば、x方向に連続移動させながら、主偏向器208によって描画したいサブフィールド(SF)上の基準位置に偏向位置を追従させながら副偏向器209で所望のパターンをかかるSF内に描画していく。そして、1つのSFの描画が終了すると主偏向器208によって次のSF上の基準位置に偏向位置を追従させながら同様に副偏向器209で所望のパターンをかかるSF内に描画していく。かかる動作を繰り返して1つのストライプ領域上を、x方向に向かって描画する。そして、1つのストライプ領域を描画し終わったら、XYステージ105を駆動部106によりY方向にステップ送りしてx方向(今度は逆向き)に次のストライプ領域の描画動作を同様に行なう。各ストライプ領域の描画動作を蛇行させるように進めることでXYステージ105の移動時間を短縮することができる。ここで、1つのストライプ領域の描画を進める際には、XYステージ105が描画方向(例えば+x方向)と逆方向(−x方向)に移動するだけで、y方向には移動させなくとも+x方向に描画が進む。しかし、これでは、上述したようにy方向についての微小なゆらぎによる低周波の非線形成分が発生してしまう。   FIG. 2 is a diagram for explaining how the stage moves. The drawing (exposure) surface is virtually divided into a plurality of strip-like stripe regions that can deflect the electron beam 200 by the main deflector 208. Each stripe area becomes a drawing unit area. When a pattern is drawn on the sample 101, the main deflector 208 draws on one stripe region while the XY stage 105 is continuously moved in the longitudinal direction of the stripe region by the driving unit 106, for example, in the x direction. A desired pattern is drawn in the SF by the sub deflector 209 while the deflection position follows the reference position on the desired subfield (SF). When drawing of one SF is completed, the main deflector 208 draws a desired pattern in the SF similarly with the sub deflector 209 while following the deflection position to the reference position on the next SF. Such an operation is repeated to draw one stripe region in the x direction. When drawing of one stripe region is completed, the XY stage 105 is stepped in the Y direction by the drive unit 106, and the drawing operation of the next stripe region is similarly performed in the x direction (in this case, the opposite direction). The moving time of the XY stage 105 can be shortened by making the drawing operation of each stripe region meander. Here, when drawing one stripe region, the XY stage 105 only moves in the direction opposite to the drawing direction (for example, the + x direction) (−x direction), and without moving in the y direction, the + x direction. The drawing proceeds. However, this generates a low-frequency nonlinear component due to minute fluctuations in the y direction as described above.

そこで、実施の形態1では、各ストライプ領域の描画を進める際に、y方向に対して、XYステージ105が所定の振幅Aと速度Vで振動するように駆動制御する。例えば、XYステージ105が−x方向に進んでいるのであれば、図2の下図に示すように、波状のカーブ(例えば、サイン曲線)を描きながらXYステージ105が−x方向に進むように駆動制御する。   Therefore, in the first embodiment, when drawing of each stripe region is advanced, drive control is performed so that the XY stage 105 vibrates at a predetermined amplitude A and speed V in the y direction. For example, if the XY stage 105 is moving in the −x direction, the XY stage 105 is driven so as to move in the −x direction while drawing a wavy curve (for example, a sine curve) as shown in the lower diagram of FIG. Control.

図3は、フィルタ回路の内部構成を示すブロック図である。レーザ測長システムの一例であるレーザ干渉計300にて描画室103内に設置されたXYステージ105のx方向の位置とy方向の位置を測定する。図3では、x方向或いはy方向の位置を測定する1組の回路が記載されているが、x方向用とy方向用にそれぞれ配置される。   FIG. 3 is a block diagram showing the internal configuration of the filter circuit. The position of the XY stage 105 installed in the drawing chamber 103 in the x direction and the position in the y direction are measured by a laser interferometer 300 that is an example of a laser measurement system. In FIG. 3, a set of circuits for measuring the position in the x direction or the y direction is described, but they are arranged for the x direction and the y direction, respectively.

実施の形態1では、特に、y方向の非線形成分を問題視しているので、y方向の位置測定に重点をおいて以下説明する。   In the first embodiment, since the nonlinear component in the y direction is considered as a problem, the following description will be given with emphasis on position measurement in the y direction.

かかるy方向測定用のレーザ干渉計300にて測定されたy方向の測定値を位置演算部109にてy方向の位置成分のデータに変換する。そして、所定のカットオフ周波数に設定したローパスフィルタ(以降LPFという)122にかかるy方向の位置成分のデータを通過させる。一方で、LPF122と並列に配置され、LPF122のカットオフ周波数と同一なカットオフ周波数に設定した1組のハイパスフィルタ(以降HPFという)124とLPF126に、かかるy方向の位置成分のデータを通過させる。HPF124とLPF126は直列に接続される。そして、LPF122を通過したデータと1組のHPF124とLPF126を通過したデータとを合成部の一例となる加算器128で加算し合成する。そして、合成された合成値を描画演算回路111に出力する。x方向測定用のレーザ干渉計300にて測定されたx方向の測定値についても同様の処理を行なう。   The measurement value in the y direction measured by the laser interferometer 300 for measuring the y direction is converted into position component data in the y direction by the position calculation unit 109. Then, the data of the position component in the y direction applied to the low-pass filter (hereinafter referred to as LPF) 122 set to a predetermined cutoff frequency is passed. On the other hand, the data of the position component in the y direction is passed through a set of high-pass filters (hereinafter referred to as HPF) 124 and LPF 126 which are arranged in parallel with LPF 122 and set to the same cutoff frequency as LPF 122. . The HPF 124 and the LPF 126 are connected in series. Then, the data that has passed through the LPF 122 and the data that has passed through the pair of HPF 124 and LPF 126 are added and synthesized by an adder 128 as an example of a synthesis unit. The synthesized value is output to the drawing arithmetic circuit 111. Similar processing is performed on the measurement value in the x direction measured by the laser interferometer 300 for x direction measurement.

図4は、本実施の形態1における位置測定方法のフローチャートを示す図である。
S(ステップ)502において、y方向振動制御及び測定工程として、上述したように、駆動制御部112によって制御された駆動部106は、あるストライプ領域において、XYステージ105を例えばx方向(第1の方向)に移動させると共に、x方向にステージを移動させながらx方向と直交するy方向(第2の方向)に速度Vと振幅AでXYステージ105が振動するように駆動制御する。これにより、XYステージ105上の試料101が描画方向(−x方向)に向かって描画される。そして、かかる状態で、x方向のレーザ干渉計300は、レーザを用いて、y方向に振動しながらx方向に移動しているXYステージ105のx方向の移動位置を測定する。y方向のレーザ干渉計300は、レーザを用いて、y方向に振動しながらx方向に移動しているXYステージ105のy方向の移動位置を測定する。すなわち、描画室103内に設置されたXYステージ105上に取付けられたミラー104に、レーザ投光部となるレーザヘッド107から光学系113を介してレーザ光を当てて、反射したレーザ光を、光学系113を介して受光部108にて受光する。かかるレーザ干渉計300にて測定された測定値を位置演算部109にて位置成分のデータに変換する。ここで、上述したように、かかる位置成分のデータには、非線形誤差成分が内在している。
FIG. 4 is a diagram showing a flowchart of the position measuring method according to the first embodiment.
In S (step) 502, as described above, as the y-direction vibration control and measurement process, the drive unit 106 controlled by the drive control unit 112 moves the XY stage 105 in, for example, the x direction (first direction) in a certain stripe region. The XY stage 105 is controlled to vibrate at a velocity V and an amplitude A in the y direction (second direction) orthogonal to the x direction while moving the stage in the x direction. Thereby, the sample 101 on the XY stage 105 is drawn in the drawing direction (−x direction). In this state, the laser interferometer 300 in the x direction uses the laser to measure the movement position in the x direction of the XY stage 105 that is moving in the x direction while vibrating in the y direction. The y-direction laser interferometer 300 uses a laser to measure the movement position in the y direction of the XY stage 105 that is moving in the x direction while vibrating in the y direction. That is, the laser beam is applied to the mirror 104 mounted on the XY stage 105 installed in the drawing chamber 103 from the laser head 107 serving as a laser projector via the optical system 113, and the reflected laser beam is reflected. Light is received by the light receiving unit 108 via the optical system 113. The measurement value measured by the laser interferometer 300 is converted into position component data by the position calculator 109. Here, as described above, the position component data includes a nonlinear error component.

図5は、y方向の位置と時間との関係を示す図である。y方向について、従来、ステージの駆動制御上は、ステージを移動させていない。そのため、ステージ位置は一定のはずである。しかしながら、実際には、図5(a)に示すように、かかるy方向に線形成分の微小揺らぎが発生する。レーザ測長の場合、光学的なパス(光路)の問題で非線形誤差成分を含んでしまう。具体的には、レーザ光中に混在している垂直波と水平波とが互いに干渉してしまい非線形誤差を生じさせる。すなわち、レーザ測長システム中のミラー等の反射により垂直波には水平波の成分が、水平波には垂直波の成分が干渉して非線形誤差を発生させてしまう。かかる非線形誤差成分が線形成分に乗ったデータとなってしまう。かかる微小揺らぎが生じている状態でレーザ干渉計300によりy方向のステージの位置を測定すると、測定された位置データに低周波の非線形誤差を含んでしまう。これに対して、実施の形態1のように、y方向に強制的に所定の振幅Aと所定の速度Vによる振動を与えることで、図5(b)に示すように、線形成分の振動位置を測定した際の位置データに含まれる非線形誤差を高周波にすることができる。   FIG. 5 is a diagram illustrating the relationship between the position in the y direction and time. Conventionally, the stage has not been moved in the y direction in terms of stage drive control. Therefore, the stage position should be constant. However, actually, as shown in FIG. 5A, a minute fluctuation of the linear component occurs in the y direction. In the case of laser length measurement, a nonlinear error component is included due to an optical path (optical path) problem. Specifically, a vertical wave and a horizontal wave mixed in the laser beam interfere with each other, thereby causing a nonlinear error. That is, the reflection of a mirror or the like in the laser measurement system causes a horizontal wave component to interfere with the vertical wave and a vertical wave component to the horizontal wave, thereby generating a non-linear error. Such a nonlinear error component becomes data on the linear component. When the position of the stage in the y direction is measured by the laser interferometer 300 in a state where such minute fluctuations are occurring, the measured position data includes a low-frequency nonlinear error. On the other hand, as shown in FIG. 5B, the vibration position of the linear component is applied by forcibly applying the vibration with the predetermined amplitude A and the predetermined speed V in the y direction as in the first embodiment. The non-linear error included in the position data at the time of measuring can be made high frequency.

非線形成分の発生周波数は、ステージ速度/非線形成分の波長という関係になっている。そして、非線形成分の発生周波数は、例えば、レーザ干渉計300のレーザ波長λの1/4、すなわち、λ/4が支配的である。その他の周波数、例えば、λ/2等も発生するが、λ/4の成分を除去できれば効果が特に大きい。レーザ干渉計300のレーザに、例えば、He−Neレーザを用いる場合、He−Neレーザの波長λは633nmとなる。よって、633nm/4=158nmとなる。また、LPFで非線形成分を除去する際に、XYステージ105の位置成分に対して高周波にする場合、例えば、設定周波数は2kHz以上が望ましい。よって、波長が158nmの非線形成分を2kHz以上の周波数とするステージ速度Vは、V≧158×10−6mm×2000Hz=0.3mm/sとなる。よって、y方向へ振動させる際のステージ速度Vは、例えば0.3mm/s以上が望ましい。 The generation frequency of the non-linear component has a relationship of stage speed / non-linear component wavelength. The generation frequency of the nonlinear component is dominant, for example, ¼ of the laser wavelength λ of the laser interferometer 300, that is, λ / 4. Other frequencies such as λ / 2 are also generated, but the effect is particularly great if the component of λ / 4 can be removed. For example, when a He—Ne laser is used as the laser of the laser interferometer 300, the wavelength λ of the He—Ne laser is 633 nm. Therefore, 633 nm / 4 = 158 nm. Further, when the nonlinear component is removed by the LPF, when the frequency is set higher than the position component of the XY stage 105, for example, the set frequency is desirably 2 kHz or more. Therefore, the stage speed V at which the nonlinear component having a wavelength of 158 nm is a frequency of 2 kHz or more is V ≧ 158 × 10 −6 mm × 2000 Hz = 0.3 mm / s. Therefore, the stage speed V when vibrating in the y direction is preferably, for example, 0.3 mm / s or more.

また、振幅Aは、描画に影響を与えない範囲が望ましい。すなわち、副偏向器209で偏向可能な幅にすることが望ましい。例えば、数μm〜数十μmが望ましい。   The amplitude A is preferably in a range that does not affect drawing. That is, it is desirable that the width be deflectable by the sub deflector 209. For example, several μm to several tens of μm is desirable.

図6は、x方向の出力と位置との関係を示す図である。x方向について、理想的には測定器から被測定物までの距離とその位置データは完全なリニアリティ(線形)の関係になるはずである。すなわち、位置の変化とその出力は、比例しているはずである。しかしながら、図6に示すように、レーザ測長の場合、光学的なパス(光路)の問題で非線形誤差成分を含んでしまう。よって、かかる非線形誤差成分が線形成分に乗ったデータとなってしまう。   FIG. 6 is a diagram illustrating the relationship between the output in the x direction and the position. In the x direction, ideally, the distance from the measuring instrument to the object to be measured and its position data should have a complete linearity relationship. That is, the change in position and its output should be proportional. However, as shown in FIG. 6, in the case of laser length measurement, a nonlinear error component is included due to an optical path (optical path) problem. Therefore, the nonlinear error component becomes data on the linear component.

S504において、非線形誤差成分除去工程として、測定工程により測定されたy方向の測定値から非線形誤差成分を除去する。通常、かかる非線形誤差成分は、XYステージ105の位置成分に対して高周波にしたため、第1のフィルタとしてLPF122を通過させることで、レーザ干渉計300のy方向の測定値から変換された位置成分のデータから、所定のカットオフ周波数で高周波領域の非線形誤差成分を含む周波数領域を減衰させる。x方向についても同様に測定されたx方向の測定値から非線形誤差成分を除去する。   In S504, as the nonlinear error component removal step, the nonlinear error component is removed from the measurement value in the y direction measured in the measurement step. Usually, since such a nonlinear error component has a higher frequency than the position component of the XY stage 105, the position error converted from the measured value in the y direction of the laser interferometer 300 is obtained by passing the LPF 122 as the first filter. From the data, a frequency region including a nonlinear error component in a high frequency region is attenuated at a predetermined cutoff frequency. Also in the x direction, the nonlinear error component is removed from the measured value in the x direction measured in the same manner.

図7は、LPF通過後の位置成分のデータの周波数に対するゲインと位相の関係を示す図である。
図7(a)に示すように、LPF122を所定のカットオフ周波数に設定することで、y方向のレーザ干渉計300の測定値から変換された位置成分のデータから、高周波領域の非線形誤差成分を含む周波数領域を減衰させ、実質的に除去することができる。しかし、図7(b)に示すように、LPF122を通過させることで、y方向の位置成分のデータに位相遅れが生じてしまう。このままLPF122を通過したデータに基づいてXYステージ105のy方向の位置を判断すると位相遅れによりリアルタイム性が劣化し、後述するビーム照射位置にずれが生じてしまう恐れがある。
FIG. 7 is a diagram showing the relationship between the gain and phase with respect to the frequency of the position component data after passing through the LPF.
As shown in FIG. 7A, by setting the LPF 122 to a predetermined cut-off frequency, the nonlinear error component in the high frequency region is obtained from the position component data converted from the measurement value of the laser interferometer 300 in the y direction. The containing frequency region can be attenuated and substantially eliminated. However, as shown in FIG. 7B, the passage of the LPF 122 causes a phase delay in the position component data in the y direction. If the position of the XY stage 105 in the y direction is determined based on the data that has passed through the LPF 122 as it is, the real time property may be deteriorated due to the phase delay, and a beam irradiation position described later may be shifted.

S506において、位相修正用成分形成工程として、上述した位相遅れを修正するデータ成分を形成する。第2のフィルタとしてHPF124が、LPF122と並列に接続され、LPF122と同じカットオフ周波数でかかる測定値からLPF122が減衰させる周波数領域以外の成分を減衰させる。   In S506, as the phase correction component forming step, a data component for correcting the above-described phase delay is formed. An HPF 124 is connected in parallel with the LPF 122 as a second filter, and attenuates components other than the frequency region that the LPF 122 attenuates from the measured value at the same cutoff frequency as the LPF 122.

そして、第3のフィルタとしてLPF126が、HPF124と直列に接続され、LPF122と同じカットオフ周波数でかかる測定値からLPF122が減衰させる周波数領域の成分と同じ周波数領域の成分を減衰させる。   An LPF 126 is connected in series with the HPF 124 as a third filter, and attenuates the same frequency domain component as the LPF 122 attenuates from the measured value at the same cutoff frequency as the LPF 122.

図8は、1組のHPFとLPFとを通過後のy方向の位置成分のデータの周波数に対するゲインと位相の関係を示す図である。
図8(b)に示すように、HPF124を通過させることで、y方向の位置成分のデータの位相が速まる。そして、図8(a)に示すように、LPF122が減衰させる周波数領域以外の成分を減衰させておく。さらに、LPF126を通過させることで、LPF122が減衰させる周波数領域の成分と同じ周波数領域の成分を減衰させておく。かかる位相を早め、かつLPF122と同じカットオフ周波数で両側の周波数領域を減衰させた位置成分のデータを形成する。
FIG. 8 is a diagram showing the relationship between the gain and phase with respect to the frequency of the data of the position component in the y direction after passing through a pair of HPF and LPF.
As shown in FIG. 8B, the phase of the data of the position component data in the y direction is accelerated by passing the HPF 124. Then, as shown in FIG. 8A, components other than the frequency region attenuated by the LPF 122 are attenuated. Further, by passing through the LPF 126, the same frequency domain component as that of the frequency domain attenuated by the LPF 122 is attenuated. The position component data is formed by advancing the phase and attenuating the frequency regions on both sides with the same cut-off frequency as the LPF 122.

S508において、位相修正工程として、LPF122を用いた結果、y方向の位置成分のデータに生じた位相遅れを修正する。合成部の一例となる加算器128が、LPF122を通過したレーザ干渉計300のy方向の測定値から変換された位置成分のデータと、HPF124とLPF126とを通過したレーザ干渉計300のy方向の測定値から変換された位置成分のデータとを加算して合成し、合成された合成値を描画演算回路111に出力する。   In step S <b> 508, the phase delay generated in the position component data in the y direction as a result of using the LPF 122 as the phase correction step is corrected. An adder 128, which is an example of a synthesizer, uses the positional component data converted from the measurement value in the y direction of the laser interferometer 300 that has passed through the LPF 122, and The position component data converted from the measurement value is added and combined, and the combined value is output to the drawing operation circuit 111.

図9は、LPFを通過後のy方向の位置成分のデータと1組のHPFとLPFとを通過後のy方向の位置成分のデータとを合成したデータの周波数に対するゲインと位相の関係を示す図である。
図9(b)に示すように、2つのデータを加算して合成することで、位相遅れを修正し、位相遅れが始まる周波数領域を高周波側にずらすことができる。また、図9(a)に示すように、ゲインの減衰開始位置も若干高周波側にずらすことができる。
FIG. 9 shows the relationship between the gain and the phase with respect to the frequency of the data obtained by synthesizing the position component data in the y direction after passing through the LPF and the position component data in the y direction after passing through a pair of HPF and LPF. FIG.
As shown in FIG. 9B, by adding and synthesizing two data, the phase lag can be corrected and the frequency region where the phase lag starts can be shifted to the high frequency side. Further, as shown in FIG. 9A, the gain attenuation start position can also be slightly shifted to the high frequency side.

必要な周波数領域におけるゲインと位相がずれないようにLPF122とHPF124とLPF126のカットオフ周波数を調整することで、位相ずれを抑えながらy方向位置の非線形誤差成分を除去することができる。   By adjusting the cutoff frequency of the LPF 122, HPF 124, and LPF 126 so that the gain and phase do not shift in the necessary frequency region, the nonlinear error component at the y-direction position can be removed while suppressing the phase shift.

ここで、HPF124とLPF126は、どちらを上流側(1次側)に配置しても構わない。すなわち、HPF124とLPF126の順序はどちらが先でも構わない。どちらを上流側に配置しても同様の効果を得ることができる。   Here, either the HPF 124 or the LPF 126 may be arranged on the upstream side (primary side). That is, the order of the HPF 124 and the LPF 126 may be first. The same effect can be obtained regardless of which is arranged upstream.

また、フィルタ回路110は、デジタルフィルタとして構成することができる。例えば、FPGA(Field Programmable Gate Array)内にプログラムとして組み込まれても構わない。言い換えれば、「フィルタ部」は、コンピュータで動作可能なプログラムにより構成することができる。或いは、ソフトウェアとなるプログラムだけではなく、ハードウェアとソフトウェアとの組合せにより実施させても構わない。或いは、ファームウェアとの組合せでも構わない。また、プログラムにより構成される場合、プログラムは、磁気ディスク装置、磁気テープ装置、FD、或いはROM(リードオンリメモリ)等の記録媒体に記録される。   The filter circuit 110 can be configured as a digital filter. For example, it may be incorporated as a program in an FPGA (Field Programmable Gate Array). In other words, the “filter unit” can be configured by a program operable by a computer. Or you may make it implement by not only the program used as software but the combination of hardware and software. Alternatively, a combination with firmware may be used. When configured by a program, the program is recorded on a recording medium such as a magnetic disk device, a magnetic tape device, an FD, or a ROM (Read Only Memory).

図10は、デジタルフィルタの伝達関数式を示す図である。
カットオフ周波数をf、時定数をτ(但し、τ=1/(2πf))、ラプラス演算子をSとして、図9(b)に示すように、LPF122の伝達関数式は、1/(1+τ・S)で示すことができる。同様に、HPF124の伝達関数式は、τ・S/(1+τ・S)で示すことができる。同様に、LPF126の伝達関数式は、1/(1+τ・S)で示すことができる。よって、図10(a)に示すように、フィルタ部110全体の組み合わせデジタルフィルタの伝達関数G(S)=1/(1+τ・S)+τ・S/(1+τ・S)・1/(1+τ・S)で示すことができる。
FIG. 10 is a diagram illustrating a transfer function equation of the digital filter.
As shown in FIG. 9B, the transfer function equation of the LPF 122 is as follows, where the cutoff frequency is f n , the time constant is τ n (where τ n = 1 / (2πf n )), and the Laplace operator is S. 1 / (1 + τ 1 · S). Similarly, the transfer function equation of the HPF 124 can be represented by τ 2 · S / (1 + τ 2 · S). Similarly, the transfer function equation of the LPF 126 can be expressed by 1 / (1 + τ 3 · S). Therefore, as shown in FIG. 10A, the transfer function G (S) = 1 / (1 + τ 1 · S) + τ 2 · S / (1 + τ 2 · S) · 1 / of the combined digital filter of the entire filter unit 110 (1 + τ 3 · S).

ここで、LPF122とHPF124とLPF126のカットオフ周波数は、同一のカットオフ周波数が望ましい。ただし、上述したような非線形誤差成分の除去と位相遅れの修正効果が認められる範囲での若干のずれを排除するものではない。同一のカットオフ周波数の場合、τ=τ=τとなる。 Here, the cutoff frequencies of the LPF 122, the HPF 124, and the LPF 126 are preferably the same cutoff frequency. However, this does not exclude a slight deviation within a range where the above-described effects of removing the nonlinear error component and correcting the phase delay are recognized. For the same cutoff frequency, τ 1 = τ 2 = τ 3 .

図11は、本実施の形態1におけるフィルタを通過後のy方向についてのゲインの変化量と位相の変化量の一例を示す図である。図11では、一例として、LPF122のカットオフ周波数fとHPF124のカットオフ周波数fとLPF126のカットオフ周波数fをどれも5kHzとした場合、どれも3kHzとした場合、どれも1kHzとした場合、の3通りについて、周波数fが100Hzの位置におけるゲインの変化量と位相の変化量、及び周波数fが6.3kHzの位置におけるゲインの変化量と位相の変化量を示している。 FIG. 11 is a diagram illustrating an example of a gain change amount and a phase change amount in the y direction after passing through the filter according to the first embodiment. In FIG. 11, as an example, when the cut-off frequency f 1 of the LPF 122, the cut-off frequency f 2 of the HPF 124, and the cut-off frequency f 3 of the LPF 126 are all 5 kHz, and all are 3 kHz, all are 1 kHz. In this case, the gain change amount and the phase change amount at the position where the frequency f is 100 Hz and the gain change amount and the phase change amount at the position where the frequency f is 6.3 kHz are shown.

図11の例では、カットオフ周波数をどれも1kHzとした場合、例えば、位置検出において必要な周波数100Hzの位置におけるゲインの変化量と位相の変化量がほとんど無い中で、非線形誤差成分にあたる周波数6.3kHzの位置におけるゲインの変化量が大きい(32%に減衰)ことがわかる。よって、本実施の形態1における組合せフィルタを通過させることで、非線形誤差成分を除去できると共に、位相遅れを改善することができる。   In the example of FIG. 11, when all the cut-off frequencies are 1 kHz, for example, there is almost no gain change amount and no phase change amount at a position of a frequency of 100 Hz required for position detection. It can be seen that the amount of gain change at the 3 kHz position is large (attenuates to 32%). Therefore, by passing through the combination filter in the first embodiment, the nonlinear error component can be removed and the phase delay can be improved.

図12は、本実施の形態1における組合せフィルタを通す場合と通さない場合との位置成分のデータの波形の一例を示す図である。図12(a)には、フィルタOFF、すなわち、組合せフィルタを通さない場合の位置成分のデータの波形の一例が示されている。図12(a)では、非線形誤差成分により波形の振幅が大きく振れていることがわかる。これに対し、フィルタON、すなわち、本実施の形態1における組合せフィルタを通すことにより、図12(b)に示すように非線形誤差成分が除去され、波形の振幅が小さくなった様子がわかる。   FIG. 12 is a diagram illustrating an example of a waveform of position component data with and without passing through the combination filter in the first embodiment. FIG. 12A shows an example of the waveform of the position component data when the filter is OFF, that is, when the combination filter is not passed. In FIG. 12A, it can be seen that the amplitude of the waveform greatly fluctuates due to the nonlinear error component. On the other hand, when the filter is turned on, that is, through the combination filter in the first embodiment, it can be seen that the nonlinear error component is removed and the waveform amplitude is reduced as shown in FIG.

以上のように、y方向用に所定のカットオフ周波数に設定されたLPF122にy方向の測定値を通過させることで、測定されたy方向の測定値から非線形誤差成分を除去する。一方、y方向用に所定のカットオフ周波数と同一なカットオフ周波数に設定された1組のHPF124とLPF126にy方向の測定値を通過させることで、LPF122を用いた結果、y方向の測定値に生じた位相遅れを修正する。   As described above, by passing the measured value in the y direction through the LPF 122 set to a predetermined cutoff frequency for the y direction, the nonlinear error component is removed from the measured value in the y direction. On the other hand, the measured value in the y direction is passed through a set of HPF 124 and LPF 126 set to the same cutoff frequency as the predetermined cutoff frequency for the y direction. As a result of using the LPF 122, the measured value in the y direction is obtained. Correct the phase lag that occurred.

以上の動作をx方向についても同様に行なう。これにより、x方向についても非線形誤差成分を除去し、位相遅れを修正することができる。   The above operation is similarly performed in the x direction. Thereby, the non-linear error component can be removed also in the x direction, and the phase delay can be corrected.

以上のように、位相遅れが修正され、非線形誤差成分が除去されたx方向とy方向の位置成分のデータを描画演算回路111が入力することで、入力された位置成分のデータでXYステージ105の位置を高精度に検出することができる。よって、描画演算回路111は、かかる高精度な位置データにより高精度なパターン位置精度を実現することができる。   As described above, the drawing operation circuit 111 inputs the data of the position components in the x direction and the y direction from which the phase delay is corrected and the nonlinear error component is removed, so that the XY stage 105 is input using the input position component data. Can be detected with high accuracy. Therefore, the drawing arithmetic circuit 111 can realize high-precision pattern position accuracy with such high-precision position data.

S510において、描画工程として、描画演算回路111は、電子ビーム200が偏向されて、描画室103内で連続移動するXYステージ105上の試料101の所望する位置に追従して照射されるように主偏向器208及び副偏向器209を制御する。電子鏡筒102内の各構成で構成される描画部は、フィルタ回路110を通過したXYステージ105の移動位置データを用いて、試料101に電子ビーム200を照射することによってパターンを描画する。   In S <b> 510, as a drawing process, the drawing arithmetic circuit 111 mainly performs irradiation so that the electron beam 200 is deflected and followed by a desired position of the sample 101 on the XY stage 105 that continuously moves in the drawing chamber 103. The deflector 208 and the sub deflector 209 are controlled. A drawing unit configured by each component in the electron column 102 draws a pattern by irradiating the sample 101 with the electron beam 200 using the movement position data of the XY stage 105 that has passed through the filter circuit 110.

図13は、従来技術との比較を説明するための図である。図13(a)には、従来技術として、本実施の形態1における組合せフィルタを通さないで電子線露光を行なった場合を示している。非線形誤差成分により正確なXYステージ105の位置が測定できないため、XYステージ105上の試料101の所望する位置も正確に特定することができない。その結果、電子線のショット位置がずれてしまう。図13(a)では、位置ずれによりパターンが断線している様子を示している。これに対し、本実施の形態1における組合せフィルタを通すことにより、非線形誤差成分が除去され、高精度なXYステージ105の位置を測定することができる。その結果、図13(b)に示すように、電子線のショット位置にずれがなく、所望する位置に所定のパターンを描画することができる。   FIG. 13 is a diagram for explaining comparison with the prior art. FIG. 13A shows a case where the electron beam exposure is performed without passing through the combination filter in the first embodiment as a conventional technique. Since the accurate position of the XY stage 105 cannot be measured due to the non-linear error component, the desired position of the sample 101 on the XY stage 105 cannot be specified accurately. As a result, the shot position of the electron beam is shifted. FIG. 13A shows a state in which the pattern is disconnected due to the positional deviation. On the other hand, by passing through the combination filter in the first embodiment, the nonlinear error component is removed, and the position of the XY stage 105 can be measured with high accuracy. As a result, as shown in FIG. 13B, there is no deviation in the electron beam shot position, and a predetermined pattern can be drawn at a desired position.

なお、y方向への強制振動では、y方向への最大振幅時と−y方向への最大振幅時に速度が0になるため、かかる両点付近では試料101へのビーム照射を回避するとなお好適である。   In the forced vibration in the y direction, the velocity becomes 0 at the maximum amplitude in the y direction and at the maximum amplitude in the −y direction. Therefore, it is more preferable to avoid the beam irradiation to the sample 101 in the vicinity of both points. is there.

また、本実施の形態1における組合せフィルタを通すことによる効果として、さらに、デジタルノイズを低減させることもできる。かかるデジタルノイズとして、例えば、レーザ干渉計300の位置データのLSB(Least Significant Bit)がゆらぐことにより位置誤差が生じてしまうものが挙げられる。本実施の形態1における組合せフィルタを通すことによりLSBのゆらぎがなくなり位置精度を向上させることができる。   Further, as an effect of passing through the combination filter in the first embodiment, digital noise can be further reduced. As such digital noise, for example, a position error may occur due to fluctuation of LSB (Least Significant Bit) of position data of the laser interferometer 300. By passing through the combination filter in the first embodiment, the LSB fluctuation is eliminated and the position accuracy can be improved.

実施の形態2.
実施の形態1では、例えば、x方向に移動しながらy方向に強制振動を加えることで、y方向の非線形誤差成分を除去する例について説明したが、これに限るものではない。実施の形態2では、例えば、ステップアンドリピート動作をしながら停止した状態で描画する場合について説明する。装置構成は、図1及び図3と同様である。また、位置測定方法は、図4のS502について、「y方向」を「x,y方向」と読み替えた場合と同様である。ステップアンドリピート動作をしながら停止した状態で描画する場合にも上述した描画装置100は使用することができる。かかる動作方法で描画する場合には、描画する位置において、ステージの駆動制御上は、x,y方向にステージを移動させていない。そのため、ステージ位置は一定のはずである。しかしながら、実際には、かかるx,y方向にも微小揺らぎが発生し得る。これでは、上述したようにx,y方向についての微小なゆらぎによる低周波の非線形成分が発生してしまう。そのため、フィルタでかかる両方向の非線形成分を除去することが困難となる。
Embodiment 2. FIG.
In the first embodiment, for example, the example in which the nonlinear error component in the y direction is removed by applying the forced vibration in the y direction while moving in the x direction has been described. However, the present invention is not limited to this. In the second embodiment, for example, a case in which drawing is performed while stopped while performing a step-and-repeat operation will be described. The apparatus configuration is the same as that shown in FIGS. Further, the position measurement method is the same as the case where “y direction” is read as “x, y direction” in S502 of FIG. The drawing apparatus 100 described above can also be used when drawing in a stopped state while performing a step-and-repeat operation. When drawing by such an operation method, the stage is not moved in the x and y directions at the drawing position in terms of stage drive control. Therefore, the stage position should be constant. In practice, however, minute fluctuations can also occur in the x and y directions. This generates a low-frequency nonlinear component due to minute fluctuations in the x and y directions as described above. Therefore, it becomes difficult to remove the nonlinear components in both directions by the filter.

よって、かかる動作で描画する場合には、ステージを停止させるべき位置で、x方向とy方向の両方に図5(b)で示したような強制振動を加える。かかる強制振動により、x方向とy方向の両方の非線形誤差成分の周波数を高周波にすることができる。強制振動の振幅や速度は例えばy方向だけについて行なう上述した例と同様で構わない。   Therefore, when drawing by such an operation, forced vibration as shown in FIG. 5B is applied to both the x direction and the y direction at the position where the stage should be stopped. By such forced vibration, the frequency of the nonlinear error component in both the x direction and the y direction can be increased. The amplitude and speed of the forced vibration may be the same as in the above-described example performed only in the y direction, for example.

S502において、x,y方向振動制御及び測定工程として、上述したように、駆動制御部112によって制御された駆動部106は、あるストライプ領域において、XYステージ105を例えばx方向(第1の方向)にステップアンドリピート動作させる。そして、ステップ後の従来停止させる位置において、x方向と、x方向に直交するy方向(第2の方向)に、それぞれ速度Vと振幅AでXYステージ105が振動するように駆動制御する。かかる状態で描画する。そして、かかる状態で、x方向のレーザ干渉計300は、レーザを用いて、x方向に振動しているXYステージ105のx方向の移動位置を測定する。y方向のレーザ干渉計300は、レーザを用いて、y方向に振動しているXYステージ105のy方向の移動位置を測定する。すなわち、描画室103内に設置されたXYステージ105上に取付けられたミラー104に、レーザ投光部となるレーザヘッド107から光学系113を介してレーザ光を当てて、反射したレーザ光を、光学系113を介して受光部108にて受光する。かかるレーザ干渉計300にて測定された測定値を位置演算部109にて位置成分のデータに変換する。ここで、上述したように、かかる位置成分のデータには、非線形誤差成分が内在している。   In S502, as described above, as the x and y direction vibration control and measurement process, the drive unit 106 controlled by the drive control unit 112 moves the XY stage 105 in, for example, the x direction (first direction) in a certain stripe region. To step and repeat. Then, drive control is performed so that the XY stage 105 vibrates at the velocity V and the amplitude A in the x direction and the y direction (second direction) orthogonal to the x direction at the position where the conventional stopping is performed after the step. Drawing is performed in such a state. In this state, the laser interferometer 300 in the x direction measures the movement position in the x direction of the XY stage 105 that vibrates in the x direction using a laser. The y-direction laser interferometer 300 uses a laser to measure the movement position in the y direction of the XY stage 105 vibrating in the y direction. That is, the laser beam is applied to the mirror 104 mounted on the XY stage 105 installed in the drawing chamber 103 from the laser head 107 serving as a laser projector via the optical system 113, and the reflected laser beam is reflected. Light is received by the light receiving unit 108 via the optical system 113. The measurement value measured by the laser interferometer 300 is converted into position component data by the position calculator 109. Here, as described above, the position component data includes a nonlinear error component.

S504において、非線形誤差成分除去工程として、測定工程により測定されたx,y方向の測定値から非線形誤差成分を除去する。通常、かかる非線形誤差成分は、XYステージ105の位置成分に対して高周波にしたため、第1のフィルタとしてLPF122を通過させることで、レーザ干渉計300のy方向の測定値から変換されたそれぞれの位置成分のデータから、所定のカットオフ周波数で高周波領域の非線形誤差成分を含む周波数領域を減衰させる。x方向についても同様に測定されたx方向の測定値から非線形誤差成分を除去する。   In S504, as the nonlinear error component removal step, the nonlinear error component is removed from the measured values in the x and y directions measured in the measurement step. Usually, since such a nonlinear error component has a higher frequency than the position component of the XY stage 105, each position converted from the measured value in the y direction of the laser interferometer 300 by passing through the LPF 122 as the first filter. From the component data, a frequency region including a nonlinear error component in a high frequency region is attenuated at a predetermined cutoff frequency. Also in the x direction, the nonlinear error component is removed from the measured value in the x direction measured in the same manner.

S508において、位相修正工程として、LPF122を用いた結果、y方向の位置成分のデータに生じた位相遅れを修正する。合成部の一例となる加算器128が、LPF122を通過したレーザ干渉計300のy方向の測定値から変換された位置成分のデータと、HPF124とLPF126とを通過したレーザ干渉計300のy方向の測定値から変換された位置成分のデータとを加算して合成し、合成された合成値を描画演算回路111に出力する。x方向についても同様にx方向の位置成分のデータに生じた位相遅れを修正する。   In step S <b> 508, the phase delay generated in the position component data in the y direction as a result of using the LPF 122 as the phase correction step is corrected. An adder 128, which is an example of a synthesizer, uses the positional component data converted from the measurement value in the y direction of the laser interferometer 300 that has passed through the LPF 122, and The position component data converted from the measurement value is added and combined, and the combined value is output to the drawing operation circuit 111. Similarly, in the x direction, the phase delay generated in the position component data in the x direction is corrected.

以上のように、位相遅れが修正され、非線形誤差成分が除去されたx方向とy方向の位置成分のデータを描画演算回路111が入力することで、入力された位置成分のデータでXYステージ105の位置を高精度に検出することができる。よって、描画演算回路111は、かかる高精度な位置データにより高精度なパターン位置精度を実現することができる。   As described above, the drawing operation circuit 111 inputs the data of the position components in the x direction and the y direction from which the phase delay is corrected and the nonlinear error component is removed, so that the XY stage 105 is input using the input position component data. Can be detected with high accuracy. Therefore, the drawing arithmetic circuit 111 can realize high-precision pattern position accuracy with such high-precision position data.

S510において、描画工程として、描画演算回路111は、電子ビーム200が偏向されて、描画室103内で強制振動するXYステージ105上の試料101の所望する位置に追従して照射されるように主偏向器208及び副偏向器209を制御する。電子鏡筒102内の各構成で構成される描画部は、フィルタ回路110を通過したXYステージ105の移動位置データを用いて、試料101に電子ビーム200を照射することによってパターンを描画する。そして、描画後は、次の位置にステップ移動して同様の動作を行なう。   In S <b> 510, as a drawing process, the drawing arithmetic circuit 111 mainly performs irradiation so that the electron beam 200 is deflected and follows the desired position of the sample 101 on the XY stage 105 that is forcibly vibrated in the drawing chamber 103. The deflector 208 and the sub deflector 209 are controlled. A drawing unit configured by each component in the electron column 102 draws a pattern by irradiating the sample 101 with the electron beam 200 using the movement position data of the XY stage 105 that has passed through the filter circuit 110. After drawing, the same operation is performed by stepping to the next position.

以上のように、図4のS502について、「y方向」を「x,y方向」と読み替えて、図4に示したx,y方向振動制御及び測定工程(S502)と非線形誤差成分除去工程(S504)と位相修正用成分形成工程(S506)との各工程を実施することで、ステップアンドリピート動作をしながら停止した状態で描画する場合にも、x方向とy方向の両方について、上述したy方向の位置測定における効果と同様の効果を得ることができる。   As described above, in S502 of FIG. 4, the “y direction” is replaced with “x, y direction”, and the x, y direction vibration control and measurement process (S502) and the nonlinear error component removal process (S502) shown in FIG. By performing each step of S504) and the phase correction component forming step (S506), both the x-direction and the y-direction have been described above even when drawing is performed while the step-and-repeat operation is stopped. The same effect as that in the position measurement in the y direction can be obtained.

以上の説明において、各実施の形態では、電子ビームを用いているが、これに限るものではなく、イオン等を含めた荷電粒子線でも構わない。また、描画装置として、可変成形型電子線描画装置を一例として記載したが、これに限るものではなく、可変させない電子線を用いる描画装置であっても構わない。   In the above description, an electron beam is used in each embodiment. However, the present invention is not limited to this, and a charged particle beam including ions or the like may be used. Further, the variable shaping type electron beam drawing apparatus is described as an example of the drawing apparatus. However, the drawing apparatus is not limited to this, and may be a drawing apparatus using an electron beam that is not changed.

以上、具体例を参照しつつ実施の形態について説明した。しかし、本発明は、これらの具体例に限定されるものではない。   The embodiments have been described above with reference to specific examples. However, the present invention is not limited to these specific examples.

また、装置構成や制御手法等、本発明の説明に直接必要しない部分等については記載を省略したが、必要とされる装置構成や制御手法を適宜選択して用いることができる。例えば、描画装置100を制御する制御部構成については、記載を省略したが、必要とされる制御部構成を適宜選択して用いることは言うまでもない。   In addition, although descriptions are omitted for parts and the like that are not directly required for the description of the present invention, such as a device configuration and a control method, a required device configuration and a control method can be appropriately selected and used. For example, although the description of the control unit configuration for controlling the drawing apparatus 100 is omitted, it goes without saying that the required control unit configuration is appropriately selected and used.

その他、本発明の要素を具備し、当業者が適宜設計変更しうる全ての位置測定装置、描画装置及び位置測定方法は、本発明の範囲に包含される。   In addition, all position measurement apparatuses, drawing apparatuses, and position measurement methods that include elements of the present invention and that can be appropriately modified by those skilled in the art are included in the scope of the present invention.

100 描画装置
101,340 試料
102 電子鏡筒
103 描画室
104 ミラー
105 XYステージ
106 駆動部
107 レーザヘッド
108 受光部
109 位置演算部
110 フィルタ回路
111 描画演算回路
112 駆動制御部
113 光学系
122,126 LPF
124 HPF
128 加算器
200 電子ビーム
201 電子銃
202 照明レンズ
203,206,410,420 アパーチャ
204 投影レンズ
205 偏向器
207 対物レンズ
208 主偏向器
209 副偏向器
300 レーザ干渉計
330 電子線
411 開口
421 可変成形開口
430 荷電粒子ソース
DESCRIPTION OF SYMBOLS 100 Drawing apparatus 101,340 Sample 102 Electron barrel 103 Drawing chamber 104 Mirror 105 XY stage 106 Drive part 107 Laser head 108 Light receiving part 109 Position calculation part 110 Filter circuit 111 Drawing calculation circuit 112 Drive control part 113 Optical system 122,126 LPF
124 HPF
128 Adder 200 Electron Beam 201 Electron Gun 202 Illumination Lens 203, 206, 410, 420 Aperture 204 Projection Lens 205 Deflector 207 Objective Lens 208 Main Deflector 209 Sub Deflector 300 Laser Interferometer 330 Electron Beam 411 Opening 421 Variable Shaped Opening 430 Charged particle source

Claims (7)

移動可能なステージと、
描画方向に向かって描画されるように前記ステージを第1の方向に移動させると共に、前記第1の方向に前記ステージを移動させながら前記第1の方向と直交する第2の方向に所定の速度と所定の振幅で前記ステージが振動するように駆動制御する駆動部と、
レーザを用いて、前記第2の方向について前記ステージの移動位置を測定する測定部と、
前記第2の方向について前記測定部の測定値から所定の周波数領域の成分を減衰させるフィルタ回路と、
前記フィルタ回路を通過した前記ステージの移動位置データを用いて、試料に荷電粒子ビームを照射することによってパターンを描画する描画部と、
を備えたことを特徴とする荷電粒子ビーム描画装置。
A movable stage,
The stage is moved in the first direction so as to be drawn toward the drawing direction, and the predetermined speed is set in the second direction orthogonal to the first direction while moving the stage in the first direction. And a drive unit that drives and controls the stage to vibrate with a predetermined amplitude,
A measurement unit that measures a moving position of the stage in the second direction using a laser;
A filter circuit for attenuating a component in a predetermined frequency region from the measurement value of the measurement unit in the second direction;
A drawing unit that draws a pattern by irradiating the sample with a charged particle beam using the movement position data of the stage that has passed through the filter circuit;
A charged particle beam drawing apparatus comprising:
前記描画部は、荷電粒子ビームを偏向する偏向器を有し、
前記所定の振幅は、前記偏向器で偏向可能な幅であることを特徴とする請求項1記載の荷電粒子ビーム描画装置。
The drawing unit has a deflector for deflecting a charged particle beam,
The charged particle beam drawing apparatus according to claim 1, wherein the predetermined amplitude is a width that can be deflected by the deflector.
前記フィルタ回路は、
ローパスフィルタと、
前記ローパスフィルタに並列して配置され、直列に接続された1組のハイパスフィルタとローパスフィルタと、
前記ローパスフィルタを通過した第1の測定値と、前記1組のハイパスフィルタとローパスフィルタを通過した第2の測定値とを合成する合成部と、
を有することを特徴とする請求項1又は2記載の荷電粒子ビーム描画装置。
The filter circuit is
A low-pass filter,
A set of a high pass filter and a low pass filter arranged in parallel with the low pass filter and connected in series;
A combining unit that combines the first measurement value that has passed through the low-pass filter and the second measurement value that has passed through the set of high-pass filter and low-pass filter;
The charged particle beam drawing apparatus according to claim 1, wherein:
試料が描画方向に向かって描画されるように前記試料が載置されたステージを第1の方向に移動させると共に、前記第1の方向に前記ステージを移動させながら前記第1の方向と直交する第2の方向に所定の速度と所定の振幅で前記ステージが振動するように駆動制御する工程と、
レーザを用いて、前記第2の方向について、前記第2の方向に振動しながら前記第1の方向に移動している前記ステージの移動位置を測定する工程と、
所定のカットオフ周波数に設定されたローパスフィルタを用いて、前記第2の方向について測定された測定値から非線形誤差成分を除去し、結果を出力する工程と、
を備えたことを特徴とする位置測定方法。
The stage on which the sample is placed is moved in the first direction so that the sample is drawn in the drawing direction, and the stage is orthogonal to the first direction while moving the stage in the first direction. Driving and controlling the stage to vibrate at a predetermined speed and a predetermined amplitude in a second direction;
Measuring a moving position of the stage moving in the first direction while oscillating in the second direction with respect to the second direction using a laser;
Using a low-pass filter set to a predetermined cut-off frequency, removing a non-linear error component from the measured value measured in the second direction, and outputting the result;
A position measuring method comprising:
前記所定のカットオフ周波数と同一なカットオフ周波数に設定された1組のハイパスフィルタとローパスフィルタとを用いて、前記非線形誤差成分を除去する際に前記ローパスフィルタを用いた結果、測定値に生じた位相遅れを修正する工程をさらに備えたことを特徴とする請求項4記載の位置測定方法。   As a result of using the low-pass filter when removing the nonlinear error component by using a set of high-pass filter and low-pass filter set to the same cutoff frequency as the predetermined cutoff frequency, it occurs in the measured value. 5. The position measuring method according to claim 4, further comprising a step of correcting the phase delay. 移動可能なステージと、
描画する際に、第1の方向と、前記第1の方向と直交する第2の方向とにそれぞれ所定の速度と所定の振幅で前記ステージが振動するように駆動制御する駆動部と、
レーザを用いて、前記第1と第2の方向について前記ステージの移動位置を測定する測定部と、
前記第1と第2の方向について前記測定部の測定値から所定の周波数領域の成分を減衰させるフィルタ回路と、
前記フィルタ回路を通過した前記ステージの移動位置データを用いて、試料に荷電粒子ビームを照射することによってパターンを描画する描画部と、
を備えたことを特徴とする荷電粒子ビーム描画装置。
A movable stage,
A drive unit that drives and controls the stage to vibrate at a predetermined speed and a predetermined amplitude in a first direction and a second direction orthogonal to the first direction when drawing,
A measurement unit that measures the moving position of the stage in the first and second directions using a laser;
A filter circuit for attenuating a component in a predetermined frequency region from the measurement value of the measurement unit in the first and second directions;
A drawing unit that draws a pattern by irradiating the sample with a charged particle beam using the movement position data of the stage that has passed through the filter circuit;
A charged particle beam drawing apparatus comprising:
描画する際に、第1の方向と、前記第1の方向と直交する第2の方向とにそれぞれ所定の速度と所定の振幅で前記ステージが振動するように駆動制御する工程と、
レーザを用いて、前記第1と第2の方向について、振動している前記ステージの移動位置を測定する工程と、
所定のカットオフ周波数に設定されたローパスフィルタを用いて、前記第1と第2の方向について測定されたそれぞれの測定値から非線形誤差成分を除去し、結果を出力する工程と、
を備えたことを特徴とする位置測定方法。
A step of driving and controlling the stage to vibrate at a predetermined speed and a predetermined amplitude in a first direction and a second direction orthogonal to the first direction when drawing,
Measuring a moving position of the vibrating stage in the first and second directions using a laser;
Using a low-pass filter set to a predetermined cut-off frequency, removing a nonlinear error component from each measurement value measured in the first and second directions, and outputting the result;
A position measuring method comprising:
JP2009067663A 2009-03-19 2009-03-19 Charged particle beam drawing apparatus and position measuring method Active JP5204697B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2009067663A JP5204697B2 (en) 2009-03-19 2009-03-19 Charged particle beam drawing apparatus and position measuring method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2009067663A JP5204697B2 (en) 2009-03-19 2009-03-19 Charged particle beam drawing apparatus and position measuring method

Publications (3)

Publication Number Publication Date
JP2010219481A true JP2010219481A (en) 2010-09-30
JP2010219481A5 JP2010219481A5 (en) 2011-12-22
JP5204697B2 JP5204697B2 (en) 2013-06-05

Family

ID=42977965

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009067663A Active JP5204697B2 (en) 2009-03-19 2009-03-19 Charged particle beam drawing apparatus and position measuring method

Country Status (1)

Country Link
JP (1) JP5204697B2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010074107A (en) * 2008-09-22 2010-04-02 Nuflare Technology Inc Charged particle beam lithography device and charged particle beam lithography method
JP2013064632A (en) * 2011-09-16 2013-04-11 Nuflare Technology Inc Apparatus for creating positional deviation map, pattern inspection system and method for creating positional deviation map
JP2019518339A (en) * 2016-06-20 2019-06-27 ネクスペリア ベー.フェー. Semiconductor device positioning system and semiconductor device positioning method

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1144506A (en) * 1997-07-29 1999-02-16 Nikon Corp Measuring apparatus for interferometer
JPH11288866A (en) * 1998-04-02 1999-10-19 Nec Corp Scanning-aligner and exposure method therefor
JP2003324051A (en) * 2002-04-30 2003-11-14 Toshiba Corp Electron beam drawing device
JP2007033281A (en) * 2005-07-28 2007-02-08 Nuflare Technology Inc Position measuring device, drawing device, and position measuring method

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH1144506A (en) * 1997-07-29 1999-02-16 Nikon Corp Measuring apparatus for interferometer
JPH11288866A (en) * 1998-04-02 1999-10-19 Nec Corp Scanning-aligner and exposure method therefor
JP2003324051A (en) * 2002-04-30 2003-11-14 Toshiba Corp Electron beam drawing device
JP2007033281A (en) * 2005-07-28 2007-02-08 Nuflare Technology Inc Position measuring device, drawing device, and position measuring method

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010074107A (en) * 2008-09-22 2010-04-02 Nuflare Technology Inc Charged particle beam lithography device and charged particle beam lithography method
JP2013064632A (en) * 2011-09-16 2013-04-11 Nuflare Technology Inc Apparatus for creating positional deviation map, pattern inspection system and method for creating positional deviation map
JP2019518339A (en) * 2016-06-20 2019-06-27 ネクスペリア ベー.フェー. Semiconductor device positioning system and semiconductor device positioning method

Also Published As

Publication number Publication date
JP5204697B2 (en) 2013-06-05

Similar Documents

Publication Publication Date Title
KR100755169B1 (en) Position measurement apparatus and method and writing apparatus and method
JP4870437B2 (en) Method for calculating deflection aberration correction voltage and charged particle beam writing method
TW200830058A (en) Charged particle beam lithography system and charged particle beam drawing method
JP2009088202A (en) Charged-particle beam writing apparatus and charged-particle beam writing method
JP2009016647A (en) Charged particle beam drawing apparatus, and charged particle beam drawing method
JP2014041952A (en) Method of acquiring settling time
JP2016082131A (en) Charged particle beam lithography device, lithography method using charged particle beam, and shot correction method in charged particle beam lithography
JP5204697B2 (en) Charged particle beam drawing apparatus and position measuring method
JP2009038055A (en) Charged particle beam drawing device, and charged particle beam drawing method
US8133402B2 (en) Pattern forming method, charged particle beam writing apparatus, and recording medium on which program is recorded
JP4272189B2 (en) Position measuring apparatus, drawing apparatus, and position measuring method
JP4272188B2 (en) Position measuring apparatus, drawing apparatus, and position measuring method
JP2013077847A (en) Charged particle beam lithography method and charged particle beam lithography device
JP2018120996A (en) Position correction method of stage mechanism and charged particle beam drawing device
JP5344947B2 (en) Charged particle beam drawing apparatus and charged particle beam drawing method
JP4939076B2 (en) Charged particle beam writing method
JP2010192508A (en) Charged particle beam-drawing device and charged particle beam-drawing method
JP5469531B2 (en) Drawing data creation method, charged particle beam drawing method, and charged particle beam drawing apparatus
JP2010267725A (en) Charged particle beam drawing method
JP2010225729A (en) Apparatus and method of analyzing factors of drawing position error
JP2020027866A (en) Charged particle beam lithography apparatus and charged particle beam drawing method
JP2012109483A (en) Charged particle beam drawing apparatus and charged particle beam drawing method
JP5254099B2 (en) Pattern dimension measuring method and charged particle beam writing method
JP2008218676A (en) Electron beam exposure device and electronic beam exposure method
JP2008042173A (en) Charged-particle beam drawing method, charged-particle beam drawing device, and program

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111108

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20111108

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130124

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130205

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130215

R150 Certificate of patent or registration of utility model

Ref document number: 5204697

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20160222

Year of fee payment: 3

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250