JP2010135846A - Method of manufacturing semiconductor device and substrate processing apparatus - Google Patents

Method of manufacturing semiconductor device and substrate processing apparatus Download PDF

Info

Publication number
JP2010135846A
JP2010135846A JP2010044940A JP2010044940A JP2010135846A JP 2010135846 A JP2010135846 A JP 2010135846A JP 2010044940 A JP2010044940 A JP 2010044940A JP 2010044940 A JP2010044940 A JP 2010044940A JP 2010135846 A JP2010135846 A JP 2010135846A
Authority
JP
Japan
Prior art keywords
raw material
liquid
solvent
gas
vaporization
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2010044940A
Other languages
Japanese (ja)
Other versions
JP5154591B2 (en
Inventor
Sadayoshi Horii
貞義 堀井
Yoshinori Imai
義則 今井
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Kokusai Electric Inc
Original Assignee
Hitachi Kokusai Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Kokusai Electric Inc filed Critical Hitachi Kokusai Electric Inc
Priority to JP2010044940A priority Critical patent/JP5154591B2/en
Publication of JP2010135846A publication Critical patent/JP2010135846A/en
Application granted granted Critical
Publication of JP5154591B2 publication Critical patent/JP5154591B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Abstract

<P>PROBLEM TO BE SOLVED: To promote the removal of an organic metal liquid raw material from a liquid raw material flow channel of a vaporizer and suppresses the liquid raw material flow channel from being blocked. <P>SOLUTION: A method of manufacturing semiconductor devices, includes a step of processing a substrate, by supplying multiple reactants several times into a processing chamber in which the substrate is placed, wherein at least one of the multiple reactants includes a source gas formed by vaporizing a liquid raw material in a vaporizer. In the step of processing the substrate, a vaporization operation is performed intermittently, in which a solvent capable of dissolving the liquid raw material is fed continuously to the vaporizer, and the liquid raw material is supplied to the vaporizer so that the liquid raw material is vaporized, and a flash operation is performed, when the liquid raw material vaporization operation is not performed, in which the solvent is flowed to the vaporizer, at a flow rate which is higher than the flow rate of the solvent supplied during the liquid raw material vaporizing operation, each time the liquid material vaporizing operation is performed by a predetermined number of times. <P>COPYRIGHT: (C)2010,JPO&INPIT

Description

本発明は、液体原料を気化させた原料ガスにより基板を処理する半導体装置の製造方法、及び基板処理装置に関する。   The present invention relates to a method for manufacturing a semiconductor device for processing a substrate with a source gas obtained by vaporizing a liquid source, and a substrate processing apparatus.

ウェハ等の基板上に原子層単位で薄膜を形成する方法として、いわゆるALD(Atomic Layer Deposition)法が使用されている。ALD法では、例えば、(1)基板を収容した処理室内に第1の処理ガスを供給して基板表面に吸着させる工程と、(2)処理室内に残留している第1の処理ガスを除去するために処理室内に不活性ガスを導入してパージする工程と、(3)第2の処理ガスを処理室内に供給して基板表面に吸着している第1の処理ガスと反応させて薄膜を形成する工程と、(4)処理室内に残留している第2の処理ガスや反応副生成物を除去するために処理室内に不活性ガスを導入してパージする工程と、を1サイクルとしてこのサイクルを繰り返す工程を有している。   As a method for forming a thin film in units of atomic layers on a substrate such as a wafer, a so-called ALD (Atomic Layer Deposition) method is used. In the ALD method, for example, (1) a process of supplying a first processing gas into a processing chamber containing a substrate and adsorbing the substrate on the surface, and (2) removing the first processing gas remaining in the processing chamber. A process of introducing and purging an inert gas into the processing chamber, and (3) supplying a second processing gas into the processing chamber and reacting with the first processing gas adsorbed on the substrate surface to form a thin film And (4) a process of introducing and purging an inert gas into the processing chamber to remove the second processing gas and reaction byproducts remaining in the processing chamber as one cycle. It has the process of repeating this cycle.

ここで、上述した第1の処理ガスとしては、例えば、常温で液体である液体原料を気化させて得られるガスが用いられる場合がある。そして、液体原料を気化させる装置として、気化器が用いられる場合がある。気化器は、例えば、液体原料を気化させてガスを発生させる気化室と、この気化室へ液体原料を吐出するまでの流路である液体原料流路と、気化室にて発生させた原料ガスを外部に対して供給する供給口と、を有している。   Here, as the first processing gas described above, for example, a gas obtained by vaporizing a liquid raw material that is liquid at room temperature may be used. And a vaporizer may be used as an apparatus which vaporizes a liquid raw material. The vaporizer includes, for example, a vaporization chamber that vaporizes a liquid raw material to generate a gas, a liquid raw material flow channel that is a flow path until the liquid raw material is discharged into the vaporization chamber, and a raw material gas generated in the vaporization chamber And a supply port for supplying to the outside.

なお、液体原料流路には、液体原料の供給を制御する開閉バルブが設けられる。そして、上述の(1)の工程では、液体原料流路に設けられている開閉バルブを開けることにより、気化室への液体原料の供給を開始する。また、(1)以外の工程では、該開閉バルブを閉じることにより気化室への液体原料の供給を停止すると共に、液体原料流路内に残留した液体原料が変質して液体原料流路が閉塞されてしまうことを防ぐために、液体原料流路内に不活性ガスを供給してパージする。   The liquid material flow path is provided with an open / close valve that controls the supply of the liquid material. In the above-described step (1), the supply of the liquid material to the vaporizing chamber is started by opening the opening / closing valve provided in the liquid material channel. In steps other than (1), the supply of the liquid raw material to the vaporizing chamber is stopped by closing the open / close valve, and the liquid raw material remaining in the liquid raw material flow path is altered to block the liquid raw material flow path. In order to prevent this, an inert gas is supplied into the liquid source flow path and purged.

ここで、上述の液体原料としては、例えば、Sr(ストロンチウム)、Ba(バリウム)、La(ランタン)などの元素を含む有機金属液体原料が用いられる場合がある。これらの有機金属液体原料は蒸気圧が低く粘性が高いため、上述の有機金属液体原料を、例えばECH(エチルシクロヘキサン)やTHF(テトラヒドロフラン)などの溶媒(ソルベント)により希釈してから用いる場合が多い。   Here, as the above-described liquid raw material, for example, an organometallic liquid raw material containing an element such as Sr (strontium), Ba (barium), La (lanthanum) may be used. Since these organometallic liquid raw materials have low vapor pressure and high viscosity, they are often used after being diluted with a solvent (solvent) such as ECH (ethylcyclohexane) or THF (tetrahydrofuran). .

しかしながら、上述した溶媒は、溶質である有機金属液体原料よりも蒸気圧が高い。そのため、上述の(1)以外の工程において、液体原料流路内に不活性ガスを供給してパージすると、溶媒だけが先に蒸発してしまい、有機金属液体原料だけが液体原料流路内に残留してしまう場合がある。そして、有機金属液体原料は高粘性であるため、液体原料流路内に不活性ガスを供給してパージしても除去することは困難であり、液体原料流路内が液体原料により閉塞されてしまう場合がある。   However, the above-mentioned solvent has a higher vapor pressure than the organic metal liquid raw material that is a solute. Therefore, in steps other than the above (1), if an inert gas is supplied into the liquid source channel and purged, only the solvent evaporates first, and only the organometallic liquid source is in the liquid source channel. It may remain. And since the organometallic liquid raw material is highly viscous, it is difficult to remove it by supplying an inert gas into the liquid raw material channel and purging it, and the liquid raw material channel is blocked by the liquid raw material. May end up.

本発明にかかる半導体装置の製造方法及び基板処理装置は、気化器の液体原料流路内からの有機金属液体原料の除去を促進させ、液体原料流路内の閉塞を抑制することを目的とする。   A method of manufacturing a semiconductor device and a substrate processing apparatus according to the present invention are intended to promote removal of an organometallic liquid source from the liquid source channel of a vaporizer and suppress blockage in the liquid source channel. .

本発明の一態様によれば、処理室内に基板を搬入する工程と、前記処理室内に複数種類の反応物質を複数回供給することにより前記基板を処理する工程と、処理後の前記基板を前記処理室内から搬出する工程と、を有し、前記複数種類の反応物質のうち少なくともいずれか一つは、液体原料を気化部で気化させた原料ガスを含み、前記基板を処理する工程では、前記気化部に前記液体原料を供給して気化させる気化動作を間欠的に行うと共に、少なくとも前記液体原料の前記気化動作時以外の時に、前記気化部に前記液体原料を溶解することのできる溶媒を第1の流量で流し、前記液体原料の前記気化動作時以外の時であって、前記液体原料の前記気化動作を所定回数行う毎に、前記気化部に前記溶媒を前記第1の流量よりも大きな第2の流量で流す半導体装置の製造方法が提供される。   According to one aspect of the present invention, a step of loading a substrate into a processing chamber, a step of processing the substrate by supplying a plurality of types of reactants into the processing chamber a plurality of times, and the processing of the substrate A step of unloading from a processing chamber, and at least one of the plurality of types of reactants includes a source gas obtained by vaporizing a liquid source in a vaporization unit, and in the step of processing the substrate, A vaporizing operation for supplying and vaporizing the liquid raw material to the vaporizing unit is intermittently performed, and a solvent capable of dissolving the liquid raw material in the vaporizing unit is at least at a time other than during the vaporizing operation of the liquid raw material. The liquid is flowed at a flow rate of 1 and the solvent is larger than the first flow rate in the vaporization unit every time the liquid raw material is vaporized for a predetermined number of times except during the vaporization operation. Second flow The method of manufacturing a semiconductor device to flow in is provided.

本発明の他の態様によれば、基板を処理する処理室と、液体原料を気化する気化部と、前記気化部に液体原料を供給する液体原料供給系と、前記気化部で前記液体原料を気化させた原料ガスを前記処理室内に供給する原料ガス供給系と、前記原料ガスとは異なる反応ガスを前記処理室内に供給する反応ガス供給系と、前記液体原料を溶解することのできる溶媒を前記気化部に供給する溶媒供給系と、前記処理室内への前記原料ガスの供給及び前記反応ガスの供給を複数回行い、その際、前記気化部に前記液体原料を供給して気化させる気化動作を間欠的に行うと共に、少なくとも前記液体原料の前記気化動作時以外の時に、前記気化部に前記溶媒を第1の流量で流し、前記液体原料の前記気化動作時以外の時であって、前記液体原料の前記気化動作を所定回数行う毎に、前記気化部に前記溶媒を前記第1の流量よりも大きな第2の流量で流すように、前記液体原料供給系、前記気化部、前記原料ガス供給系、前記溶媒供給系、および、前記反応ガス供給系を制御するコントローラと、を有する基板処理装置が提供される。   According to another aspect of the present invention, a processing chamber for processing a substrate, a vaporization unit for vaporizing a liquid raw material, a liquid raw material supply system for supplying a liquid raw material to the vaporization unit, and the liquid raw material in the vaporization unit A source gas supply system for supplying the vaporized source gas into the processing chamber, a reaction gas supply system for supplying a reaction gas different from the source gas into the processing chamber, and a solvent capable of dissolving the liquid source A solvent supply system for supplying to the vaporization section, and a vaporization operation for performing the supply of the raw material gas and the reaction gas into the processing chamber a plurality of times and supplying the liquid raw material to the vaporization section for vaporization. At a time other than at the time of the vaporization operation of the liquid raw material, and at a time other than at the time of the vaporization operation of the liquid raw material, at a time other than at the time of the vaporization operation of the liquid raw material, The liquid material Each time the operation is performed a predetermined number of times, the liquid source supply system, the vaporization unit, the source gas supply system, and the solvent are supplied to the vaporization unit at a second flow rate that is larger than the first flow rate. There is provided a substrate processing apparatus having a supply system and a controller that controls the reaction gas supply system.

本発明にかかる半導体装置の製造方法及び基板処理装置によれば、気化器の液体原料流路内からの有機金属液体原料の除去を促進させ、液体原料流路内の閉塞を抑制させることが出来る。   According to the semiconductor device manufacturing method and the substrate processing apparatus according to the present invention, it is possible to promote the removal of the organometallic liquid source from the liquid source channel of the vaporizer and to suppress the blockage in the liquid source channel. .

本発明の第1実施形態にかかる基板処理装置におけるガス供給系の構成図である。It is a block diagram of the gas supply system in the substrate processing apparatus concerning 1st Embodiment of this invention. 本発明の第1実施形態にかかる基板処理装置における各バルブの開閉タイミングを示すシーケンス図である。It is a sequence diagram which shows the opening / closing timing of each valve | bulb in the substrate processing apparatus concerning 1st Embodiment of this invention. 本発明の第1実施形態にかかる基板処理装置のウェハ処理時における断面構成図である。It is a section lineblock diagram at the time of wafer processing of a substrate processing device concerning a 1st embodiment of the present invention. 本発明の第1実施形態にかかる基板処理装置のウェハ搬送時における断面構成図である。It is a section lineblock diagram at the time of wafer conveyance of a substrate processing apparatus concerning a 1st embodiment of the present invention. 本発明の第1実施形態にかかる基板処理工程のフロー図である。It is a flowchart of the substrate processing process concerning 1st Embodiment of this invention. 本発明の第1実施形態にかかる気化器の概略構成図である。It is a schematic block diagram of the vaporizer | carburetor concerning 1st Embodiment of this invention. 本発明の第3実施形態にかかる縦型ALD装置の縦型処理炉の概略構成図であり、(a)は、処理炉部分を縦断面で示し、(b)は、処理炉部分を(a)のA−A線断面図で示す。It is a schematic block diagram of the vertical processing furnace of the vertical ALD apparatus concerning 3rd Embodiment of this invention, (a) shows a processing furnace part with a longitudinal cross-section, (b) shows a processing furnace part (a ) Is a cross-sectional view taken along line AA of FIG. 本発明の第2実施形態にかかる基板処理装置におけるガス供給系の構成図である。It is a block diagram of the gas supply system in the substrate processing apparatus concerning 2nd Embodiment of this invention. 本発明の第2実施形態にかかる基板処理工程における気化器への原料供給、溶媒供給、フラッシング動作のタイミングを示すシーケンス図である。It is a sequence diagram which shows the timing of the raw material supply to a vaporizer, solvent supply, and flushing operation | movement in the substrate processing process concerning 2nd Embodiment of this invention. 図9に示すシーケンス図の変形例であり、フラッシング動作の開始タイミングを遅延させる場合の溶媒供給のタイミングを示している。FIG. 9 is a modification of the sequence diagram shown in FIG. 9 and shows the solvent supply timing when the start timing of the flushing operation is delayed. 図10に示すシーケンス図の変形例であり、(a)は各気化サイクルにおいて溶媒を流さない場合の溶媒供給のタイミングを示し、(b)は気化サイクルでの溶媒の流量を洗浄サイクルでの溶媒の流量よりも少なくした場合の溶媒供給のタイミングを示している。FIG. 10 is a modification of the sequence diagram shown in FIG. 10, (a) shows the timing of solvent supply when no solvent is flown in each vaporization cycle, and (b) shows the solvent flow rate in the vaporization cycle and the solvent in the washing cycle. The timing of solvent supply in the case where the flow rate is lower than the flow rate is shown. 図10に示すシーケンス図の変形例であり、(a)は気化サイクルを行う毎に大流量のフラッシング動作を実施する場合の溶媒供給のタイミングを示し、(b)は気化サイクルを行う毎に小流量のフラッシング動作を実施しつつ、気化サイクルを所定回数行う毎にさらに大流量のフラッシング動作を実施する場合の溶媒供給のタイミングを示している。FIG. 10 is a modified example of the sequence diagram shown in FIG. 10, (a) shows the timing of solvent supply when a large flow rate flushing operation is performed every time a vaporization cycle is performed, and (b) shows a small value every time a vaporization cycle is performed. The timing of solvent supply in the case where the flushing operation at a larger flow rate is performed every time the vaporization cycle is performed a predetermined number of times while performing the flushing operation at the flow rate is shown.

(第1実施形態)
(1)基板処理装置の構成
まず、本実施形態にかかる基板処理装置の構成について、図3,4を参照しながら説明する。図4は、本発明の第1実施形態にかかる基板処理装置のウェハ搬送時における断面構成図であり、図3は、本発明の第1実施形態にかかる基板処理装置のウェハ処理時における断面構成図である。
(First embodiment)
(1) Configuration of Substrate Processing Apparatus First, the configuration of the substrate processing apparatus according to the present embodiment will be described with reference to FIGS. FIG. 4 is a cross-sectional configuration diagram of the substrate processing apparatus according to the first embodiment of the present invention during wafer transfer, and FIG. 3 is a cross-sectional configuration of the substrate processing apparatus according to the first embodiment of the present invention during wafer processing. FIG.

<処理室>
図3,4に示すとおり、本実施形態にかかる基板処理装置は、処理容器202を備えている。処理容器202は、例えば横断面が円形であり扁平な密閉容器として構成されている。また、処理容器202は、例えばアルミニウム(Al)やステンレス(SUS)など金属材料により構成されている。処理容器202内には、基板としてのウェハ200を処理する処理室201が構成されている。
<Processing chamber>
As shown in FIGS. 3 and 4, the substrate processing apparatus according to this embodiment includes a processing container 202. The processing container 202 is configured as a flat sealed container having a circular cross section, for example. The processing container 202 is made of a metal material such as aluminum (Al) or stainless steel (SUS). In the processing container 202, a processing chamber 201 for processing a wafer 200 as a substrate is configured.

処理室201内には、ウェハ200を支持する支持台203が設けられている。ウェハ200が直接触れる支持台203の上面には、例えば、石英(SiO)、カーボン、セラミックス、炭化ケイ素(SiC)、酸化アルミニウム(Al)、又は窒化アルミニウム(AlN)などから構成された支持板としてのサセプタ217が設けられている。また、支持台203には、ウェハ200を加熱する加熱手段としてのヒータ206が内蔵されている。なお、支持台203の下端部は、処理容器202の底部を貫通している。 A support table 203 that supports the wafer 200 is provided in the processing chamber 201. For example, quartz (SiO 2 ), carbon, ceramics, silicon carbide (SiC), aluminum oxide (Al 2 O 3 ), or aluminum nitride (AlN) is formed on the upper surface of the support base 203 that the wafer 200 directly touches. A susceptor 217 is provided as a support plate. In addition, the support base 203 incorporates a heater 206 as a heating means for heating the wafer 200. Note that the lower end portion of the support base 203 passes through the bottom portion of the processing container 202.

処理室201の外部には、昇降機構207bが設けられている。この昇降機構207bを作動させることにより、サセプタ217上に支持されるウェハ200を昇降させることが可能となっている。支持台203は、ウェハ200の搬送時には図4で示される位置(ウェハ搬送位置)まで下降し、ウェハ200の処理時には図3で示される位置(ウェハ処理位置)まで上昇する。なお、支持台203の下端部、及び昇降機構207bの周囲は、ベローズ203aにより覆われており、処理室201内は気密に保持されている。   An elevating mechanism 207 b is provided outside the processing chamber 201. By operating the lifting mechanism 207b, the wafer 200 supported on the susceptor 217 can be lifted and lowered. The support table 203 is lowered to the position shown in FIG. 4 (wafer transfer position) when the wafer 200 is transferred, and is raised to the position shown in FIG. 3 (wafer processing position) when the wafer 200 is processed. Note that the lower end of the support base 203 and the periphery of the elevating mechanism 207b are covered with a bellows 203a, and the inside of the processing chamber 201 is kept airtight.

また、処理室201の底面(床面)には、例えば3本のリフトピン208bが鉛直方向に設けられている。また、支持台203には、かかるリフトピン208bを貫通させるための貫通孔208aが、リフトピン208bに対応する位置にそれぞれ設けられている。そして、支持台203をウェハ搬送位置まで下降させた時には、リフトピン208bの上端部が支持台203の上面から突出して、リフトピン208bがウェハ200を下方から支持するように構成されている。また、支持台203をウェハ処理位置まで上昇させたときには、リフトピン208bは支持台203の上面から埋没して、支持台203上面に設けられたサセプタ217がウェハ200を下方から支持するように構成される。なお、リフトピン208bは、ウェハ200と直接触れるため、例えば、石英やアルミナなどの材質で形成することが望ましい。   Further, for example, three lift pins 208b are provided in the vertical direction on the bottom surface (floor surface) of the processing chamber 201. In addition, the support base 203 is provided with through holes 208a through which the lift pins 208b pass, at positions corresponding to the lift pins 208b. When the support table 203 is lowered to the wafer transfer position, the upper ends of the lift pins 208b protrude from the upper surface of the support table 203, and the lift pins 208b support the wafer 200 from below. Further, when the support table 203 is raised to the wafer processing position, the lift pins 208b are buried from the upper surface of the support table 203, and the susceptor 217 provided on the upper surface of the support table 203 supports the wafer 200 from below. The In addition, since the lift pins 208b are in direct contact with the wafer 200, it is desirable to form the lift pins 208b with a material such as quartz or alumina.

<ウェハ搬送口>
処理室201の内壁側面には、処理室201の内外にウェハ200を搬送するためのウ
ェハ搬送口250が設けられている。ウェハ搬送口250にはゲートバルブ251が設けられており、ゲートバルブ251を開けることにより、処理室201内と搬送室(予備室)271内とが連通するように構成されている。搬送室271は密閉容器272内に形成されており、搬送室271内にはウェハ200を搬送する搬送ロボット273が設けられている。搬送ロボット273には、ウェハ200を搬送する際にウェハ200を支持する搬送アーム273aが備えられている。支持台203をウェハ搬送位置まで下降させた状態で、ゲートバルブ251を開くことにより、搬送ロボット273により処理室201内と搬送室271内との間でウェハ200を搬送することが可能なように構成されている。処理室201内に搬送されたウェハ200は、上述したようにリフトピン208b上に一時的に載置される。
<Wafer transfer port>
A wafer transfer port 250 for transferring the wafer 200 into and out of the process chamber 201 is provided on the inner wall side surface of the process chamber 201. The wafer transfer port 250 is provided with a gate valve 251. By opening the gate valve 251, the processing chamber 201 and the transfer chamber (preliminary chamber) 271 communicate with each other. The transfer chamber 271 is formed in a sealed container 272, and a transfer robot 273 for transferring the wafer 200 is provided in the transfer chamber 271. The transfer robot 273 includes a transfer arm 273 a that supports the wafer 200 when the wafer 200 is transferred. With the support table 203 lowered to the wafer transfer position, the gate valve 251 is opened so that the wafer 200 can be transferred between the processing chamber 201 and the transfer chamber 271 by the transfer robot 273. It is configured. The wafer 200 transferred into the processing chamber 201 is temporarily placed on the lift pins 208b as described above.

<排気系>
処理室201の内壁側面であって、ウェハ搬送口250の反対側には、処理室201内の雰囲気を排気する排気口260が設けられている。排気口260には排気管261が接続されており、排気管261には、処理室201内を所定の圧力に制御するAPC(Auto Pressure Controller)等の圧力調整器262、原料回収トラップ263、及び真空ポンプ264が順に直列に接続されている。主に、排気口260、排気管261、圧力調整器262、原料回収トラップ263、真空ポンプ264により排気系(排気ライン)が構成される。
<Exhaust system>
An exhaust port 260 for exhausting the atmosphere in the processing chamber 201 is provided on the inner wall side surface of the processing chamber 201 and on the opposite side of the wafer transfer port 250. An exhaust pipe 261 is connected to the exhaust port 260, and a pressure regulator 262 such as an APC (Auto Pressure Controller) that controls the inside of the processing chamber 201 to a predetermined pressure, a raw material recovery trap 263, and The vacuum pump 264 is connected in series in order. An exhaust system (exhaust line) is mainly configured by the exhaust port 260, the exhaust pipe 261, the pressure regulator 262, the raw material recovery trap 263, and the vacuum pump 264.

<ガス導入口>
処理室201の上部に設けられる後述のシャワーヘッド240の上面(天井壁)には、処理室201内に各種ガスを供給するためのガス導入口210が設けられている。なお、ガス導入口210に接続されるガス供給系の構成については後述する。
<Gas inlet>
A gas inlet 210 for supplying various gases into the processing chamber 201 is provided on the upper surface (ceiling wall) of a shower head 240 described later provided in the upper portion of the processing chamber 201. The configuration of the gas supply system connected to the gas inlet 210 will be described later.

<シャワーヘッド>
ガス導入口210と、ウェハ処理位置におけるウェハ200との間には、ガス分散機構としてのシャワーヘッド240が設けられている。シャワーヘッド240は、ガス導入口210から導入されるガスを分散させるための分散板240aと、分散板240aを通過したガスをさらに均一に分散させて支持台203上のウェハ200の表面に供給するためのシャワー板240bと、を備えている。分散板240aおよびシャワー板240bには、複数の通気孔が設けられている。分散板240aは、シャワーヘッド240の上面及びシャワー板240bと対向するように配置されており、シャワー板240bは、支持台203上のウェハ200と対向するように配置されている。なお、シャワーヘッド240の上面と分散板240aとの間、および分散板240aとシャワー板240bとの間には、それぞれ空間が設けられており、かかる空間は、ガス導入口210から供給されるガスを分散させるための分散室(第1バッファ空間)240c、および分散板240aを通過したガスを拡散させるための第2バッファ空間240dとしてそれぞれ機能する。
<Shower head>
A shower head 240 as a gas dispersion mechanism is provided between the gas inlet 210 and the wafer 200 at the wafer processing position. The shower head 240 disperses the gas introduced from the gas introduction port 210 and the gas that has passed through the dispersion plate 240 a are more uniformly dispersed and supplied to the surface of the wafer 200 on the support table 203. A shower plate 240b. The dispersion plate 240a and the shower plate 240b are provided with a plurality of vent holes. The dispersion plate 240 a is disposed so as to face the upper surface of the shower head 240 and the shower plate 240 b, and the shower plate 240 b is disposed so as to face the wafer 200 on the support table 203. Note that spaces are provided between the upper surface of the shower head 240 and the dispersion plate 240a, and between the dispersion plate 240a and the shower plate 240b, respectively, and the spaces are supplied from the gas inlet 210. Function as a dispersion chamber (first buffer space) 240c for dispersing gas and a second buffer space 240d for diffusing the gas that has passed through the dispersion plate 240a.

<排気ダクト>
処理室201の内壁側面には、段差部201aが設けられている。そして、この段差部201aは、コンダクタンスプレート204をウェハ処理位置近傍に保持するように構成されている。コンダクタンスプレート204は、内周部にウェハ200を収容する穴が設けられた1枚のドーナツ状(リング状)をした円板として構成されている。コンダクタンスプレート204の外周部には、所定間隔を開けて周方向に配列された複数の排出口204aが設けられている。排出口204aは、コンダクタンスプレート204の外周部がコンダクタンスプレート204の内周部を支えることができるよう、不連続に形成される。
<Exhaust duct>
On the inner wall side surface of the processing chamber 201, a step portion 201a is provided. The step portion 201a is configured to hold the conductance plate 204 in the vicinity of the wafer processing position. The conductance plate 204 is configured as a single donut-shaped (ring-shaped) disk in which a hole for accommodating the wafer 200 is provided in the inner periphery. A plurality of discharge ports 204 a arranged in the circumferential direction with a predetermined interval are provided on the outer periphery of the conductance plate 204. The discharge port 204 a is formed discontinuously so that the outer periphery of the conductance plate 204 can support the inner periphery of the conductance plate 204.

一方、支持台203の外周部には、ロワープレート205が係止している。ロワープレート205は、リング状の凹部205bと、凹部205bの内側上部に一体的に設けられ
たフランジ部205aとを備えている。凹部205bは、支持台203の外周部と、処理室201の内壁側面との隙間を塞ぐように設けられる。凹部205bの底部のうち排気口260付近の一部には、凹部205b内から排気口260側へガスを排出(流通)させるためのプレート排気口205cが設けられている。フランジ部205aは、支持台203の上部外周縁上に係止する係止部として機能する。フランジ部205aが支持台203の上部外周縁上に係止することにより、ロワープレート205が、支持台203の昇降に伴い、支持台203と共に昇降されるようになっている。
On the other hand, a lower plate 205 is locked to the outer peripheral portion of the support base 203. The lower plate 205 includes a ring-shaped concave portion 205b and a flange portion 205a provided integrally on the inner upper portion of the concave portion 205b. The recess 205b is provided so as to block a gap between the outer peripheral portion of the support base 203 and the inner wall side surface of the processing chamber 201. A part of the bottom of the recess 205b near the exhaust port 260 is provided with a plate exhaust port 205c for discharging (circulating) gas from the recess 205b to the exhaust port 260 side. The flange portion 205 a functions as a locking portion that locks on the upper outer periphery of the support base 203. When the flange portion 205 a is locked on the upper outer periphery of the support base 203, the lower plate 205 is moved up and down together with the support base 203 as the support base 203 is moved up and down.

支持台203がウェハ処理位置まで上昇したとき、ロワープレート205もウェハ処理位置まで上昇する。その結果、ウェハ処理位置近傍に保持されているコンダクタンスプレート204が、ロワープレート205の凹部205bの上面部分を塞ぎ、凹部205bの内部をガス流路領域とする排気ダクト259が形成されることとなる。なお、このとき、排気ダクト259(コンダクタンスプレート204及びロワープレート205)及び支持台203によって、処理室201内が、排気ダクト259よりも上方の処理室上部と、排気ダクト259よりも下方の処理室下部と、に仕切られることとなる。なお、コンダクタンスプレート204およびロワープレート205は、排気ダクト259の内壁に堆積する反応生成物をエッチングする場合を考慮して、高温保持が可能な材料、例えば、耐高温高負荷用石英で構成することが好ましい。   When the support table 203 is raised to the wafer processing position, the lower plate 205 is also raised to the wafer processing position. As a result, the conductance plate 204 held in the vicinity of the wafer processing position closes the upper surface portion of the recess 205b of the lower plate 205, and an exhaust duct 259 is formed with the inside of the recess 205b as a gas flow path region. . At this time, due to the exhaust duct 259 (the conductance plate 204 and the lower plate 205) and the support base 203, the inside of the processing chamber 201 is above the processing chamber above the exhaust duct 259 and below the exhaust duct 259. It will be partitioned into a lower part. Note that the conductance plate 204 and the lower plate 205 are made of a material that can be maintained at a high temperature, for example, high temperature resistant high load quartz, in consideration of etching of reaction products deposited on the inner wall of the exhaust duct 259. Is preferred.

ここで、ウェハ処理時における処理室201内のガスの流れについて説明する。まず、ガス導入口210からシャワーヘッド240の上部へと供給されたガスは、分散室(第1バッファ空間)240cを経て分散板240aの多数の孔から第2バッファ空間240dへと入り、さらにシャワー板240bの多数の孔を通過して処理室201内に供給され、ウェハ200上に均一に供給される。そして、ウェハ200上に供給されたガスは、ウェハ200の径方向外側に向かって放射状に流れる。そして、ウェハ200に接触した後の余剰なガスは、支持台203の外周に設けられた排気ダクト259上(すなわちコンダクタンスプレート204上)を、ウェハ200の径方向外側に向かって放射状に流れ、排気ダクト259上に設けられた排出口204aから、排気ダクト259内のガス流路領域内(凹部205b内)へと排出される。その後、ガスは排気ダクト259内を流れ、プレート排気口205cを経由して排気口260へと排気される。以上の通り、処理室201の下部への、すなわち支持台203の裏面や処理室201の底面側へのガスの回り込みが抑制される。   Here, the flow of gas in the processing chamber 201 during wafer processing will be described. First, the gas supplied from the gas inlet 210 to the upper part of the shower head 240 enters the second buffer space 240d through a large number of holes in the dispersion plate 240a via the dispersion chamber (first buffer space) 240c, and further the shower. It passes through a large number of holes in the plate 240 b and is supplied into the processing chamber 201, and is uniformly supplied onto the wafer 200. The gas supplied onto the wafer 200 flows radially outward of the wafer 200 in the radial direction. Then, surplus gas after contacting the wafer 200 flows radially on the exhaust duct 259 (that is, on the conductance plate 204) provided on the outer periphery of the support base 203 toward the radially outer side of the wafer 200, and is exhausted. The gas is discharged from the discharge port 204a provided on the duct 259 into the gas flow path region (in the recess 205b) in the exhaust duct 259. Thereafter, the gas flows through the exhaust duct 259 and is exhausted to the exhaust port 260 via the plate exhaust port 205c. As described above, the gas is prevented from flowing into the lower portion of the processing chamber 201, that is, the back surface of the support base 203 and the bottom surface side of the processing chamber 201.

続いて、上述したガス導入口210に接続されるガス供給系の構成について、図1,6を参照しながら説明する。図1は、本発明の第1実施形態にかかる基板処理装置の有するガス供給系(ガス供給ライン)の構成図であり、図6は、本発明の第1実施形態にかかる気化器の概略構成図である。   Next, the configuration of the gas supply system connected to the gas inlet 210 described above will be described with reference to FIGS. FIG. 1 is a configuration diagram of a gas supply system (gas supply line) included in the substrate processing apparatus according to the first embodiment of the present invention, and FIG. 6 is a schematic configuration of a vaporizer according to the first embodiment of the present invention. FIG.

本発明の第1実施形態にかかる基板処理装置の有するガス供給系は、液体原料を気化する気化部と、気化部に液体原料を供給する液体原料供給系と、気化部にて液体原料を気化させた原料ガスを処理室201内に供給する原料ガス供給系と、原料ガスとは異なる反応ガスを処理室201内に供給する反応ガス供給系と、気化部に洗浄液(溶媒)を供給する洗浄液供給系(溶媒供給系)と、を有している。さらに、本発明の第1実施形態にかかる基板処理装置は、パージガス供給系と、ベント(バイパス)系とを有している。以下に、各部の構成について説明する。   The gas supply system of the substrate processing apparatus according to the first embodiment of the present invention includes a vaporization unit that vaporizes a liquid material, a liquid material supply system that supplies the liquid material to the vaporization unit, and vaporizes the liquid material in the vaporization unit. A raw material gas supply system for supplying the raw material gas into the processing chamber 201, a reactive gas supply system for supplying a reactive gas different from the raw material gas into the processing chamber 201, and a cleaning liquid for supplying a cleaning liquid (solvent) to the vaporizer A supply system (solvent supply system). Furthermore, the substrate processing apparatus according to the first embodiment of the present invention has a purge gas supply system and a vent (bypass) system. Below, the structure of each part is demonstrated.

<液体原料供給系>
処理室201の外部には、液体原料としてのSr(ストロンチウム)元素を含む有機金属液体原料(以下第1液体原料という)を供給する第1液体原料供給源220s、Ba(バリウム)元素を含む有機金属液体原料(以下第2液体原料という)を供給する第2液体
原料供給源220b、及びTi(チタニウム)元素を含む有機金属液体原料(以下第3液体原料という)を供給する第3液体原料供給源220tが設けられている。第1液体原料供給源220s、第2液体原料供給源220b、及び第3液体原料供給源220tは、内部に液体原料を収容(充填)可能なタンク(密閉容器)としてそれぞれ構成されている。なお、Sr,Ba,Ti元素を含む各有機金属液体原料は、例えば、ECH(エチルシクロヘキサン)やTHF(テトラヒドロフラン)などの溶媒(ソルベント)により0.05mol/L〜0.2mol/Lに希釈されてから、タンク内にそれぞれ収容される。
<Liquid material supply system>
Outside the processing chamber 201, a first liquid source supply source 220s that supplies an organometallic liquid source (hereinafter referred to as a first liquid source) containing an Sr (strontium) element as a liquid source, and an organic containing a Ba (barium) element. A second liquid source supply source 220b that supplies a metal liquid source (hereinafter referred to as a second liquid source) and a third liquid source supply that supplies an organometallic liquid source (hereinafter referred to as a third liquid source) containing a Ti (titanium) element. A source 220t is provided. The first liquid raw material supply source 220s, the second liquid raw material supply source 220b, and the third liquid raw material supply source 220t are each configured as a tank (sealed container) capable of containing (filling) the liquid raw material therein. Each organometallic liquid raw material containing Sr, Ba, Ti elements is diluted to 0.05 mol / L to 0.2 mol / L with a solvent (solvent) such as ECH (ethylcyclohexane) or THF (tetrahydrofuran), for example. And then stored in the tank.

ここで、第1液体原料供給源220s、第2液体原料供給源220b、及び第3液体原料供給源220tには、第1圧送ガス供給管237s、第2圧送ガス供給管237b、及び第3圧送ガス供給管237tがそれぞれ接続されている。第1圧送ガス供給管237s、第2圧送ガス供給管237b、及び第3圧送ガス供給管237tの上流側端部には、図示しない圧送ガス供給源が接続されている。また、第1圧送ガス供給管237s、第2圧送ガス供給管237b、及び第3圧送ガス供給管237tの下流側端部は、それぞれ第1液体原料供給源220s、第2液体原料供給源220b、及び第3液体原料供給源220t内の上部に存在する空間に連通しており、この空間内に圧送ガスを供給するように構成されている。なお、圧送ガスとしては、液体原料とは反応しないガスを用いることが好ましく、例えばArガス等の不活性ガスが好適に用いられる。   Here, the first liquid source supply source 220s, the second liquid source supply source 220b, and the third liquid source supply source 220t include a first pumping gas supply pipe 237s, a second pumping gas supply pipe 237b, and a third pumping, respectively. Gas supply pipes 237t are connected to each other. A pumping gas supply source (not shown) is connected to upstream ends of the first pumping gas supply pipe 237s, the second pumping gas supply pipe 237b, and the third pumping gas supply pipe 237t. The downstream ends of the first pressurized gas supply pipe 237s, the second pressurized gas supply pipe 237b, and the third pressurized gas supply pipe 237t are respectively a first liquid source supply source 220s, a second liquid source supply source 220b, The third liquid source supply source 220t communicates with a space in the upper part, and is configured to supply a pressure gas into this space. In addition, it is preferable to use gas which does not react with a liquid raw material as pressurized gas, for example, inert gas, such as Ar gas, is used suitably.

また、第1液体原料供給源220s、第2液体原料供給源220b、及び第3液体原料供給源220tには、第1液体原料供給管211s、第2液体原料供給管211b、及び第3液体原料供給管211tがそれぞれ接続されている。ここで、第1液体原料供給管211s、第2液体原料供給管211b、及び第3液体原料供給管211tの上流側端部は、それぞれ第1液体原料供給源220s、第2液体原料供給源220b、及び第3液体原料供給源220t内に収容した液体原料内に浸されている。また、第1液体原料供給管211s、第2液体原料供給管211b、及び第3液体原料供給管211tの下流側端部は、液体原料を気化させる気化部としての気化器229s,229b,229tにそれぞれ接続されている。なお、第1液体原料供給管211s、第2液体原料供給管211b、及び第3液体原料供給管211tには、液体原料の供給流量を制御する流量制御手段としての液体流量コントローラ(LMFC)221s,221b,221tと、液体原料の供給を制御する開閉バルブvs1,vb1,vt1と、がそれぞれ設けられている。なお、開閉バルブvs1,vb1,vt1は、それぞれ気化器229s,229b,229tの内部に設けられている。   In addition, the first liquid raw material supply source 220s, the second liquid raw material supply source 220b, and the third liquid raw material supply source 220t include a first liquid raw material supply pipe 211s, a second liquid raw material supply pipe 211b, and a third liquid raw material, respectively. A supply pipe 211t is connected to each other. Here, upstream end portions of the first liquid source supply pipe 211s, the second liquid source supply pipe 211b, and the third liquid source supply pipe 211t are respectively the first liquid source supply source 220s and the second liquid source supply source 220b. And the liquid raw material housed in the third liquid raw material supply source 220t. Further, the downstream end portions of the first liquid source supply pipe 211s, the second liquid source supply pipe 211b, and the third liquid source supply pipe 211t are connected to vaporizers 229s, 229b, and 229t as vaporizers that vaporize the liquid source. Each is connected. The first liquid source supply pipe 211s, the second liquid source supply pipe 211b, and the third liquid source supply pipe 211t include a liquid flow rate controller (LMFC) 221s as a flow rate control unit that controls the supply flow rate of the liquid source. 221b and 221t and open / close valves vs1, vb1, and vt1 for controlling the supply of the liquid raw material are provided, respectively. The open / close valves vs1, vb1, and vt1 are provided inside the vaporizers 229s, 229b, and 229t, respectively.

上記構成により、開閉バルブvs1,vb1,vt1を開けるとともに、第1圧送ガス供給管237s、第2圧送ガス供給管237b、及び第3圧送ガス供給管237tから圧送ガスを供給することにより、第1液体原料供給源220s、第2液体原料供給源220b、及び第3液体原料供給源220tから気化器229s,229b,229tへと液体原料を圧送(供給)することが可能となる。主に、第1液体原料供給源220s、第2液体原料供給源220b、第3液体原料供給源220t、第1圧送ガス供給管237s、第2圧送ガス供給管237b、第3圧送ガス供給管237t、第1液体原料供給管211s、第2液体原料供給管211b、第3液体原料供給管211t、液体流量コントローラ221s,221b,221t、開閉バルブvs1,vb1,vt1により液体原料供給系(液体原料供給ライン)が構成される。   With the above configuration, the first and second pressure-feeding gas supply pipes 237s, the second pressure-feeding gas supply pipe 237b, and the third pressure-feeding gas supply pipe 237t are supplied with the first and second pressure-feeding gas supply pipes 237s and 237t. The liquid material can be pumped (supplied) from the liquid material supply source 220s, the second liquid material supply source 220b, and the third liquid material supply source 220t to the vaporizers 229s, 229b, and 229t. Mainly, the first liquid raw material supply source 220s, the second liquid raw material supply source 220b, the third liquid raw material supply source 220t, the first pressurized gas supply pipe 237s, the second pressurized gas supply pipe 237b, and the third pressurized gas supply pipe 237t. , The first liquid source supply pipe 211s, the second liquid source supply pipe 211b, the third liquid source supply pipe 211t, the liquid flow rate controllers 221s, 221b, 221t, and the open / close valves vs1, vb1, vt1 (liquid source supply system). Line).

<気化部>
液体原料を気化する気化部としての気化器229s,229b,229tは、図6にその詳細構造を示すように、液体原料をヒータ23s,23b,23tで加熱して気化させて原料ガスを発生させる気化室20s,20b,20tと、この気化室20s,20b,20tへ液体原料を吐出するまでの流路である液体原料流路21s,21b,21tと、
液体原料の気化室20s,20b,20t内への供給を制御する上述の開閉バルブvs1,vb1,vt1と、気化室20s,20b,20tにて発生させた原料ガスを後述する第1原料ガス供給管213s、第2原料ガス供給管213b、第3原料ガス供給管213tへ供給するアウトレットとしての原料ガス供給口22s,22b,22tと、を有している。上述の第1液体原料供給管211s、第2液体原料供給管211b、及び第3液体原料供給管211tの下流側端部は、それぞれ開閉バルブvs1,vb1,vt1を介して液体原料流路21s,21b,21tの上流側端部に接続されている。液体原料流路21s,21b,21tには、それぞれキャリアガス供給系(キャリアガス供給ライン)としてのキャリアガス供給管24s,24b,24tが接続されており、気化室20s,20b,20t内にAr等のキャリアガスを供給するように構成されている。
<Vaporization part>
The vaporizers 229s, 229b, and 229t serving as vaporizers for vaporizing the liquid raw material generate the raw material gas by heating and vaporizing the liquid raw material with the heaters 23s, 23b, and 23t, as shown in detail in FIG. Vaporization chambers 20s, 20b, and 20t, and liquid source channels 21s, 21b, and 21t that are channels until the liquid source is discharged into the vaporization chambers 20s, 20b, and 20t,
The above-described on-off valves vs1, vb1, vt1 for controlling the supply of the liquid source into the vaporization chambers 20s, 20b, 20t, and the first source gas supply described later for the source gas generated in the vaporization chambers 20s, 20b, 20t. It has a pipe 213s, a second source gas supply pipe 213b, and source gas supply ports 22s, 22b, and 22t as outlets that supply the third source gas supply pipe 213t. The downstream end portions of the first liquid raw material supply pipe 211s, the second liquid raw material supply pipe 211b, and the third liquid raw material supply pipe 211t are respectively connected to the liquid raw material flow paths 21s, via the on-off valves vs1, vb1, and vt1, respectively. 21b, 21t is connected to the upstream end. Carrier liquid supply pipes 24s, 24b, and 24t as carrier gas supply systems (carrier gas supply lines) are connected to the liquid source flow paths 21s, 21b, and 21t, respectively. Ar in the vaporization chambers 20s, 20b, and 20t It is configured to supply a carrier gas such as.

<原料ガス供給系>
上記の気化器229s,229b,229tの原料ガス供給口22s,22b,22tには、処理室201内に原料ガスを供給する第1原料ガス供給管213s、第2原料ガス供給管213b、及び第3原料ガス供給管213tの上流側端部がそれぞれ接続されている。第1原料ガス供給管213s、第2原料ガス供給管213b、及び第3原料ガス供給管213tの下流側端部は、合流するように一本化して原料ガス供給管213となり、一本化した原料ガス供給管213は、ガス導入口210に接続されている。なお、第1原料ガス供給管213s、第2原料ガス供給管213b、及び第3原料ガス供給管213tには、処理室201内への原料ガスの供給を制御する開閉バルブvs3,vb3,vt3がそれぞれ設けられている。
<Raw gas supply system>
The source gas supply ports 22s, 22b, and 22t of the vaporizers 229s, 229b, and 229t have a first source gas supply pipe 213s, a second source gas supply pipe 213b, and a first source gas supply pipe 213b that supply source gas into the processing chamber 201, respectively. The upstream end portions of the three source gas supply pipes 213t are connected to each other. The downstream end portions of the first source gas supply pipe 213s, the second source gas supply pipe 213b, and the third source gas supply pipe 213t are unified so as to be merged into a source gas supply pipe 213, which is unified. The source gas supply pipe 213 is connected to the gas inlet 210. The first source gas supply pipe 213s, the second source gas supply pipe 213b, and the third source gas supply pipe 213t are provided with opening / closing valves vs3, vb3, and vt3 that control the supply of the source gas into the processing chamber 201. Each is provided.

上記構成により、気化器229s,229b,229tにて液体原料を気化させて原料ガスを発生させるとともに、開閉バルブvs3,vb3,vt3を開けることにより、第1原料ガス供給管213s、第2原料ガス供給管213b、及び第3原料ガス供給管213tから原料ガス供給管213を介して処理室201内へと原料ガスを供給することが可能となる。主に、第1原料ガス供給管213s、第2原料ガス供給管213b、第3原料ガス供給管213t、原料ガス供給管213、開閉バルブvs3,vb3,vt3により、原料ガス供給系(原料ガス供給ライン)が構成される。   With the above configuration, the liquid source is vaporized by the vaporizers 229s, 229b, and 229t to generate the source gas, and the first source gas supply pipe 213s and the second source gas are opened by opening the on-off valves vs3, vb3, and vt3. The source gas can be supplied from the supply pipe 213b and the third source gas supply pipe 213t into the processing chamber 201 through the source gas supply pipe 213. The source gas supply system (source gas supply) is mainly constituted by the first source gas supply pipe 213s, the second source gas supply pipe 213b, the third source gas supply pipe 213t, the source gas supply pipe 213, and the open / close valves vs3, vb3, vt3. Line).

<洗浄液供給系(溶媒供給系)>
また、処理室201の外部には、洗浄液としての溶媒(ソルベント)であるECH(エチルシクロヘキサン)を供給する洗浄液供給源(溶媒供給源)220eが設けられている。洗浄液供給源220eは、内部に洗浄液を収容(充填)可能なタンク(密閉容器)として構成されている。なお、洗浄液としては、ECHに限定されず、THF(テトラヒドロフラン)などの溶媒を用いることが出来る。
<Cleaning liquid supply system (solvent supply system)>
In addition, a cleaning liquid supply source (solvent supply source) 220 e that supplies ECH (ethylcyclohexane) that is a solvent (solvent) as a cleaning liquid is provided outside the processing chamber 201. The cleaning liquid supply source 220e is configured as a tank (sealed container) capable of containing (filling) the cleaning liquid therein. The cleaning liquid is not limited to ECH, and a solvent such as THF (tetrahydrofuran) can be used.

ここで、洗浄液供給源220eには、洗浄液圧送ガス供給管237eが接続されている。洗浄液圧送ガス供給管237eの上流側端部には、図示しない圧送ガス供給源が接続されている。また、洗浄液圧送ガス供給管237eの下流側端部は、洗浄液供給源220e内の上部に存在する空間に連通しており、この空間内に圧送ガスを供給するように構成されている。なお、圧送ガスとしては、Arガス等の不活性ガスが好適に用いられる。   Here, a cleaning liquid supply gas supply pipe 237e is connected to the cleaning liquid supply source 220e. A pressure gas supply source (not shown) is connected to the upstream end of the cleaning liquid pressure gas supply pipe 237e. The downstream end of the cleaning liquid pressure feed gas supply pipe 237e communicates with a space existing in the upper part of the cleaning liquid supply source 220e, and is configured to supply the pressure gas into this space. Note that an inert gas such as Ar gas is preferably used as the pressurized gas.

また、洗浄液供給源220eには洗浄液供給管(溶媒供給管)212が接続されている。洗浄液供給管212の上流側端部は洗浄液供給源220e内に収容した洗浄液内に浸されている。洗浄液供給管212の下流側端部は、3本のライン、すなわち、第1洗浄液供給管212s、第2洗浄液供給管212b、及び第3洗浄液供給管212tに分岐するように接続されている。第1洗浄液供給管212s、第2洗浄液供給管212b、及び第3洗浄液供給管212tの下流側端部は、気化器229s,229b,229tの液体原料流路21s,21b,21tにそれぞれ接続されている。なお、第1洗浄液供給管212
s、第2洗浄液供給管212b、及び第3洗浄液供給管212tには、洗浄液の供給流量を制御する流量制御手段としての液体流量コントローラ222s,222b,222tと、洗浄液の供給を制御する開閉バルブvs2,vb2,vt2とが、それぞれ設けられている。なお、開閉バルブvs2,vb2,vt2は、それぞれ気化器229s,229b,229tの内部に設けられている。
Further, a cleaning liquid supply pipe (solvent supply pipe) 212 is connected to the cleaning liquid supply source 220e. The upstream end of the cleaning liquid supply pipe 212 is immersed in the cleaning liquid stored in the cleaning liquid supply source 220e. The downstream end of the cleaning liquid supply pipe 212 is connected to be branched into three lines, that is, a first cleaning liquid supply pipe 212s, a second cleaning liquid supply pipe 212b, and a third cleaning liquid supply pipe 212t. The downstream end portions of the first cleaning liquid supply pipe 212s, the second cleaning liquid supply pipe 212b, and the third cleaning liquid supply pipe 212t are connected to the liquid source channels 21s, 21b, and 21t of the vaporizers 229s, 229b, and 229t, respectively. Yes. The first cleaning liquid supply pipe 212
s, the second cleaning liquid supply pipe 212b, and the third cleaning liquid supply pipe 212t include liquid flow rate controllers 222s, 222b, and 222t as flow rate control means for controlling the supply flow rate of the cleaning liquid, and an open / close valve vs2 that controls the supply of the cleaning liquid. , Vb2, and vt2 are provided. The open / close valves vs2, vb2, and vt2 are provided inside the vaporizers 229s, 229b, and 229t, respectively.

上記構成により、洗浄液圧送ガス供給管237eから圧送ガスを供給するとともに、開閉バルブvs1,vb1,vt1を閉じ、開閉バルブvs2,vb2,vt2を開けることにより、気化器229s,229b,229tの液体原料流路21s,21b,21t内に洗浄液を圧送(供給)して、液体原料流路21s,21b,21t内を洗浄することが可能となる。主に、洗浄液供給源220e、洗浄液圧送ガス供給管237e、洗浄液供給管212、第1洗浄液供給管212s、第2洗浄液供給管212b、第3洗浄液供給管212t、液体流量コントローラ222s,222b,222t、開閉バルブvs2,vb2,vt2により、洗浄液供給系(溶媒供給系)、すなわち洗浄液供給ライン(溶媒供給ライン)が構成される。   With the above configuration, the liquid raw material of the vaporizers 229s, 229b, and 229t is supplied by supplying the pressurized gas from the cleaning liquid pressurized gas supply pipe 237e, closing the open / close valves vs1, vb1, and vt1, and opening the open / close valves vs2, vb2, and vt2. The cleaning liquid can be pumped (supplied) into the channels 21s, 21b, and 21t to clean the liquid source channels 21s, 21b, and 21t. Mainly, a cleaning liquid supply source 220e, a cleaning liquid pressure feed gas supply pipe 237e, a cleaning liquid supply pipe 212, a first cleaning liquid supply pipe 212s, a second cleaning liquid supply pipe 212b, a third cleaning liquid supply pipe 212t, liquid flow rate controllers 222s, 222b, and 222t, The opening / closing valves vs2, vb2, and vt2 constitute a cleaning liquid supply system (solvent supply system), that is, a cleaning liquid supply line (solvent supply line).

<反応ガス供給系>
また、処理室201の外部には、酸素(O)ガスを供給する酸素ガス供給源230oが設けられている。酸素ガス供給源230oには、第1酸素ガス供給管211oの上流側端部が接続されている。第1酸素ガス供給管211oの下流側端部には、プラズマにより酸素ガスから反応ガス(反応物)すなわち酸化剤としてのオゾンガスを生成させるオゾナイザ229oが接続されている。なお、第1酸素ガス供給管211oには、酸素ガスの供給流量を制御する流量制御手段としての流量コントローラ221oが設けられている。
<Reactive gas supply system>
Further, an oxygen gas supply source 230o for supplying oxygen (O 2 ) gas is provided outside the processing chamber 201. The upstream end of the first oxygen gas supply pipe 211o is connected to the oxygen gas supply source 230o. The downstream end of the first oxygen gas supply pipe 211o is connected to an ozonizer 229o that generates a reaction gas (reactant), that is, ozone gas as an oxidant, from oxygen gas by plasma. The first oxygen gas supply pipe 211o is provided with a flow rate controller 221o as flow rate control means for controlling the supply flow rate of oxygen gas.

オゾナイザ229oのアウトレットとしてのオゾンガス供給口22oには、反応ガス供給管としてのオゾンガス供給管213oの上流側端部が接続されている。また、オゾンガス供給管213oの下流側端部は、原料ガス供給管213に合流するように接続されている。すなわち、オゾンガス供給管213oは、反応ガスとしてのオゾンガスを処理室201内に供給するように構成されている。なお、オゾンガス供給管213oには、処理室201内へのオゾンガスの供給を制御する開閉バルブvo3が設けられている。   An upstream end of an ozone gas supply pipe 213o as a reaction gas supply pipe is connected to an ozone gas supply port 22o as an outlet of the ozonizer 229o. The downstream end of the ozone gas supply pipe 213o is connected so as to join the source gas supply pipe 213. That is, the ozone gas supply pipe 213o is configured to supply ozone gas as a reaction gas into the processing chamber 201. The ozone gas supply pipe 213o is provided with an open / close valve vo3 that controls the supply of ozone gas into the processing chamber 201.

なお、第1酸素ガス供給管211oの流量コントローラ221oよりも上流側には、第2酸素ガス供給管212oの上流側端部が接続されている。また、第2酸素ガス供給管212oの下流側端部は、オゾンガス供給管213oの開閉バルブvo3よりも上流側に接続されている。なお、第2酸素ガス供給管212oには、酸素ガスの供給流量を制御する流量制御手段としての流量コントローラ222oが設けられている。   An upstream end of the second oxygen gas supply pipe 212o is connected to the upstream side of the flow rate controller 221o of the first oxygen gas supply pipe 211o. The downstream end of the second oxygen gas supply pipe 212o is connected to the upstream side of the open / close valve vo3 of the ozone gas supply pipe 213o. The second oxygen gas supply pipe 212o is provided with a flow rate controller 222o as flow rate control means for controlling the supply flow rate of oxygen gas.

上記構成により、オゾナイザ229oに酸素ガスを供給してオゾンガスを発生させるとともに、開閉バルブvo3を開けることにより、処理室201内へオゾンガスを供給することが可能となる。なお、処理室201内へのオゾンガスの供給中に、第2酸素ガス供給管212oから酸素ガスを供給するようにすれば、処理室201内へ供給するオゾンガスを酸素ガスにより希釈して、オゾンガス濃度を調整することが可能となる。主に、酸素ガス供給源230o、第1酸素ガス供給管211o、オゾナイザ229o、流量コントローラ221o、オゾンガス供給管213o、開閉バルブvo3、第2酸素ガス供給管212o、流量コントローラ222oにより反応ガス供給系(反応ガス供給ライン)が構成される。   With the above configuration, oxygen gas is supplied to the ozonizer 229o to generate ozone gas, and ozone gas can be supplied into the processing chamber 201 by opening the opening / closing valve vo3. Note that if the oxygen gas is supplied from the second oxygen gas supply pipe 212o during the supply of the ozone gas into the processing chamber 201, the ozone gas supplied into the processing chamber 201 is diluted with the oxygen gas to obtain an ozone gas concentration. Can be adjusted. The reaction gas supply system (mainly) is constituted by an oxygen gas supply source 230o, a first oxygen gas supply pipe 211o, an ozonizer 229o, a flow rate controller 221o, an ozone gas supply pipe 213o, an open / close valve vo3, a second oxygen gas supply pipe 212o, and a flow rate controller 222o. A reaction gas supply line).

<パージガス供給系>
また、処理室201の外部には、パージガスとしてのArガスを供給するためのArガス供給源230aが設けられている。Arガス供給源230aには、パージガス供給管2
14の上流側端部が接続されている。パージガス供給管214の下流側端部は、4本のライン、すなわち、第1パージガス供給管214s、第2パージガス供給管214b、第3パージガス供給管214t、及び第4パージガス供給管214oに分岐するように接続されている。第1パージガス供給管214s、第2パージガス供給管214b、第3パージガス供給管214t、及び第4パージガス供給管214oの下流側端部は、第1原料ガス供給管213s、第2原料ガス供給管213b、第3原料ガス供給管213t、及びオゾンガス供給管213oの開閉バルブvs3,vb3,vt3,vo3の下流側にそれぞれ接続されている。なお、第1パージガス供給管214s、第2パージガス供給管214b、第3パージガス供給管214t、及び第4パージガス供給管214oには、Arガスの供給流量を制御する流量制御手段としての流量コントローラ224s,224b,224t,224oと、Arガスの供給を制御する開閉バルブvs4,vb4,vt4,vo4とが、それぞれ設けられている。主に、Arガス供給源230a、パージガス供給管214、第1パージガス供給管214s、第2パージガス供給管214b、第3パージガス供給管214t、及び第4パージガス供給管214o、流量コントローラ224s,224b,224t,224o、開閉バルブvs4,vb4,vt4,vo4によりパージガス供給系(パージガス供給ライン)が構成される。
<Purge gas supply system>
In addition, an Ar gas supply source 230 a for supplying Ar gas as a purge gas is provided outside the processing chamber 201. The Ar gas supply source 230a includes a purge gas supply pipe 2
14 upstream ends are connected. The downstream end of the purge gas supply pipe 214 branches into four lines, that is, a first purge gas supply pipe 214s, a second purge gas supply pipe 214b, a third purge gas supply pipe 214t, and a fourth purge gas supply pipe 214o. It is connected to the. The downstream ends of the first purge gas supply pipe 214s, the second purge gas supply pipe 214b, the third purge gas supply pipe 214t, and the fourth purge gas supply pipe 214o are the first source gas supply pipe 213s and the second source gas supply pipe 213b. The third source gas supply pipe 213t and the ozone gas supply pipe 213o are connected to the downstream sides of the open / close valves vs3, vb3, vt3, and vo3, respectively. The first purge gas supply pipe 214s, the second purge gas supply pipe 214b, the third purge gas supply pipe 214t, and the fourth purge gas supply pipe 214o have a flow rate controller 224s as a flow rate control means for controlling the supply flow rate of Ar gas. 224b, 224t, 224o and open / close valves vs4, vb4, vt4, vo4 for controlling the supply of Ar gas are provided, respectively. Mainly, Ar gas supply source 230a, purge gas supply pipe 214, first purge gas supply pipe 214s, second purge gas supply pipe 214b, third purge gas supply pipe 214t, and fourth purge gas supply pipe 214o, flow rate controllers 224s, 224b, and 224t , 224o and open / close valves vs4, vb4, vt4, and vo4 constitute a purge gas supply system (purge gas supply line).

<ベント(バイパス)系>
また、第1原料ガス供給管213s、第2原料ガス供給管213b、第3原料ガス供給管213t、及びオゾンガス供給管213oの開閉バルブvs3,vb3,vt3,vo3の上流側には、第1ベント管215s、第2ベント管215b、第3ベント管215t、第4ベント管215oの上流側端部がそれぞれ接続されている。また、第1ベント管215s、第2ベント管215b、第3ベント管215t、第4ベント管215oの下流側端部は合流するように一本化してベント管215となり、ベント管215は排気管261の原料回収トラップ263よりも上流側に接続されている。第1ベント管215s、第2ベント管215b、第3ベント管215t、第4ベント管215oには、ガスの供給を制御するための開閉バルブvs5,vb5,vt5,vo5がそれぞれ設けられている。
<Vent (bypass) system>
Further, the first vent on the upstream side of the open / close valves vs3, vb3, vt3, vo3 of the first source gas supply pipe 213s, the second source gas supply pipe 213b, the third source gas supply pipe 213t, and the ozone gas supply pipe 213o is provided. The upstream ends of the pipe 215s, the second vent pipe 215b, the third vent pipe 215t, and the fourth vent pipe 215o are connected to each other. Further, the downstream end portions of the first vent pipe 215s, the second vent pipe 215b, the third vent pipe 215t, and the fourth vent pipe 215o are unified so as to be merged into a vent pipe 215, and the vent pipe 215 is an exhaust pipe. 261 is connected upstream of the raw material recovery trap 263. The first vent pipe 215s, the second vent pipe 215b, the third vent pipe 215t, and the fourth vent pipe 215o are provided with open / close valves vs5, vb5, vt5, and vo5 for controlling gas supply, respectively.

上記構成により、開閉バルブvs3,vb3,vt3,vo3を閉め、開閉バルブvs5,vb5,vt5,vo5を開けることで、第1原料ガス供給管213s、第2原料ガス供給管213b、第3原料ガス供給管213t、及びオゾンガス供給管213o内を流れるガスを、処理室201内に供給することなく処理室201をバイパスさせ、処理室201外へとそれぞれ排気することが可能となる。   With the above configuration, the first and second source gas supply pipes 213s, 213b and 213b are closed by closing the on-off valves vs3, vb3, vt3 and vo3 and opening the on-off valves vs5, vb5, vt5 and vo5. The gas flowing through the supply pipe 213t and the ozone gas supply pipe 213o can be bypassed through the process chamber 201 without being supplied into the process chamber 201, and exhausted out of the process chamber 201.

また、第1パージガス供給管214s、第2パージガス供給管214b、第3パージガス供給管214t、及び第4パージガス供給管214oの開閉バルブvs4,vb4,vt4,vo4よりも上流側であって流量コントローラ224s,224b,224t,224oよりも下流側には、第5ベント管216s、第6ベント管216b、第7ベント管216t、第8ベント管216oがそれぞれ接続されている。また、第5ベント管216s、第6ベント管216b、第7ベント管216t、第8ベント管216oの下流側端部は合流するように一本化してベント管216となり、ベント管216は排気管261の原料回収トラップ263よりも下流側であって真空ポンプ264よりも上流側に接続されている。第5ベント管216s、第6ベント管216b、第7ベント管216t、第8ベント管216oには、ガスの供給を制御するための開閉バルブvs6,vb6,vt6,vo6がそれぞれ設けられている。   The flow rate controller 224s is upstream of the opening / closing valves vs4, vb4, vt4, and vo4 of the first purge gas supply pipe 214s, the second purge gas supply pipe 214b, the third purge gas supply pipe 214t, and the fourth purge gas supply pipe 214o. , 224b, 224t, and 224o are connected to the fifth vent pipe 216s, the sixth vent pipe 216b, the seventh vent pipe 216t, and the eighth vent pipe 216o, respectively. Further, the downstream end portions of the fifth vent pipe 216s, the sixth vent pipe 216b, the seventh vent pipe 216t, and the eighth vent pipe 216o are unified so as to be combined into a vent pipe 216, and the vent pipe 216 is an exhaust pipe. It is connected to the downstream side of the raw material recovery trap 263 and the upstream side of the vacuum pump 264. The fifth vent pipe 216s, the sixth vent pipe 216b, the seventh vent pipe 216t, and the eighth vent pipe 216o are provided with open / close valves vs6, vb6, vt6, and vo6 for controlling gas supply, respectively.

上記構成により、開閉バルブvs4,vb4,vt4,vo4を閉め、開閉バルブvs6,vb6,vt6,vo6を開けることで、第1パージガス供給管214s、第2パージガス供給管214b、第3パージガス供給管214t、及び第4パージガス供給管214o内を流れるArガスを、処理室201内に供給することなく処理室201をバイパス
させ、処理室201外へとそれぞれ排気することが可能となる。なお、開閉バルブvs3,vb3,vt3,vo3を閉め、開閉バルブvs5,vb5,vt5,vo5を開けることで、第1原料ガス供給管213s、第2原料ガス供給管213b、第3原料ガス供給管213t、及びオゾンガス供給管213o内を流れるガスを、処理室201内に供給することなく処理室201をバイパスさせ、処理室201外へとそれぞれ排気する場合には、開閉バルブvs4,vb4,vt4,vo4を開けることにより、第1原料ガス供給管213s、第2原料ガス供給管213b、第3原料ガス供給管213t、及びオゾンガス供給管213o内にArガスを導入して、各原料ガス供給管内をパージするように設定されている。また、開閉バルブvs6,vb6,vt6,vo6は、開閉バルブvs4,vb4,vt4,vo4と逆動作を行うように設定されており、Arガスを各原料ガス供給管内に供給しない場合には、処理室201をバイパスしてArガスを排気するようになっている。主に、第1ベント管215s、第2ベント管215b、第3ベント管215t、第4ベント管215o、ベント管215、第5ベント管216s、第6ベント管216b、第7ベント管216t、第8ベント管216o、ベント管216、開閉バルブvs5,vb5,vt5,vo5、開閉バルブvs6,vb6,vt6,vo6によりベント系(バイパス系)、すなわちベントライン(バイパスライン)が構成される。
With the above configuration, the first and second purge gas supply pipes 214s, 214b, 214b, and 214t are opened by closing the open / close valves vs4, vb4, vt4, and vo4 and opening the open / close valves vs6, vb6, vt6, and vo6. In addition, the Ar gas flowing in the fourth purge gas supply pipe 214o can be bypassed through the processing chamber 201 without being supplied into the processing chamber 201, and exhausted out of the processing chamber 201. Note that the first source gas supply pipe 213s, the second source gas supply pipe 213b, and the third source gas supply pipe are opened by closing the on-off valves vs3, vb3, vt3, and vo3 and opening the on-off valves vs5, vb5, vt5, and vo5. When the gas flowing in the ozone gas supply pipe 213o is bypassed into the processing chamber 201 without being supplied into the processing chamber 201 and exhausted to the outside of the processing chamber 201, the open / close valves vs4, vb4, vt4 By opening vo4, Ar gas is introduced into the first source gas supply pipe 213s, the second source gas supply pipe 213b, the third source gas supply pipe 213t, and the ozone gas supply pipe 213o, and inside each source gas supply pipe It is set to purge. The open / close valves vs6, vb6, vt6, and vo6 are set so as to perform the reverse operation of the open / close valves vs4, vb4, vt4, and vo4. When Ar gas is not supplied into each source gas supply pipe, the processing is performed. The chamber 201 is bypassed and the Ar gas is exhausted. Mainly, the first vent pipe 215s, the second vent pipe 215b, the third vent pipe 215t, the fourth vent pipe 215o, the vent pipe 215, the fifth vent pipe 216s, the sixth vent pipe 216b, the seventh vent pipe 216t, The 8 vent pipe 216o, the vent pipe 216, the open / close valves vs5, vb5, vt5, vo5, and the open / close valves vs6, vb6, vt6, vo6 constitute a vent system (bypass system), that is, a vent line (bypass line).

<コントローラ>
なお、本実施形態にかかる基板処理装置は、基板処理装置の各部の動作を制御するコントローラ280を有している。コントローラ280は、ゲートバルブ251、昇降機構207b、搬送ロボット273、ヒータ206、圧力調整器(APC)262、気化器229s,229b,229t、オゾナイザ229o、真空ポンプ264、開閉バルブvs1〜vs6,vb1〜vb6,vt1〜vt6,vo3〜vo6、液体流量コントローラ221s,221b,221t、222s、222b、222t、流量コントローラ224s,224b,224t,221o,222o,224o等の動作を制御する。
<Controller>
Note that the substrate processing apparatus according to the present embodiment includes a controller 280 that controls the operation of each unit of the substrate processing apparatus. The controller 280 includes a gate valve 251, an elevating mechanism 207b, a transport robot 273, a heater 206, a pressure regulator (APC) 262, vaporizers 229s, 229b, and 229t, an ozonizer 229o, a vacuum pump 264, open / close valves vs1 to vs6, vb1. Controls the operations of vb6, vt1 to vt6, vo3 to vo6, liquid flow rate controllers 221s, 221b, 221t, 222s, 222b, 222t, flow rate controllers 224s, 224b, 224t, 221o, 222o, 224o and the like.

以上、述べたように、本発明の第1実施形態にかかる基板処理装置が構成される。   As described above, the substrate processing apparatus according to the first embodiment of the present invention is configured.

(2)基板処理工程
続いて、本発明の第1実施形態にかかる半導体装置の製造工程の一工程として、上述の基板処理装置を用いてALD法によりウェハ上に薄膜を形成する基板処理工程について、図5及び図2を参照しながら説明する。図5は、本発明の第1実施形態にかかる基板処理工程のフロー図である。また、図2は、本発明の第1実施形態にかかる基板処理装置が有する各バルブの開閉タイミングを示すタイミングチャートとしてのシーケンス図である。このタイミングチャートにおいて、Highレベルはバルブ開を、Lowレベルはバルブ閉を示している。なお、以下の説明において、基板処理装置を構成する各部の動作は、コントローラ280によって制御される。
(2) Substrate Processing Step Subsequently, as a step of the manufacturing process of the semiconductor device according to the first embodiment of the present invention, a substrate processing step of forming a thin film on the wafer by the ALD method using the substrate processing apparatus described above. This will be described with reference to FIGS. 5 and 2. FIG. 5 is a flowchart of the substrate processing process according to the first embodiment of the present invention. FIG. 2 is a sequence diagram as a timing chart showing the opening / closing timing of each valve included in the substrate processing apparatus according to the first embodiment of the present invention. In this timing chart, the High level indicates that the valve is open, and the Low level indicates that the valve is closed. In the following description, the operation of each part constituting the substrate processing apparatus is controlled by the controller 280.

<基板搬入工程(S1)、基板載置工程(S2)>
まず、昇降機構207bを作動させ、支持台203を、図4に示すウェハ搬送位置まで下降させる。そして、ゲートバルブ251を開き、処理室201と搬送室271とを連通させる。そして、搬送ロボット273により搬送室271内から処理室201内へ処理対象のウェハ200を搬送アーム273aで支持した状態で搬入する(S1)。処理室201内に搬入したウェハ200は、支持台203の上面から突出しているリフトピン208b上に一時的に載置される。搬送ロボット273の搬送アーム273aが処理室201内から搬送室271内へ戻ると、ゲートバルブ251が閉じられる。
<Substrate Loading Step (S1), Substrate Placement Step (S2)>
First, the elevating mechanism 207b is operated to lower the support table 203 to the wafer transfer position shown in FIG. Then, the gate valve 251 is opened to allow the processing chamber 201 and the transfer chamber 271 to communicate with each other. Then, the wafer 200 to be processed is loaded from the transfer chamber 271 into the processing chamber 201 by the transfer robot 273 while being supported by the transfer arm 273a (S1). The wafer 200 carried into the processing chamber 201 is temporarily placed on the lift pins 208 b protruding from the upper surface of the support table 203. When the transfer arm 273a of the transfer robot 273 returns from the processing chamber 201 to the transfer chamber 271, the gate valve 251 is closed.

続いて、昇降機構207bを作動させ、支持台203を、図3に示すウェハ処理位置まで上昇させる。その結果、リフトピン208bは支持台203の上面から埋没し、ウェハ200は、支持台203上面のサセプタ217上に載置される(S2)。   Subsequently, the elevating mechanism 207b is operated to raise the support table 203 to the wafer processing position shown in FIG. As a result, the lift pins 208b are buried from the upper surface of the support table 203, and the wafer 200 is placed on the susceptor 217 on the upper surface of the support table 203 (S2).

<圧力調整工程(S3)、昇温工程(S4)>
続いて、圧力調整器(APC)262により、処理室201内の圧力が所定の処理圧力となるように制御する(S3)。また、ヒータ206に供給する電力を調整し、ウェハ200の表面温度が所定の処理温度となるように制御する(S4)。
<Pressure adjusting step (S3), temperature raising step (S4)>
Subsequently, the pressure regulator (APC) 262 controls the pressure in the processing chamber 201 to be a predetermined processing pressure (S3). Further, the power supplied to the heater 206 is adjusted to control the surface temperature of the wafer 200 to a predetermined processing temperature (S4).

なお、基板搬入工程(S1)、基板載置工程(S2)、圧力調整工程(S3)、及び昇温工程(S4)においては、真空ポンプ264を作動させつつ、開閉バルブvs3,vb3,vt3,vo3を閉じ、開閉バルブvs4,vb4,vt4,vo4を開けることで、処理室201内にArガスを常に流しておく(idle)。これにより、ウェハ200上へのパーティクルの付着を抑制することが可能となる。   In the substrate carrying-in process (S1), the substrate placing process (S2), the pressure adjusting process (S3), and the temperature raising process (S4), the open / close valves vs3, vb3, vt3 are operated while operating the vacuum pump 264. By closing vo3 and opening the on-off valves vs4, vb4, vt4, and vo4, Ar gas is always allowed to flow into the processing chamber 201 (idle). Thereby, adhesion of particles on the wafer 200 can be suppressed.

工程S1〜S4と並行して、第1液体原料(Sr元素を含む有機金属液体原料)を気化させた原料ガス(以下、第1原料ガスという)を生成(予備気化)させておく(Set up)。すなわち、開閉バルブvs2を閉めたまま、開閉バルブvs1を開けるとともに、第1圧送ガス供給管237sから圧送ガスを供給して、第1液体原料供給源220sから気化器229sへと第1液体原料を圧送(供給)させ、気化器229sにて第1液体原料を気化させて第1原料ガスを生成させておく。この予備気化工程では、真空ポンプ264を作動させつつ、開閉バルブvs3を閉めたまま、開閉バルブvs5を開けることにより、第1原料ガスを処理室201内に供給することなく処理室201をバイパスして排気しておく。   In parallel with the steps S1 to S4, a raw material gas (hereinafter referred to as a first raw material gas) obtained by vaporizing the first liquid raw material (organic metal liquid raw material containing Sr element) is generated (preliminary vaporization) (Set up). ). That is, with the on-off valve vs2 closed, the on-off valve vs1 is opened, and the pumping gas is supplied from the first pumping gas supply pipe 237s, and the first liquid source is supplied from the first liquid source supply source 220s to the vaporizer 229s. The first liquid source is vaporized (supplied) and vaporized in the vaporizer 229s to generate the first source gas. In this preliminary vaporization step, the process chamber 201 is bypassed without supplying the first source gas into the process chamber 201 by opening the open / close valve vs5 while operating the vacuum pump 264 and keeping the open / close valve vs3 closed. And exhaust.

また、工程S1〜S4と並行して、反応物としてのオゾンガスを生成させておく(Set up)ことが好ましい。すなわち、酸素ガス供給源230oからオゾナイザ229oへと酸素ガスを供給して、オゾナイザ229oにてオゾンガスを生成させておく。この際、真空ポンプ264を作動させつつ、開閉バルブvo3を閉めたまま、開閉バルブvo5を開けることにより、オゾンガスを処理室201内に供給することなく処理室201をバイパスして排気しておく。   In parallel with the steps S1 to S4, it is preferable to generate ozone gas as a reactant (Set up). That is, oxygen gas is supplied from the oxygen gas supply source 230o to the ozonizer 229o, and ozone gas is generated in the ozonizer 229o. At this time, while the vacuum pump 264 is operated, the open / close valve vo5 is opened while the open / close valve vo3 is closed, thereby bypassing the process chamber 201 and exhausting it without supplying ozone gas into the process chamber 201.

気化器229sにて第1原料ガスを安定して生成させたり、あるいはオゾナイザ229oにてオゾンガスを安定して生成させたりするには所定の時間を要する。このため、本実施形態では、第1原料ガスあるいはオゾンガスを予め生成させておき、開閉バルブvs3,vs5,vo3,vo5の開閉を切り替えることにより、第1原料ガスやオゾンガスの流路を切り替える。その結果、開閉バルブの切り替えにより、処理室201内への第1原料ガスやオゾンガスの安定した供給を迅速に開始あるいは停止できるようになり、好ましい。この予備気化工程の実施と同時に、開閉バルブvb2,vt2を開き、気化器229b、229tの液体原料流路21b,21t内の洗浄を開始する。なお、洗浄方法の詳細については後述する。   A predetermined time is required to stably generate the first source gas in the vaporizer 229s or to stably generate the ozone gas in the ozonizer 229o. Therefore, in the present embodiment, the first source gas or ozone gas is generated in advance, and the opening and closing valves vs3, vs5, vo3, and vo5 are switched to switch the flow path of the first source gas and ozone gas. As a result, the switching of the open / close valve is preferable because stable supply of the first source gas and ozone gas into the processing chamber 201 can be started or stopped quickly. Simultaneously with the execution of the preliminary vaporization step, the on-off valves vb2 and vt2 are opened, and the cleaning of the liquid raw material channels 21b and 21t of the vaporizers 229b and 229t is started. The details of the cleaning method will be described later.

<第1原料ガスを用いたALD工程(S6)>
続いて、真空ポンプ264を作動させたまま、開閉バルブvs4,vs5を閉じ、開閉バルブvs3を開けて、処理室201内への第1原料ガスの供給を開始する(Sr)。第1原料ガスは、シャワーヘッド240により分散されて処理室201内のウェハ200上に均一に供給されて、ウェハ200表面に第1原料ガスのガス分子が吸着する。余剰な第1原料ガスは、排気ダクト259内を流れ、排気口260へと排気される。なお、処理室201内への第1原料ガスの供給時には、第2原料ガス供給管213b、第3原料ガス供給管213t、オゾンガス供給管213o内への第1原料ガスの侵入を防止するように、また、処理室201内における第1原料ガスの拡散を促すように、開閉バルブvb4,vt4,vo4は開けたままとし、処理室201内にArガスを常に流しておくことが好ましい。
<ALD process using first source gas (S6)>
Subsequently, the open / close valves vs4 and vs5 are closed and the open / close valve vs3 is opened while the vacuum pump 264 is operated, and the supply of the first source gas into the processing chamber 201 is started (Sr). The first source gas is dispersed by the shower head 240 and uniformly supplied onto the wafer 200 in the processing chamber 201, and the gas molecules of the first source gas are adsorbed on the surface of the wafer 200. Excess first source gas flows through the exhaust duct 259 and is exhausted to the exhaust port 260. Note that when the first source gas is supplied into the processing chamber 201, the first source gas is prevented from entering the second source gas supply pipe 213b, the third source gas supply pipe 213t, and the ozone gas supply pipe 213o. In addition, it is preferable that the open / close valves vb4, vt4, and vo4 are kept open so that Ar gas is allowed to constantly flow into the processing chamber 201 so as to promote diffusion of the first source gas in the processing chamber 201.

開閉バルブvs3を開け、第1原料ガスの供給を開始した後、所定時間が経過したら、開閉バルブvs3を閉じ、開閉バルブvs4,vs5を開けて、処理室201内への第1原料ガスの供給を停止する。また、同時に、開閉バルブvs1を閉めて、気化器229sへの第1液体原料の供給も停止する。   After a predetermined time has elapsed after opening the on-off valve vs3 and starting the supply of the first source gas, the on-off valve vs3 is closed and the on-off valves vs4 and vs5 are opened to supply the first source gas into the processing chamber 201. To stop. At the same time, the on-off valve vs1 is closed, and the supply of the first liquid raw material to the vaporizer 229s is also stopped.

ここで、開閉バルブvs3を閉め、第1原料ガスの供給を停止した後は、開閉バルブvs4,vb4,vt4,vo4は開けたままとし、処理室201内にArガスを常に流しておく。これにより、処理室201内に残留している第1原料ガスを除去し、処理室201内をArガスによりパージする(PS1)。   Here, after the on-off valve vs3 is closed and the supply of the first source gas is stopped, the on-off valves vs4, vb4, vt4, and vo4 are kept open, and the Ar gas is always allowed to flow into the processing chamber 201. Thus, the first source gas remaining in the processing chamber 201 is removed, and the inside of the processing chamber 201 is purged with Ar gas (PS1).

また、開閉バルブvs1を閉め、第1液体原料の供給を停止した後は、気化器229s内の洗浄を開始する(PS1〜)。すなわち、洗浄液圧送ガス供給管237eから圧送ガスを供給するとともに、開閉バルブvs1を閉じたまま、開閉バルブvs2を開け、気化器229sの液体原料流路21s内に洗浄液を供給して、液体原料流路21s内を洗浄する。このとき開閉バルブvs1,vs3は閉、開閉バルブvs2,vs5は開とされるので、液体原料流路21s内に供給された洗浄液は、液体原料流路21s内を洗浄した後、気化室20s内へ供給されて気化される。このとき、液体原料流路21s内に残留していた第1液体原料及び溶媒も一緒に気化室20s内へ供給されて気化される。そして、気化された洗浄液、第1液体原料、及び溶媒は、第1原料ガス供給管213sを通り、処理室201内へ供給されることなく、ベント管215sより処理室201をバイパスして排気される。なお、気化器229sの液体原料流路21s内の洗浄は、例えば、次回の気化器229sへの第1液体原料の供給開始時まで(S9のTiまで)継続させる。   In addition, after closing the opening / closing valve vs1 and stopping the supply of the first liquid raw material, cleaning of the vaporizer 229s is started (PS1 to PS1). That is, while supplying the pressurized gas from the cleaning liquid pressurized gas supply pipe 237e, the open / close valve vs2 is opened while the open / close valve vs1 is closed, and the cleaning liquid is supplied into the liquid raw material flow path 21s of the vaporizer 229s. The inside of the passage 21s is washed. At this time, the on-off valves vs1 and vs3 are closed and the on-off valves vs2 and vs5 are opened, so that the cleaning liquid supplied into the liquid source channel 21s cleans the liquid source channel 21s and then enters the vaporization chamber 20s. To be vaporized. At this time, the first liquid source and the solvent remaining in the liquid source channel 21s are also supplied into the vaporizing chamber 20s and vaporized. The vaporized cleaning liquid, the first liquid source, and the solvent are exhausted from the vent pipe 215s by bypassing the processing chamber 201 without being supplied into the processing chamber 201 through the first source gas supply pipe 213s. The Note that the cleaning of the liquid source flow path 21s of the vaporizer 229s is continued, for example, until the next supply of the first liquid source to the vaporizer 229s is started (until Ti in S9).

処理室201内のパージが完了したら、開閉バルブvo4,vo5を閉じ、開閉バルブvo3を開けて、処理室201内へのオゾンガスの供給を開始する(OxS)。オゾンガスは、シャワーヘッド240により分散されて処理室201内のウェハ200上に均一に供給され、ウェハ200表面に吸着している第1原料ガスのガス分子と反応して、ウェハ200上にSr元素を含む薄膜としてSrO膜を生成する。余剰なオゾンガスや反応副生成物は、排気ダクト259内を流れ、排気口260へと排気される。なお、処理室201内へのオゾンガスの供給時には、第1原料ガス供給管213s、第2原料ガス供給管213b、第3原料ガス供給管213t内へのオゾンガスの侵入を防止するように、また、処理室201内におけるオゾンガスの拡散を促すように、開閉バルブvs4,vb4,vt4は開けたままとし、処理室201内にArガスを常に流しておくことが好ましい。   When the purge in the processing chamber 201 is completed, the opening / closing valves vo4, vo5 are closed, the opening / closing valve vo3 is opened, and supply of ozone gas into the processing chamber 201 is started (OxS). The ozone gas is dispersed by the shower head 240 and uniformly supplied onto the wafer 200 in the processing chamber 201, reacts with the gas molecules of the first source gas adsorbed on the surface of the wafer 200, and forms Sr element on the wafer 200. An SrO film is produced as a thin film containing Excess ozone gas and reaction byproducts flow through the exhaust duct 259 and are exhausted to the exhaust port 260. In addition, when supplying ozone gas into the processing chamber 201, in order to prevent ozone gas from entering the first source gas supply pipe 213s, the second source gas supply pipe 213b, and the third source gas supply pipe 213t, It is preferable to keep the open / close valves vs4, vb4, and vt4 open so that the ozone gas is diffused in the processing chamber 201 and to keep Ar gas flowing in the processing chamber 201 at all times.

開閉バルブvo3を開け、オゾンガスの供給を開始した後、所定時間が経過したら、開閉バルブvo3を閉じ、開閉バルブvo4,vo5を開けて、処理室201内へのオゾンガスの供給を停止する。   After a predetermined time has elapsed after opening the opening / closing valve vo3 and starting the supply of ozone gas, the opening / closing valve vo3 is closed and the opening / closing valves vo4, vo5 are opened to stop the supply of ozone gas into the processing chamber 201.

開閉バルブvo3を閉め、オゾンガスの供給を停止した後は、開閉バルブvs4,vb4,vt4,vo4は開けたままとし、処理室201内にArガスを常に流しておく。これにより、処理室201内に残留しているオゾンガスや反応副生成物を除去し、処理室201内をArガスによりパージする(PS2)。   After the opening / closing valve vo3 is closed and the supply of ozone gas is stopped, the opening / closing valves vs4, vb4, vt4, vo4 are kept open, and Ar gas is always allowed to flow into the processing chamber 201. As a result, ozone gas and reaction byproducts remaining in the processing chamber 201 are removed, and the inside of the processing chamber 201 is purged with Ar gas (PS2).

なお、第1原料ガスを用いたALD工程(S6)においては、第3液体原料(Ti元素を含む有機金属液体原料)を気化させた原料ガス(以下、第3原料ガスという)を予め生成(予備気化)させておく(PS1〜)。すなわち、開閉バルブvt2を閉じ、開閉バルブvt1を開けるとともに、第3圧送ガス供給管237tから圧送ガスを供給して、第3液体原料供給源220tから気化器229tへと第3液体原料を供給させ、気化器229tにて第3液体原料を気化させて、第3原料ガスを生成させておく。第1原料ガスを用い
たALD工程(S6)では、真空ポンプ264を作動させつつ、開閉バルブvt3を閉めたまま、開閉バルブvt5を開けることにより、第3原料ガスを処理室201内に供給することなく処理室201をバイパスして排気しておく。このように、第3原料ガスを予め生成させておき、後述の第3原料ガスを用いたALD工程(S7)において開閉バルブvt3,vt5の開閉を切り替えることで、第3原料ガスの流路を切り替える。これにより、第3原料ガスを用いたALD工程(S7)において処理室201内への第3原料ガスの安定した供給を迅速に開始あるいは停止できるようになり、好ましい。
In the ALD process (S6) using the first source gas, a source gas (hereinafter referred to as a third source gas) obtained by vaporizing the third liquid source (organometallic liquid source containing Ti element) is generated in advance ( Pre-vaporization) (PS1). That is, the open / close valve vt2 is closed and the open / close valve vt1 is opened, and the pressurized gas is supplied from the third pressurized gas supply pipe 237t to supply the third liquid material from the third liquid material supply source 220t to the vaporizer 229t. Then, the third liquid source is vaporized by the vaporizer 229t to generate a third source gas. In the ALD process (S6) using the first source gas, the third source gas is supplied into the processing chamber 201 by opening the on-off valve vt5 while operating the vacuum pump 264 and keeping the on-off valve vt3 closed. The process chamber 201 is bypassed and exhausted. In this way, the third source gas is generated in advance, and the opening and closing of the open / close valves vt3 and vt5 is switched in the ALD step (S7) using the third source gas described later, thereby changing the flow path of the third source gas. Switch. Thereby, in the ALD process (S7) using the third source gas, stable supply of the third source gas into the processing chamber 201 can be started or stopped quickly, which is preferable.

<第3原料ガスを用いたALD工程(S7)>
続いて、真空ポンプ264を作動させたまま、開閉バルブvt4,vt5を閉じ、開閉バルブvt3を開けて、処理室201内への第3原料ガスの供給を開始する(Ti)。第3原料ガスは、シャワーヘッド240により分散されて処理室201内のウェハ200上に均一に供給されて、ウェハ200表面に第3原料ガスのガス分子が吸着する。余剰な第3原料ガスは、排気ダクト259内を流れ、排気口260へと排気される。なお、処理室201内への第3原料ガスの供給時には、第1原料ガス供給管213s、第2原料ガス供給管213b、オゾンガス供給管213o内への第3原料ガスの侵入を防止するように、また、処理室201内における第3原料ガスの拡散を促すように、開閉バルブvs4,vb4,vo4は開けたままとし、処理室201内にArガスを常に流しておくことが好ましい。
<ALD process using third source gas (S7)>
Subsequently, the open / close valves vt4 and vt5 are closed and the open / close valve vt3 is opened while the vacuum pump 264 is operated, and supply of the third source gas into the processing chamber 201 is started (Ti). The third source gas is dispersed by the shower head 240 and uniformly supplied onto the wafer 200 in the processing chamber 201, and the gas molecules of the third source gas are adsorbed on the surface of the wafer 200. Excess third source gas flows through the exhaust duct 259 and is exhausted to the exhaust port 260. When the third source gas is supplied into the processing chamber 201, the third source gas is prevented from entering the first source gas supply pipe 213s, the second source gas supply pipe 213b, and the ozone gas supply pipe 213o. Further, it is preferable that the open / close valves vs4, vb4, and vo4 are kept open so that Ar gas flows constantly in the processing chamber 201 so as to promote diffusion of the third source gas in the processing chamber 201.

開閉バルブvt3を開け、第3原料ガスの供給を開始した後、所定時間が経過したら、開閉バルブvt3を閉じ、開閉バルブvt4,vt5を開けて、処理室201内への第3原料ガスの供給を停止する。また、同時に、開閉バルブvt1を閉めて、気化器229tへの第3液体原料の供給も停止する。   After a predetermined time has elapsed after opening the on-off valve vt3 and starting the supply of the third source gas, the on-off valve vt3 is closed and the on-off valves vt4, vt5 are opened to supply the third source gas into the processing chamber 201. To stop. At the same time, the on-off valve vt1 is closed, and the supply of the third liquid material to the vaporizer 229t is also stopped.

ここで、開閉バルブvt3を閉め、第3原料ガスの供給を停止した後は、開閉バルブvs4,vb4,vt4,vo4は開けたままとし、処理室201内にArガスを常に流しておく。これにより、処理室201内に残留している第3原料ガスを除去し、処理室201内をArガスによりパージする(PT1)。   Here, after the on-off valve vt3 is closed and the supply of the third source gas is stopped, the on-off valves vs4, vb4, vt4, and vo4 are kept open, and the Ar gas is always allowed to flow into the processing chamber 201. Thereby, the third source gas remaining in the processing chamber 201 is removed, and the inside of the processing chamber 201 is purged with Ar gas (PT1).

また、開閉バルブvt1を閉め、第3液体原料の供給を停止した後は、気化器229t内の洗浄を開始する(PT1〜)。すなわち、洗浄液圧送ガス供給管237eから圧送ガスを供給するとともに、開閉バルブvt1を閉じたまま、開閉バルブvt2を開け、気化器229tの液体原料流路21t内に洗浄液を供給して、液体原料流路21t内を洗浄する。このとき開閉バルブvt1,vt3は閉、開閉バルブvt2,vt5は開とされるので、液体原料流路21t内に供給された洗浄液は、液体原料流路21t内を洗浄した後、気化室20t内へ供給されて気化される。このとき、液体原料流路21t内に残留していた第3液体原料及び溶媒も一緒に気化室20s内へ供給されて気化される。そして、気化された洗浄液、第3液体原料、及び溶媒は、第3原料ガス供給管213tを通り、処理室201内へ供給されることなく、ベント管215tより処理室201をバイパスして排気される。なお、気化器229tの液体原料流路21t内の洗浄は、例えば、次回の気化器229tへの第3液体原料の供給開始時まで(S8のBaまで)継続させる。   In addition, after closing the opening / closing valve vt1 and stopping the supply of the third liquid material, cleaning of the vaporizer 229t is started (PT1). That is, while supplying the pressurized gas from the cleaning liquid pressurized gas supply pipe 237e, the open / close valve vt2 is opened while the open / close valve vt1 is closed, and the cleaning liquid is supplied into the liquid raw material flow path 21t of the vaporizer 229t. The inside of the passage 21t is washed. At this time, the on-off valves vt1 and vt3 are closed and the on-off valves vt2 and vt5 are opened, so that the cleaning liquid supplied into the liquid source channel 21t is cleaned in the liquid source channel 21t and then into the vaporization chamber 20t. To be vaporized. At this time, the third liquid source and the solvent remaining in the liquid source channel 21t are also supplied into the vaporizing chamber 20s and vaporized. Then, the vaporized cleaning liquid, the third liquid source, and the solvent are exhausted from the vent pipe 215t by bypassing the processing chamber 201 without being supplied into the processing chamber 201 through the third source gas supply pipe 213t. The The cleaning of the liquid raw material flow path 21t of the vaporizer 229t is continued, for example, until the next supply of the third liquid raw material to the vaporizer 229t is started (until Ba in S8).

処理室201内のパージが完了したら、開閉バルブvo4,vo5を閉じ、開閉バルブvo3を開けて、処理室201内へのオゾンガスの供給を開始する(OxT)。オゾンガスは、シャワーヘッド240により分散されて処理室201内のウェハ200上に均一に供給され、ウェハ200表面に吸着している第3原料ガスのガス分子と反応して、ウェハ200上にTi元素を含む薄膜としてTiO膜を生成する。余剰なオゾンガスや反応副生成物は、排気ダクト259内を流れ、排気口260へと排気される。なお、処理室201内へのオゾンガスの供給時には、第1原料ガス供給管213s、第2原料ガス供給管2
13b、第3原料ガス供給管213t内へのオゾンガスの侵入を防止するように、また、処理室201内におけるオゾンガスの拡散を促すように、開閉バルブvs4,vb4,vt4は開けたままとし、処理室201内にArガスを常に流しておくことが好ましい。
When the purge in the processing chamber 201 is completed, the opening / closing valves vo4, vo5 are closed, the opening / closing valve vo3 is opened, and supply of ozone gas into the processing chamber 201 is started (OxT). The ozone gas is dispersed by the shower head 240, is uniformly supplied onto the wafer 200 in the processing chamber 201, reacts with gas molecules of the third source gas adsorbed on the surface of the wafer 200, and Ti element is formed on the wafer 200. A TiO 2 film is produced as a thin film containing Excess ozone gas and reaction byproducts flow through the exhaust duct 259 and are exhausted to the exhaust port 260. When supplying ozone gas into the processing chamber 201, the first source gas supply pipe 213s and the second source gas supply pipe 2 are used.
13b, the open / close valves vs4, vb4, and vt4 are kept open to prevent the ozone gas from entering the third source gas supply pipe 213t and to promote the diffusion of the ozone gas in the processing chamber 201. It is preferable that Ar gas always flow in the chamber 201.

開閉バルブvo3を開け、オゾンガスの供給を開始した後、所定時間が経過したら、開閉バルブvo3を閉じ、開閉バルブvo4,vo5を開けて、処理室201内へのオゾンガスの供給を停止する。   After a predetermined time has elapsed after opening the opening / closing valve vo3 and starting the supply of ozone gas, the opening / closing valve vo3 is closed and the opening / closing valves vo4, vo5 are opened to stop the supply of ozone gas into the processing chamber 201.

開閉バルブvo3を閉め、オゾンガスの供給を停止した後は、開閉バルブvs4,vb4,vt4,vo4は開けたままとし、処理室201内にArガスを常に流しておく。これにより、処理室201内に残留しているオゾンガスや反応副生成物を除去し、処理室201内をArガスによりパージする(PT2)。   After the opening / closing valve vo3 is closed and the supply of ozone gas is stopped, the opening / closing valves vs4, vb4, vt4, vo4 are kept open, and Ar gas is always allowed to flow into the processing chamber 201. Thereby, ozone gas and reaction by-products remaining in the processing chamber 201 are removed, and the inside of the processing chamber 201 is purged with Ar gas (PT2).

なお、第3原料ガスを用いたALD工程(S7)においては、第2液体原料(Ba元素を含む有機金属液体原料)を気化させた原料ガス(以下、第2原料ガスという)を予め生成(予備気化)させておく(PT1〜)。すなわち、開閉バルブvb2を閉じ、開閉バルブvb1を開けるとともに、第2圧送ガス供給管237bから圧送ガスを供給して、第2液体原料供給源220bから気化器229bへと第2液体原料を供給させ、気化器229bにて第2液体原料を気化させて、第2原料ガスを生成させておく。第3原料ガスを用いたALD工程(S7)では、真空ポンプ264を作動させつつ、開閉バルブvb3を閉めたまま、開閉バルブvb5を開けることにより、第2原料ガスを処理室201内に供給することなく処理室201をバイパスして排気しておく。このように、第2原料ガスを予め生成させておき、後述の第2原料ガスを用いたALD工程(S8)において開閉バルブvb3,vb5の開閉を切り替えることにより、第2原料ガスの流路を切り替える。これにより、第2原料ガスを用いたALD工程(S8)において処理室201内への第2原料ガスの安定した供給を迅速に開始あるいは停止できるようになり、好ましい。   In the ALD process (S7) using the third source gas, a source gas (hereinafter referred to as a second source gas) obtained by vaporizing the second liquid source (organic metal liquid source containing Ba element) is generated in advance ( Pre-vaporization) (PT1-). That is, the on-off valve vb2 is closed and the on-off valve vb1 is opened, and the pressurized gas is supplied from the second pressurized gas supply pipe 237b to supply the second liquid source from the second liquid source supply source 220b to the vaporizer 229b. Then, the second liquid source is vaporized by the vaporizer 229b to generate the second source gas. In the ALD process (S7) using the third source gas, the second source gas is supplied into the processing chamber 201 by opening the on-off valve vb5 while operating the vacuum pump 264 and keeping the on-off valve vb3 closed. The process chamber 201 is bypassed and exhausted. In this way, the second source gas is generated in advance, and the opening and closing of the on-off valves vb3 and vb5 is switched in the ALD step (S8) using the second source gas, which will be described later. Switch. Thereby, in the ALD process (S8) using the second source gas, stable supply of the second source gas into the processing chamber 201 can be started or stopped quickly, which is preferable.

<第2原料ガスを用いたALD工程(S8)>
続いて、真空ポンプ264を作動させたまま、開閉バルブvb4,vb5を閉じ、開閉バルブvb3を開けて、処理室201内への第2原料ガスの供給を開始する(Ba)。第2原料ガスは、シャワーヘッド240により分散されて処理室201内のウェハ200上に均一に供給されて、ウェハ200表面に第2原料ガスのガス分子が吸着する。余剰な第2原料ガスは、排気ダクト259内を流れ、排気口260へと排気される。なお、処理室201内への第2原料ガスの供給時には、第1原料ガス供給管213s、第3原料ガス供給管213t、オゾンガス供給管213oへの第2原料ガスの侵入を防止するように、また、処理室201内における第2原料ガスの拡散を促すように、開閉バルブvs4,vt4,vo4は開けたままとし、処理室201内にArガスを常に流しておくことが好ましい。
<ALD process using second source gas (S8)>
Subsequently, the open / close valves vb4 and vb5 are closed and the open / close valve vb3 is opened while the vacuum pump 264 is operated, and supply of the second source gas into the processing chamber 201 is started (Ba). The second source gas is dispersed by the shower head 240 and uniformly supplied onto the wafer 200 in the processing chamber 201, and the gas molecules of the second source gas are adsorbed on the surface of the wafer 200. Excess second source gas flows in the exhaust duct 259 and is exhausted to the exhaust port 260. When the second source gas is supplied into the processing chamber 201, the second source gas is prevented from entering the first source gas supply pipe 213s, the third source gas supply pipe 213t, and the ozone gas supply pipe 213o. Further, it is preferable to keep the open / close valves vs4, vt4, and vo4 open and to keep Ar gas flowing in the processing chamber 201 so as to promote diffusion of the second source gas in the processing chamber 201.

開閉バルブvb3を開け、第2原料ガスの供給を開始した後、所定時間が経過したら、開閉バルブvb3を閉じ、開閉バルブvb4,vb5を開けて、処理室201内への第2原料ガスの供給を停止する。また、同時に、開閉バルブvb1を閉めて、気化器229bへの第2液体原料の供給も停止する。   After a predetermined time has elapsed after opening the on-off valve vb3 and starting the supply of the second source gas, the on-off valve vb3 is closed and the on-off valves vb4, vb5 are opened to supply the second source gas into the processing chamber 201. To stop. At the same time, the on-off valve vb1 is closed and the supply of the second liquid material to the vaporizer 229b is also stopped.

ここで、開閉バルブvb3を閉め、第2原料ガスの供給を停止した後は、開閉バルブvs4,vb4,vt4,vo4は開けたままとし、処理室201内にArガスを常に流しておく。これにより、処理室201内に残留している第2原料ガスを除去し、処理室201内をArガスによりパージする(PB1)。   Here, after the on-off valve vb3 is closed and the supply of the second source gas is stopped, the on-off valves vs4, vb4, vt4, and vo4 are kept open, and Ar gas is always allowed to flow into the processing chamber 201. As a result, the second source gas remaining in the processing chamber 201 is removed, and the inside of the processing chamber 201 is purged with Ar gas (PB1).

また、開閉バルブvb1を閉め、第2液体原料の供給を停止した後は、気化器229b
内の洗浄を開始する(PB1〜)。すなわち、洗浄液圧送ガス供給管237eから圧送ガスを供給するとともに、開閉バルブvb1を閉じたまま、開閉バルブvb2を開け、気化器229bの液体原料流路21b内に洗浄液を供給して、液体原料流路21b内を洗浄する。このとき開閉バルブvb1,vb3は閉、開閉バルブvb2,vb5は開とされるので、液体原料流路21b内に供給された洗浄液は、液体原料流路21b内を洗浄した後、気化室20b内へ供給されて気化される。このとき、液体原料流路21b内に残留していた第2液体原料及び溶媒も一緒に気化室20b内へ供給されて気化される。そして、気化された洗浄液、第2液体原料、及び溶媒は、第2原料ガス供給管213bを通り、処理室201内へ供給されることなく、ベント管215bより処理室201をバイパスして排気される。なお、気化器229bの液体原料流路21b内の洗浄は、例えば、次回の気化器229bへの第2液体原料の供給開始時まで(次回のS7のTiまで)継続させる。
In addition, after closing the on-off valve vb1 and stopping the supply of the second liquid raw material, the vaporizer 229b
Starts cleaning (PB1-). That is, while supplying the pressurized gas from the cleaning liquid pressurized gas supply pipe 237e, the open / close valve vb2 is opened while the open / close valve vb1 is closed, and the cleaning liquid is supplied into the liquid raw material flow path 21b of the vaporizer 229b. The inside of the passage 21b is washed. At this time, the on-off valves vb1 and vb3 are closed and the on-off valves vb2 and vb5 are opened, so that the cleaning liquid supplied into the liquid source channel 21b is cleaned in the liquid source channel 21b and then into the vaporization chamber 20b. To be vaporized. At this time, the second liquid source and the solvent remaining in the liquid source channel 21b are also supplied into the vaporizing chamber 20b and vaporized. The vaporized cleaning liquid, the second liquid source, and the solvent are exhausted from the vent pipe 215b by bypassing the processing chamber 201 without being supplied into the processing chamber 201 through the second source gas supply pipe 213b. The The cleaning of the liquid source flow path 21b of the vaporizer 229b is continued, for example, until the next supply of the second liquid source to the vaporizer 229b is started (until the next Ti in S7).

処理室201内のパージが完了したら、開閉バルブvo4,vo5を閉じ、開閉バルブvo3を開けて、処理室201内へのオゾンガスの供給を開始する(OxB)。オゾンガスは、シャワーヘッド240により分散されて処理室201内のウェハ200上に均一に供給され、ウェハ200表面に吸着している第2原料ガスのガス分子と反応して、ウェハ200上にBa元素を含む薄膜としてBaO膜を生成する。余剰なオゾンガスや反応副生成物は、排気ダクト259内を流れ、排気口260へと排気される。なお、処理室201内へのオゾンガスの供給時には、第1原料ガス供給管213s、第2原料ガス供給管213b、第3原料ガス供給管213t内へのオゾンガスの侵入を防止するように、また、処理室201内におけるオゾンガスの拡散を促すように、開閉バルブvs4,vb4,vt4は開けたままとし、処理室201内にArガスを常に流しておくことが好ましい。   When the purge in the processing chamber 201 is completed, the opening / closing valves vo4, vo5 are closed, the opening / closing valve vo3 is opened, and supply of ozone gas into the processing chamber 201 is started (OxB). The ozone gas is dispersed by the shower head 240, is uniformly supplied onto the wafer 200 in the processing chamber 201, reacts with the gas molecules of the second source gas adsorbed on the surface of the wafer 200, and Ba element is formed on the wafer 200. BaO film is formed as a thin film containing Excess ozone gas and reaction byproducts flow through the exhaust duct 259 and are exhausted to the exhaust port 260. In addition, when supplying ozone gas into the processing chamber 201, in order to prevent ozone gas from entering the first source gas supply pipe 213s, the second source gas supply pipe 213b, and the third source gas supply pipe 213t, It is preferable to keep the open / close valves vs4, vb4, and vt4 open so that the ozone gas is diffused in the processing chamber 201 and to keep Ar gas flowing in the processing chamber 201 at all times.

開閉バルブvo3を開け、オゾンガスの供給を開始した後、所定時間が経過したら、開閉バルブvo3を閉じ、開閉バルブvo4,vo5を開けて、処理室201内へのオゾンガスの供給を停止する。   After a predetermined time has elapsed after opening the opening / closing valve vo3 and starting the supply of ozone gas, the opening / closing valve vo3 is closed and the opening / closing valves vo4, vo5 are opened to stop the supply of ozone gas into the processing chamber 201.

開閉バルブvo3を閉め、オゾンガスの供給を停止した後は、開閉バルブvs4,vb4,vt4,vo4は開けたままとし、処理室201内にArガスを常に流しておく。これにより、処理室201内に残留しているオゾンガスや反応副生成物を除去し、処理室201内をArガスによりパージする(PB2)。   After the opening / closing valve vo3 is closed and the supply of ozone gas is stopped, the opening / closing valves vs4, vb4, vt4, vo4 are kept open, and Ar gas is always allowed to flow into the processing chamber 201. As a result, ozone gas and reaction byproducts remaining in the processing chamber 201 are removed, and the inside of the processing chamber 201 is purged with Ar gas (PB2).

なお、第2原料ガスを用いたALD工程(S8)においては、第3液体原料(Ti元素を含む有機金属液体原料)を気化させた原料ガス(以下、第3原料ガスという)を予め生成(予備気化)させておく(PB1〜)。すなわち、開閉バルブvt2を閉じ、開閉バルブvt1を開けるとともに、第3圧送ガス供給管237tから圧送ガスを供給して、第3液体原料供給源220tから気化器229tへと第3液体原料を供給させ、気化器229tにて第3液体原料を気化させて、第3原料ガスを生成させておく。第2原料ガスを用いたALD工程(S8)では、真空ポンプ264を作動させつつ、開閉バルブvt3を閉めたまま、開閉バルブvt5を開けることにより、第3原料ガスを処理室201内に供給することなく処理室201をバイパスして排気しておく。このように、第3原料ガスを予め生成させておき、後述の第3原料ガスを用いたALD工程(S9)において開閉バルブvt3,vt5の開閉を切り替えることにより、第3原料ガスの流路を切り替える。これにより、第3原料ガスを用いたALD工程(S9)において処理室201内への第3原料ガスの安定した供給を迅速に開始あるいは停止できるようになり、好ましい。   In the ALD process (S8) using the second source gas, a source gas (hereinafter referred to as a third source gas) obtained by vaporizing the third liquid source (organometallic liquid source containing Ti element) is generated in advance ( Pre-vaporization) (PB1 ~). That is, the open / close valve vt2 is closed and the open / close valve vt1 is opened, and the pressurized gas is supplied from the third pressurized gas supply pipe 237t to supply the third liquid material from the third liquid material supply source 220t to the vaporizer 229t. Then, the third liquid source is vaporized by the vaporizer 229t to generate a third source gas. In the ALD process (S8) using the second source gas, the third source gas is supplied into the processing chamber 201 by opening the on-off valve vt5 while operating the vacuum pump 264 and keeping the on-off valve vt3 closed. The process chamber 201 is bypassed and exhausted. In this way, the third source gas is generated in advance, and the opening and closing of the open / close valves vt3 and vt5 is switched in the ALD step (S9) using the third source gas, which will be described later. Switch. Thereby, in the ALD process (S9) using the third source gas, stable supply of the third source gas into the processing chamber 201 can be started or stopped quickly, which is preferable.

<第3原料ガスを用いたALD工程(S9)>
続いて、上述した第3原料ガスを用いたALD工程(S7)と同様の工程を再度実施して、ウェハ200上にTi元素を含む薄膜としてTiO膜を生成する。
<ALD process using third source gas (S9)>
Subsequently, the same process as the ALD process (S7) using the third source gas described above is performed again to generate a TiO 2 film as a thin film containing Ti element on the wafer 200.

<繰り返し工程(S10)>
第3原料ガスを用いたALD工程(S9)の後、工程S6〜S9までを1サイクルとしてこのサイクルを所定回数繰り返すことにより、ウェハ200上に所望の膜厚のBST(チタン酸バリウムストロンチウム)薄膜、すなわち(Ba,Sr)TiO薄膜を形成する。
<Repetition step (S10)>
After the ALD step (S9) using the third source gas, steps S6 to S9 are defined as one cycle, and this cycle is repeated a predetermined number of times, whereby a BST (barium strontium titanate) thin film having a desired thickness is formed on the wafer 200. That is, a (Ba, Sr) TiO 3 thin film is formed.

<基板搬出工程(S11)>
その後、上述した基板搬入工程(S1)、基板載置工程(S2)に示した手順とは逆の手順により、所望膜厚の薄膜を形成した後のウェハ200を処理室201内から搬送室271内へ搬出して、本実施形態にかかる基板処理工程を完了する。
<Substrate unloading step (S11)>
Thereafter, the wafer 200 after forming a thin film with a desired film thickness is transferred from the processing chamber 201 to the transfer chamber 271 by a procedure reverse to the procedure shown in the substrate carry-in step (S1) and the substrate placement step (S2). The substrate processing step according to this embodiment is completed.

なお、薄膜形成工程をALD法により行う場合、処理温度を原料ガスが自己分解しない程度の温度帯となるように制御する。この場合、各原料ガスを用いたALD工程(S6〜S9)において各原料ガスを供給する際には、原料ガスは熱分解することなくウェハ200上に吸着する。また、オゾンガスを供給する際には、ウェハ200上に吸着している原料ガス分子とオゾンガスとが反応することにより、ウェハ200上に1原子層未満(1Å未満)程度の薄膜が形成される。なお、このとき、オゾンガスにより薄膜中に混入するC,H等の不純物を脱離させることが出来る。   Note that when the thin film forming process is performed by the ALD method, the processing temperature is controlled so as to be a temperature range in which the source gas is not self-decomposed. In this case, when supplying each source gas in the ALD process (S6 to S9) using each source gas, the source gas is adsorbed on the wafer 200 without being thermally decomposed. Further, when ozone gas is supplied, the raw material gas molecules adsorbed on the wafer 200 react with the ozone gas, whereby a thin film of less than one atomic layer (less than 1 cm) is formed on the wafer 200. At this time, impurities such as C and H mixed in the thin film can be desorbed by ozone gas.

なお、本実施の形態におけるウェハ200の処理条件としては、例えば(Ba,Sr)TiOの薄膜を形成する場合、
処理温度:250〜450℃、
処理圧力:10〜200Pa、
第1液体原料Sr(C1425(略称;Sr(METHD)) 0.1mol/L ECH希釈)供給流量:0.01〜0.5cc/min、
第2液体原料Ba(C1425(略称;Ba(METHD)) 0.1mol/L ECH希釈)供給流量:0.01〜0.5cc/min、
第3液体原料Ti(C11)(C1119(略称;Ti(MPD)(THD)) 0.1mol/L ECH希釈)供給流量:0.01〜0.5cc/min、
反応物(オゾンガス)供給流量:500〜2000sccm(オゾン濃度20〜200g/Nm)、
洗浄液(ECH)供給流量:0.05〜0.5cc/min、
気化器(気化室)内温度:250℃程度、
気化器(気化室)内圧力:数〜10Torr、
が例示される。なお、本実施形態では、各液体原料を希釈する溶媒、および洗浄液として、同一の物質(ECH)を用いている。
As a processing condition of the wafer 200 in the present embodiment, for example, when forming a thin film of (Ba, Sr) TiO 3 ,
Processing temperature: 250-450 ° C.
Processing pressure: 10 to 200 Pa,
First liquid raw material Sr (C 14 O 4 H 25 ) 2 (abbreviation: Sr (METHD) 2 ) 0.1 mol / L ECH dilution) Supply flow rate: 0.01 to 0.5 cc / min,
Second liquid raw material Ba (C 14 O 4 H 25 ) 2 (abbreviation: Ba (METHD) 2 ) 0.1 mol / L ECH dilution) Supply flow rate: 0.01 to 0.5 cc / min,
Third liquid raw material Ti (C 6 O 2 H 11 ) (C 11 O 2 H 19 ) 2 (abbreviation: Ti (MPD) (THD) 2 ) 0.1 mol / L ECH dilution) Supply flow rate: 0.01 to 0 .5cc / min,
Reactant (ozone gas) supply flow rate: 500 to 2000 sccm (ozone concentration 20 to 200 g / Nm 3 ),
Cleaning liquid (ECH) supply flow rate: 0.05 to 0.5 cc / min,
Temperature in vaporizer (vaporization chamber): about 250 ° C,
Vaporizer (vaporization chamber) pressure: several to 10 Torr,
Is exemplified. In the present embodiment, the same substance (ECH) is used as a solvent for diluting each liquid raw material and a cleaning liquid.

(3)第1実施形態にかかる効果
本実施形態によれば、気化器229s,229b,229tで液体原料を気化して得た原料ガスを処理室201内へ供給する時以外の時に、気化器229s,229b,229tの液体原料流路21s,21b,21t内に不活性ガスを供給してパージするのではなく、液体原料を希釈する溶媒(ECH等)を供給することにより、気化器229s,229b,229t内の洗浄を実施している。これにより、液体原料を溶媒で希釈したままの粘性の低い状態で、液体原料流路21s,21b,21t内を洗浄することが出来る。すなわち、液体原料流路21s,21b,21t内において溶媒だけが先に蒸発してしまい、有機金属液体原料だけが残留してしまうことを抑制することが出来る。
(3) Effects According to the First Embodiment According to the present embodiment, the vaporizer is used at a time other than when the raw material gas obtained by vaporizing the liquid raw material in the vaporizers 229s, 229b, and 229t is supplied into the processing chamber 201. The vaporizers 229s, 229s, 229b, and 229t are supplied with a solvent (ECH or the like) for diluting the liquid raw material, instead of supplying an inert gas into the liquid raw material flow paths 21s, 21b, and 21t of the 229s, 229b, and 229t. 229b and 229t are cleaned. Thereby, the inside of the liquid source flow paths 21s, 21b, and 21t can be cleaned in a low viscosity state while the liquid source is diluted with the solvent. That is, it can be suppressed that only the solvent evaporates first in the liquid source flow paths 21s, 21b, and 21t, and only the organometallic liquid source remains.

また、本実施形態によれば、開閉バルブvs1,vb1,vt1を閉めた直後、すなわち、気化器229s,229b,229tへの液体原料の供給を停止した直後に、気化器
229s,229b,229t内の洗浄を開始している。そのため、気化器229s,229b,229tへの液体原料の供給停止後、液体原料流路21s,21b,21t内に有機金属液体原料が残留し、液体原料流路21s,21b,21t内が有機金属液体原料により閉塞されてしまうことを抑制することが可能となる。
Further, according to the present embodiment, immediately after the on-off valves vs1, vb1, vt1 are closed, that is, immediately after the supply of the liquid material to the vaporizers 229s, 229b, 229t is stopped, the inside of the vaporizers 229s, 229b, 229t. Has started cleaning. Therefore, after the supply of the liquid source to the vaporizers 229s, 229b, and 229t is stopped, the organometallic liquid source remains in the liquid source channels 21s, 21b, and 21t, and the inside of the liquid source channels 21s, 21b, and 21t is organometallic. It is possible to suppress clogging with the liquid material.

以上のとおり、本実施形態によれば、気化器229s,229b,229tへの液体原料の供給停止時に気化器229s,229b,229tの液体原料流路21s,21b,21t内からの有機金属液体原料の除去が促進され、有機金属液体原料による液体原料流路21s,21b,21t内の閉塞が抑制される。   As described above, according to the present embodiment, the organometallic liquid source from the liquid source channels 21s, 21b, and 21t of the vaporizers 229s, 229b, and 229t when the supply of the liquid source to the vaporizers 229s, 229b, and 229t is stopped. Is promoted, and blockage in the liquid material flow paths 21s, 21b, and 21t due to the organometallic liquid material is suppressed.

<本発明の他の実施態様>
上述の実施形態では、第1原料ガス供給管213s、第2原料ガス供給管213b、及び第3原料ガス供給管213tの下流側端部は、合流するように一本化して原料ガス供給管213となり、一本化した原料ガス供給管213が、ガス導入口210に接続されているが、本発明は上述の実施形態に限定されない。すなわち、第1原料ガス供給管213s、第2原料ガス供給管213b、及び第3原料ガス供給管213tの下流側端部が、シャワーヘッド240の上面(天井壁)にそれぞれ直接に接続されていても良い。
<Other embodiments of the present invention>
In the above-described embodiment, the downstream end portions of the first source gas supply pipe 213s, the second source gas supply pipe 213b, and the third source gas supply pipe 213t are unified so as to merge, and the source gas supply pipe 213 is joined. Thus, the unified source gas supply pipe 213 is connected to the gas inlet 210, but the present invention is not limited to the above-described embodiment. That is, downstream end portions of the first source gas supply pipe 213s, the second source gas supply pipe 213b, and the third source gas supply pipe 213t are directly connected to the upper surface (ceiling wall) of the shower head 240, respectively. Also good.

また、上述の実施形態では、オゾンガス供給管213oの下流側端部は、原料ガス供給管213に合流するように接続されているが、本発明は上述の実施形態に限定されない。すなわち、オゾンガス供給管213oの下流側端部が、シャワーヘッド240の上面(天井壁)に直接に接続されていても良い。   In the above-described embodiment, the downstream end of the ozone gas supply pipe 213o is connected so as to join the source gas supply pipe 213, but the present invention is not limited to the above-described embodiment. That is, the downstream end of the ozone gas supply pipe 213o may be directly connected to the upper surface (ceiling wall) of the shower head 240.

また、上述の実施形態では、各原料ガスの処理室201への1回の供給動作毎に(気化器229s,229b,229tへの各液体原料の1回の吐出動作毎に)、各気化器229s,229b,229tの液体原料流路21s,21b,21t内を洗浄する場合について説明したが、本発明は上述の実施形態に限定されない。すなわち、気化器229s,229b,229tの液体原料流路21s,21b,21t内の洗浄は、各原料ガスの複数回の供給動作毎に、例えば、2回の供給動作毎に行うこととしても良い。ただし、上述の実施形態のように1回の供給動作毎に洗浄を行う方が、各気化器229s,229b,229tの液体原料流路21s,21b,21t内の洗浄が促され、液体原料の気化動作がより安定するため好ましい。   In the above-described embodiment, each vaporizer is supplied for each supply operation of each raw material gas to the processing chamber 201 (for each discharge operation of each liquid raw material to the vaporizers 229s, 229b, and 229t). Although the case where the liquid source flow paths 21s, 21b, and 21t of 229s, 229b, and 229t are cleaned has been described, the present invention is not limited to the above-described embodiment. That is, the cleaning of the liquid raw material flow paths 21s, 21b, and 21t of the vaporizers 229s, 229b, and 229t may be performed every plural supply operations of the respective raw material gases, for example, every two supply operations. . However, the cleaning in each supply operation as in the above-described embodiment promotes the cleaning of the liquid source flow paths 21s, 21b, and 21t of the vaporizers 229s, 229b, and 229t, and the liquid source This is preferable because the vaporization operation is more stable.

また、上述の実施形態では、各気化器229s,229b,229tの液体原料流路21s,21b,21t内の洗浄動作を、気化動作を行う時以外の時は常に行うようにしているが、本発明は上述の実施形態に限定されない。例えば、各気化器229s,229b,229tの液体原料流路21s,21b,21t内の洗浄動作は、液体原料流路21s,21b,21t内の有機金属液体原料が除去されれば、気化動作を行う時以外の時であっても停止してよい。   Further, in the above-described embodiment, the cleaning operation in the liquid raw material flow paths 21s, 21b, and 21t of the vaporizers 229s, 229b, and 229t is always performed at times other than when the vaporization operation is performed. The invention is not limited to the embodiments described above. For example, the cleaning operation in the liquid material channels 21s, 21b, and 21t of the vaporizers 229s, 229b, and 229t is performed when the organometallic liquid material in the liquid material channels 21s, 21b, and 21t is removed. It may be stopped even when it is not performed.

また、逆に、各気化器229s,229b,229tの液体原料流路21s,21b,21t内の洗浄動作は、液体原料の気化動作を行う時以外の時だけでなく、液体原料の気化動作を行う時にも行うようにしてもよい。すなわち、液体原料の気化動作を行う時やそれ以外の時にかかわらず、常時、各気化器229s,229b,229tの液体原料流路21s,21b,21t内に洗浄液を供給し続けるようにしてもよい。その場合、液体原料の気化動作を行う時に、液体原料流路21s,21b,21t内に供給する洗浄液は、液体原料を希釈する溶媒の一部としても機能することとなる。この場合、上述の実施形態のように、液体原料を希釈する溶媒と洗浄液とは同一の物質とするのが好ましい。なお、液体原料の気化動作中に洗浄液を供給する場合には、処理室201内へ供給される原料ガスの供給流量や濃度が所望の値になるように、液体原料、希釈溶媒、洗浄液の分量比率を
適宜調整することが好ましい。その場合、例えば、液体原料の気化動作時に供給する洗浄液の流量よりも、液体原料の気化動作時以外の時に供給する洗浄液の流量の方が多くなるようにし、液体原料の気化動作時以外の時に積極的に洗浄を行うようにしてもよい。また、液体原料の気化動作時に供給する洗浄液の流量と液体原料の気化動作時以外の時に供給する洗浄液の流量を一定とし、液体原料の気化動作時以外の時であって、液体原料の気化動作を所定回数行う毎に、洗浄液の流量を液体原料の気化動作時に供給する洗浄液の流量よりも大流量とするフラッシング動作を行うようにしてもよい。
Conversely, the cleaning operation in the liquid material flow paths 21s, 21b, and 21t of the vaporizers 229s, 229b, and 229t is performed not only when the liquid material is vaporized, but also when the liquid material is vaporized. You may make it carry out also when performing. In other words, the cleaning liquid may be continuously supplied into the liquid raw material flow paths 21s, 21b, and 21t of the vaporizers 229s, 229b, and 229t regardless of whether or not the liquid raw material is vaporized. . In that case, when performing the vaporization operation of the liquid material, the cleaning liquid supplied into the liquid material flow paths 21s, 21b, and 21t also functions as a part of the solvent for diluting the liquid material. In this case, as in the above-described embodiment, it is preferable that the solvent for diluting the liquid material and the cleaning liquid are the same substance. When supplying the cleaning liquid during the vaporization operation of the liquid source, the amount of the liquid source, the dilution solvent, and the cleaning liquid are adjusted so that the supply flow rate and concentration of the source gas supplied into the processing chamber 201 become a desired value. It is preferable to adjust the ratio appropriately. In that case, for example, the flow rate of the cleaning liquid supplied at a time other than the liquid material vaporizing operation is larger than the flow rate of the cleaning liquid supplied at the time of the liquid raw material vaporizing operation. You may make it wash | clean actively. In addition, the flow rate of the cleaning liquid supplied during the liquid material vaporization operation and the flow rate of the cleaning liquid supplied at times other than during the liquid raw material vaporization operation are constant, and the liquid raw material vaporization operation is performed at a time other than during the liquid raw material vaporization operation. Each time a predetermined number of times is performed, a flushing operation may be performed in which the flow rate of the cleaning liquid is larger than the flow rate of the cleaning liquid supplied during the liquid material vaporization operation.

(第2実施形態)
次に本発明の第2実施形態について説明する。第2実施形態では、主に上述のフラッシング動作等について説明する。
(Second Embodiment)
Next, a second embodiment of the present invention will be described. In the second embodiment, the above-described flushing operation and the like will be mainly described.

上述のように、半導体製造過程において金属元素を含んだ薄膜を作成する場合、金属原子に炭素、水素、窒素などを化学的に付加させた有機金属材料を原料として用いる場合がある。有機金属とすることで蒸気圧が上がり、常温近傍の温度で液体として原料を取り扱うことができる。また常温で固体の有機金属や粘性の非常に高い液体原料であっても、有機溶媒に可溶であれば溶媒に希釈(溶解)して液体化した原料(以下、液体化原料と称す)とすることで低粘性の液体として取り扱うことができる。このような液体原料、液体化原料(本明細書では、これらを総称して、単に液体原料という場合もある。)は気化器によって気化された後、半導体製造装置の反応室内に供給されて成膜に寄与するが、これまでの気化器には以下のような問題点があった。   As described above, when a thin film containing a metal element is formed in a semiconductor manufacturing process, an organometallic material in which carbon, hydrogen, nitrogen, or the like is chemically added to a metal atom may be used as a raw material. By using an organic metal, the vapor pressure increases, and the raw material can be handled as a liquid at a temperature near room temperature. Moreover, even if it is a solid organic metal or a liquid material having a very high viscosity at room temperature, if it is soluble in an organic solvent, it is diluted (dissolved) in the solvent and liquefied (hereinafter referred to as a liquefied raw material) By doing so, it can be handled as a low viscosity liquid. Such liquid raw materials and liquefied raw materials (in the present specification, these are collectively referred to simply as liquid raw materials) are vaporized by a vaporizer and then supplied into a reaction chamber of a semiconductor manufacturing apparatus. Although contributing to the membrane, conventional vaporizers have the following problems.

スプレーのような噴霧機構で気化を行う気化器の場合、液体原料を細い配管(ノズル)を通して気化室内に噴霧することで原料を霧化して気化させる。したがって粘性のある原料を気化する場合は噴霧器の細い配管内に原料が吸着、残留して配管閉塞を起こす場合があった。また気化室内は通常霧化した原料を気化させるために高温に保持されているが、粘性の低い液体であってもその熱輻射により噴霧機構近傍の液体原料が分解し、分解生成物が配管を閉塞させる場合もあった。分解生成物は溶媒に溶解しない場合が多く、除去には分解清掃等の手段をとるしかない。   In the case of a vaporizer that performs vaporization with a spray mechanism such as a spray, the raw material is atomized and vaporized by spraying the liquid raw material into the vaporizing chamber through a thin pipe (nozzle). Therefore, when a viscous raw material is vaporized, the raw material may be adsorbed and remain in the thin pipe of the sprayer, causing the pipe to be blocked. The vaporization chamber is usually kept at a high temperature to vaporize the atomized raw material, but even if it is a low viscosity liquid, the liquid raw material in the vicinity of the spray mechanism is decomposed by the thermal radiation, and the decomposition products are connected to the piping. In some cases, it was occluded. Decomposition products often do not dissolve in the solvent, and removal can only be done by means such as decomposition cleaning.

また液体化原料においてもいわゆる「先飛び」現象による配管閉塞が起こることが多かった。これは通常気化室内が減圧に保たれているのに対し、原料は常圧で供給されるため、噴霧器の細い配管の内部は気化室に近づくにつれて減圧となり、気化しやすい溶媒が先に気化室内に蒸発してしまい、溶質である固体原料や粘性の高い液体原料が細い配管内に残ってしまうことによる。この固体や粘性の強い液体が配管の閉塞をもたらしていた。   Further, even in the liquefied raw material, the pipe clogging due to the so-called “first jump” phenomenon often occurs. This is because the inside of the vaporization chamber is normally kept at a reduced pressure, whereas the raw material is supplied at a normal pressure, so the inside of the fine pipe of the sprayer is reduced in pressure as it approaches the vaporization chamber, and the solvent that is easy to vaporize first. This is because the solid raw material which is a solute or the liquid raw material having a high viscosity remains in the thin pipe. This solid or highly viscous liquid caused blockage of the piping.

本実施形態では、特に気化器の噴霧機構部に閉塞が発生しやすい原料を長期間安定的に気化させるための気化器の運用方法、すなわち、フラッシング動作等により、液体原料流路内の閉塞を、より一層抑制する方法について説明する。   In this embodiment, the clogging in the liquid raw material flow path is particularly achieved by the operation method of the vaporizer for stably vaporizing the material that is likely to be clogged in the spray mechanism of the vaporizer for a long period of time, that is, by the flushing operation or the like. The method of further suppressing will be described.

図8に第2実施形態における基板処理装置のガス供給系の構成例を示す。なお、図8に示す第2実施形態における基板処理装置のガス供給系は、図1に示す第1実施形態における基板処理装置のガス供給系のうち2つの原料供給ライン、すなわちSr原料(第1原料)を供給するラインと、Ti原料(第3原料)を供給するラインと、更にオゾンガス(反応ガス)を供給するラインの一部分を抜き出したものである。第2実施形態における各供給ライン及び基板処理装置の構成は、第1実施形態における各供給ライン及び基板処理装置の構成と同様である。なお、図8において、図1で説明した要素と実質的に同一の要素には同一の符号を付し、その説明を省略する。   FIG. 8 shows a configuration example of a gas supply system of the substrate processing apparatus in the second embodiment. Note that the gas supply system of the substrate processing apparatus in the second embodiment shown in FIG. 8 is the two raw material supply lines of the gas supply system of the substrate processing apparatus in the first embodiment shown in FIG. Part of a line for supplying a raw material), a line for supplying a Ti raw material (third raw material), and a line for further supplying ozone gas (reactive gas) are extracted. The configuration of each supply line and substrate processing apparatus in the second embodiment is the same as the configuration of each supply line and substrate processing apparatus in the first embodiment. In FIG. 8, elements that are substantially the same as those described in FIG. 1 are given the same reference numerals, and descriptions thereof are omitted.

本実施形態における気化器には、液体原料を供給する配管(液体原料供給管)と、その
原料を溶解することのできる溶媒を供給する配管(溶媒供給管)と、キャリアガス配管(キャリアガス供給管)が、それぞれ流量調節器を介して接続されている。本実施形態では本構成をもつ気化器を2つ使用する。すなわち、本実施形態における気化器229t、229sには、液体原料供給管211t、211sと、溶媒供給管(洗浄液供給管)212t、212sと、キャリアガス供給管218t、218sが、それぞれ流量調節器221t、221s、222t、222s、225t、225sを介して接続されている。
The vaporizer in this embodiment includes a pipe for supplying a liquid source (liquid source supply pipe), a pipe for supplying a solvent capable of dissolving the source (solvent supply pipe), and a carrier gas pipe (carrier gas supply). Pipes) are each connected via a flow regulator. In the present embodiment, two vaporizers having this configuration are used. That is, the vaporizers 229t and 229s in this embodiment include liquid source supply pipes 211t and 211s, solvent supply pipes (cleaning liquid supply pipes) 212t and 212s, and carrier gas supply pipes 218t and 218s, respectively. 221s, 222t, 222s, 225t, and 225s.

以下、上述の第2実施形態の基板処理装置を用いて、半導体装置の製造工程の一工程として、2種類の原料を使用して、ALD法によりウェハ上に薄膜を形成する基板処理工程について、図9を参照しながら説明する。図9は、本発明の第2実施形態にかかる基板処理工程における気化器229t、229sへの原料供給による気化動作、溶媒供給による洗浄動作、溶媒大流量供給によるフラッシング動作のタイミングを示すタイミングチャートとしてのシーケンス図である。このタイミングチャートにおいて、横軸は時間、縦軸は原料、溶媒の流量を示している。なお、図9では、キャリアガス供給のタイミングについては省略してある。また、この図では、処理室201内で行われる各ステップ(A、B、P)の流れも示している。処理室201内で行われる各ステップを示すA、B、Pは、それぞれ、原料A(Ti原料)の供給、原料B(Sr原料)の供給、パージ及び酸化剤の供給(パージ/酸化剤の供給/パージ)を示している。なお、以下の説明において、基板処理装置を構成する各部の動作は、コントローラ280によって制御される。   Hereinafter, using the substrate processing apparatus of the second embodiment described above, as a process of manufacturing a semiconductor device, using two types of raw materials, a substrate processing process of forming a thin film on a wafer by the ALD method, This will be described with reference to FIG. FIG. 9 is a timing chart showing the timing of the vaporization operation by supplying the raw materials to the vaporizers 229t and 229s, the cleaning operation by supplying the solvent, and the flushing operation by supplying a large amount of solvent in the substrate processing process according to the second embodiment of the present invention. FIG. In this timing chart, the horizontal axis represents time, and the vertical axis represents the raw material and solvent flow rates. In FIG. 9, the timing of supplying the carrier gas is omitted. This figure also shows the flow of each step (A, B, P) performed in the processing chamber 201. A, B, and P showing the steps performed in the processing chamber 201 are the supply of the raw material A (Ti raw material), the supply of the raw material B (Sr raw material), the purge, and the supply of the oxidizing agent (purging / oxidizing agent). Supply / purge). In the following description, the operation of each part constituting the substrate processing apparatus is controlled by the controller 280.

第2実施形態おける基板処理工程が、第1実施形態における基板処理工程と異なるのは、第1実施形態の基板処理工程のうちS6〜S10の工程、すなわち、実質的に薄膜を形成する工程である成膜工程だけであり、その他の工程は同様である。以下、成膜工程について説明する。   The substrate processing step in the second embodiment is different from the substrate processing step in the first embodiment in the steps S6 to S10 of the substrate processing steps in the first embodiment, that is, the step of substantially forming a thin film. It is only a certain film forming process, and the other processes are the same. Hereinafter, the film forming process will be described.

成膜のための気化動作を始める場合は、まずバルブvt2、vs2、vt7、vs7を開け、原料A、B双方の系統における溶媒供給管212t、212sの流量調節器222t、222sでそれぞれ流量調整された溶媒を、キャリアガス供給管218t、218sの流量調整器225t、225sでそれぞれ流量調整されたキャリアガスと共に、気化器229t、229sの気化室20t、20s内に供給して気化させる。このときバルブvt3、vs3は閉じ、バルブvt5、vs5は開けた状態とするので、気化された溶媒はベントライン215t、215sに排気される。なお、このとき、溶媒は気化室20t,20s内に第1の流量で流すようにする。   When starting the vaporization operation for film formation, first, the valves vt2, vs2, vt7, and vs7 are opened, and the flow rate is adjusted by the flow rate regulators 222t and 222s of the solvent supply pipes 212t and 212s in both the raw materials A and B systems, respectively. The solvent is supplied into the vaporization chambers 20t and 20s of the vaporizers 229t and 229s together with the carrier gas whose flow rate is adjusted by the flow rate regulators 225t and 225s of the carrier gas supply pipes 218t and 218s, respectively. At this time, since the valves vt3 and vs3 are closed and the valves vt5 and vs5 are opened, the evaporated solvent is exhausted to the vent lines 215t and 215s. At this time, the solvent is allowed to flow through the vaporization chambers 20t and 20s at the first flow rate.

次に原料Aを供給する液体原料供給管211tのバルブvt1を開け、流量調節器221tで流量調整された原料Aを気化器229tの気化室20t内に導入して気化させる(気化サイクル)。この際にバルブvt2は開けたままとし、溶媒供給管212tから気化室20t内へ供給する溶媒は止めることなく流し続け、気化室20t内で原料Aと溶媒の混合気体を生成させる。なお、この際、バルブvt7も開けたままとする。このときバルブvt3、vs3は閉じ、バルブvt5、vs5は開けたままの状態とするので、原料Aと溶媒の混合気体はベントライン215tに排気される。なお、このときも、溶媒は気化室20t内に第1の流量で流すようにする。ただし液体原料が固体や粘性のある液体を溶媒に溶かした液体化原料である場合、原料中には既に溶媒が含まれているため、気化サイクルでの溶媒供給管212tから気化室20t内への溶媒供給は必須ではなく、省略しても良い。   Next, the valve vt1 of the liquid raw material supply pipe 211t for supplying the raw material A is opened, and the raw material A whose flow rate is adjusted by the flow rate controller 221t is introduced into the vaporizing chamber 20t of the vaporizer 229t and vaporized (vaporization cycle). At this time, the valve vt2 is kept open, the solvent supplied from the solvent supply pipe 212t into the vaporizing chamber 20t is kept flowing, and a mixed gas of the raw material A and the solvent is generated in the vaporizing chamber 20t. At this time, the valve vt7 is also kept open. At this time, since the valves vt3 and vs3 are closed and the valves vt5 and vs5 are kept open, the mixed gas of the raw material A and the solvent is exhausted to the vent line 215t. Also at this time, the solvent is allowed to flow into the vaporizing chamber 20t at the first flow rate. However, when the liquid raw material is a liquefied raw material obtained by dissolving a solid or viscous liquid in a solvent, since the raw material already contains the solvent, the solvent supply pipe 212t in the vaporization cycle into the vaporization chamber 20t is used. The solvent supply is not essential and may be omitted.

次にベントライン215tと原料ガス供給管213tのバルブvt5、vt3を切り替える。すなわち、ベントライン215tのバルブvt5を閉じ、原料ガス供給管213tのバルブvt3を開くことで、処理室201内に原料Aと溶媒の混合気体を導入する(A)。   Next, the valves vt5 and vt3 of the vent line 215t and the source gas supply pipe 213t are switched. That is, the mixed gas of the raw material A and the solvent is introduced into the processing chamber 201 by closing the valve vt5 of the vent line 215t and opening the valve vt3 of the raw material gas supply pipe 213t (A).

所定時間が経過したら、原料ガス供給管213tとベントライン215tのバルブvt3、vt5を切り替える。すなわち、原料ガス供給管213tのバルブvt3を閉じ、ベントライン215tのバルブvt5を開くことで、処理室201内への原料Aと溶媒の混合気体の導入を停止する。同時に、パージガス供給管214tのバルブvt4を開け処理室201内にパージガスを導入する。気化器229t側ではバルブvt1を閉じて原料Aの気化室20t内への供給を停止し、バルブvt2、vt7を開けたままとして溶媒とキャリアガスのみを気化室20t内へ供給することで、気化器229t、特に噴霧機構としての噴霧管(液体原料流路21t)付近に残留した原料を洗い流す(洗浄サイクル)。なお、このときも、溶媒は気化室20t内に第1の流量で流すようにする。なお、洗浄サイクルは、次の気化サイクル、すなわち、次に原料Aを気化器229tの気化室20t内へ供給する時まで継続して行われる。この間に処理室201内の原料Aの残留ガスはパージガスによってパージされ、パージがなされた後、処理室201内に酸化剤(オゾンガス)が供給され、所定時間が経過したら、再び処理室201内がパージガスによりパージされる(P)。   When the predetermined time elapses, the source gas supply pipe 213t and the valves vt3 and vt5 of the vent line 215t are switched. That is, by closing the valve vt3 of the source gas supply pipe 213t and opening the valve vt5 of the vent line 215t, the introduction of the mixed gas of the source A and the solvent into the processing chamber 201 is stopped. At the same time, the purge gas is introduced into the processing chamber 201 by opening the valve vt4 of the purge gas supply pipe 214t. On the vaporizer 229t side, the valve vt1 is closed to stop the supply of the raw material A into the vaporization chamber 20t, and only the solvent and the carrier gas are supplied into the vaporization chamber 20t while the valves vt2 and vt7 are kept open. The raw material remaining in the vicinity of the vessel 229t, particularly in the vicinity of the spray pipe (liquid raw material flow path 21t) as a spray mechanism is washed away (cleaning cycle). Also at this time, the solvent is allowed to flow into the vaporizing chamber 20t at the first flow rate. The cleaning cycle is continued until the next vaporization cycle, that is, the time when the raw material A is next fed into the vaporization chamber 20t of the vaporizer 229t. During this time, the residual gas of the raw material A in the processing chamber 201 is purged by the purge gas. After purging, the oxidizing agent (ozone gas) is supplied into the processing chamber 201, and after a predetermined time has passed, Purge with a purge gas (P).

次に原料Bを供給する液体原料供給管211sのバルブvs1を開け、流量調節器221sで流量調整された原料Bを気化器229sの気化室20s内に導入して気化させる(気化サイクル)。この際にバルブvs2は開けたままとし、溶媒供給管212sから気化室20s内へ供給する溶媒は止めることなく流し続け、気化室20s内で原料Bと溶媒の混合気体を生成させる。なお、この際、バルブvs7も開けたままとする。このときバルブvt3、vs3は閉じ、バルブvt5、vs5は開けたままの状態とするので、原料Bと溶媒の混合気体はベントライン215sに排気される。なお、このときも、溶媒は気化室20s内に第1の流量で流すようにする。ただし液体原料が固体や粘性のある液体を溶媒に溶かした液体化原料である場合、原料中には既に溶媒が含まれているため、気化サイクルでの溶媒供給管212sから気化室20s内への溶媒供給は必須ではなく、省略しても良い。   Next, the valve vs1 of the liquid material supply pipe 211s for supplying the material B is opened, and the material B whose flow rate is adjusted by the flow rate controller 221s is introduced into the vaporizing chamber 20s of the vaporizer 229s and vaporized (vaporization cycle). At this time, the valve vs2 is kept open, and the solvent supplied from the solvent supply pipe 212s into the vaporizing chamber 20s continues to flow without stopping, and a mixed gas of the raw material B and the solvent is generated in the vaporizing chamber 20s. At this time, the valve vs7 is also kept open. At this time, since the valves vt3 and vs3 are closed and the valves vt5 and vs5 are kept open, the mixed gas of the raw material B and the solvent is exhausted to the vent line 215s. Also at this time, the solvent is allowed to flow into the vaporizing chamber 20s at the first flow rate. However, when the liquid raw material is a liquefied raw material in which a solid or viscous liquid is dissolved in a solvent, since the raw material already contains the solvent, the solvent supply pipe 212s in the vaporization cycle enters the vaporizing chamber 20s. The solvent supply is not essential and may be omitted.

次にベントライン215sと原料ガス供給管213sのバルブvs5、vs3を切り替える。すなわち、ベントライン215sのバルブvs5を閉じ、原料ガス供給管213sのバルブvs3を開くことで、処理室201内に原料Bと溶媒の混合気体を導入する(B)。   Next, the valves vs5 and vs3 of the vent line 215s and the source gas supply pipe 213s are switched. That is, by closing the valve vs5 of the vent line 215s and opening the valve vs3 of the raw material gas supply pipe 213s, the mixed gas of the raw material B and the solvent is introduced into the processing chamber 201 (B).

所定時間が経過したら、原料ガス供給管213sとベントライン215sのバルブvs3、vs5を切り替える。すなわち、原料ガス供給管213sのバルブvs3を閉じ、ベントライン215sのバルブvs5を開くことで、処理室201内への原料Bと溶媒の混合気体の導入を停止する。同時に、パージガス供給管214sのバルブvs4を開け処理室201内にパージガスを導入する。気化器229s側ではバルブvs1を閉じて原料Bの気化室20s内への供給を停止し、バルブvs2、vs7を開けたままとして溶媒とキャリアガスのみを気化室20s内へ供給することで、気化器229s、特に噴霧機構としての噴霧管(液体原料流路21s)付近に残留した原料を洗い流す(洗浄サイクル)。なお、このときも、溶媒は気化室20s内に第1の流量で流すようにする。なお、洗浄サイクルは、次の気化サイクル、すなわち、次に原料Bを気化器229sの気化室20s内へ供給する時まで継続して行われる。この間に処理室201内の原料Bの残留ガスはパージガスによってパージされ、パージがなされた後、処理室201内に酸化剤(オゾンガス)が供給され、所定時間が経過したら、再び処理室201内がパージガスによりパージされる(P)。   When the predetermined time has elapsed, the valves vs3 and vs5 of the source gas supply pipe 213s and the vent line 215s are switched. That is, by closing the valve vs3 of the source gas supply pipe 213s and opening the valve vs5 of the vent line 215s, the introduction of the mixed gas of the source B and the solvent into the processing chamber 201 is stopped. At the same time, the valve vs4 of the purge gas supply pipe 214s is opened to introduce the purge gas into the processing chamber 201. On the vaporizer 229s side, the valve vs1 is closed to stop the supply of the raw material B into the vaporization chamber 20s, and only the solvent and the carrier gas are supplied into the vaporization chamber 20s with the valves vs2 and vs7 open. The raw material remaining in the vicinity of the vessel 229s, in particular, the spray pipe (liquid raw material channel 21s) as a spray mechanism is washed away (cleaning cycle). Also at this time, the solvent is allowed to flow into the vaporizing chamber 20s at the first flow rate. The cleaning cycle is continued until the next vaporization cycle, that is, the time when the raw material B is next supplied into the vaporization chamber 20s of the vaporizer 229s. During this time, the residual gas of the raw material B in the processing chamber 201 is purged with a purge gas, and after purging, an oxidizing agent (ozone gas) is supplied into the processing chamber 201, and after a predetermined time has passed, the inside of the processing chamber 201 is returned again. Purge with a purge gas (P).

図9に示すように、処理室201内では、原料Aの供給(A)、パージ、酸化剤の供給、パージ(P)、原料Bの供給(B)、パージ、酸化剤の供給、パージ(P)を1サイク
ル(成膜サイクル)として、このサイクルを所定回数繰り返す。これにより、ウェハ200上に所望の膜厚のSTO(チタン酸ストロンチウム)薄膜、すなわちSrTiO薄膜が形成される。この間、気化器229t、229sでは、気化サイクルと洗浄サイクルが交互に繰り返される。なお、成膜サイクルでは、原料Aの供給(A)、パージ、酸化剤の供給、パージ(P)、原料Bの供給(B)、パージ、酸化剤の供給、パージ(P)、を1サイクル(成膜サイクル)として、このサイクルを所定回数繰り返すようにしたが、例えば、原料Aの供給(A)、パージ、原料Bの供給(B)、パージ、酸化剤の供給、パージ、を1サイクル(成膜サイクル)として、このサイクルを所定回数繰り返すようにしてもよい。これらの場合も、気化器229t、229sでは、気化サイクルと洗浄サイクルが交互に繰り返される。なお、図9の場合、気化サイクルにおいても気化器229t、229sには溶媒が連続的に流れるので、気化サイクルでは、原料の気化と同時に気化器229t、229sの洗浄も行われることとなる。すなわち、気化サイクル、洗浄サイクルにかかわらず、気化器229t、229sの洗浄は連続的に行われることとなる。
As shown in FIG. 9, in the processing chamber 201, supply of the raw material A (A), purge, supply of oxidant, purge (P), supply of raw material B (B), purge, supply of oxidant, purge ( P) is one cycle (film formation cycle), and this cycle is repeated a predetermined number of times. As a result, an STO (strontium titanate) thin film, that is, a SrTiO 3 thin film having a desired film thickness is formed on the wafer 200. During this time, in the vaporizers 229t and 229s, the vaporization cycle and the cleaning cycle are alternately repeated. In the film forming cycle, the supply of the raw material A (A), the purge, the supply of the oxidant, the purge (P), the supply of the raw material B (B), the purge, the supply of the oxidant, and the purge (P) are performed in one cycle. As the (film formation cycle), this cycle is repeated a predetermined number of times. For example, the supply of the raw material A (A), the purge, the supply of the raw material B (B), the purge, the supply of the oxidizing agent, and the purge are performed in one cycle. As the (film formation cycle), this cycle may be repeated a predetermined number of times. Also in these cases, in the vaporizers 229t and 229s, the vaporization cycle and the cleaning cycle are alternately repeated. In the case of FIG. 9, since the solvent continuously flows through the vaporizers 229t and 229s even in the vaporization cycle, the vaporizers 229t and 229s are cleaned simultaneously with the vaporization of the raw material. That is, regardless of the vaporization cycle and the cleaning cycle, the vaporizers 229t and 229s are continuously cleaned.

これまで述べた通り、気化サイクル後の洗浄サイクルにより気化器229t、229sの閉塞を抑制できるが、原料の性質によっては、気化サイクル中も気化器229t、229sに対し溶媒を流し続ける場合、あるいは溶媒を流さないで閉止する場合や流量を変更する場合もあることは先に述べたとおりである。   As described above, the clogging of the vaporizers 229t and 229s can be suppressed by the cleaning cycle after the vaporization cycle. However, depending on the nature of the raw material, the solvent is continuously supplied to the vaporizers 229t and 229s during the vaporization cycle, or the solvent As described above, the valve may be closed without flowing or the flow rate may be changed.

以下、気化器229t、229sの閉塞を更に抑制するためのフラッシング動作について述べる。フラッシング動作とは、気化サイクル時以外の時すなわち洗浄サイクル時であって、気化サイクルを所定回数行う毎に、気化器229t、229sに供給する溶媒の流量を、通常の洗浄サイクル時や気化サイクル時に供給する溶媒の流量すなわち第1の流量よりも大流量である第2の流量として、気化器229t、229sを洗浄する動作のことである。図9では、フラッシング動作を、処理室201内での成膜サイクル(4)で実施する例、すなわち気化サイクルを4回行う毎に実施する例を示している。図9では、成膜サイクル(4)での気化サイクル後の洗浄サイクルで、溶媒の流量を通常の洗浄サイクル時や気化サイクル時の少なくとも2倍以上として、気化器229t、229sに一時的に供給している。この際キャリアガスの流量も変化させてもよい。   Hereinafter, a flushing operation for further suppressing the blockage of the vaporizers 229t and 229s will be described. The flushing operation is a time other than the vaporization cycle, that is, the cleaning cycle, and every time the vaporization cycle is performed a predetermined number of times, the flow rate of the solvent supplied to the vaporizers 229t and 229s is changed during the normal cleaning cycle and the vaporization cycle. This is an operation of cleaning the vaporizers 229t and 229s as the flow rate of the solvent to be supplied, that is, the second flow rate that is larger than the first flow rate. FIG. 9 shows an example in which the flushing operation is performed in the film formation cycle (4) in the processing chamber 201, that is, an example in which the flushing operation is performed every four vaporization cycles. In FIG. 9, in the cleaning cycle after the vaporization cycle in the film formation cycle (4), the solvent flow rate is temporarily supplied to the vaporizers 229t and 229s with at least twice the flow rate of the normal cleaning cycle or the vaporization cycle. is doing. At this time, the flow rate of the carrier gas may be changed.

気化サイクル毎に通常の洗浄サイクルを実施するのみでは、気化器229t,229s内に付着したり残留したりしている原料残渣を完全に除去することは困難な場合がある。そして、原料残渣を完全に除去することなく気化サイクルと洗浄サイクルとを定常的に繰り返すこととすれば、繰り返しに伴って気化器229t,229s内の原料残渣が累積的に増加してしまう場合がある。これに対し、所定回数の気化サイクルを実施する毎に気化器229t、229sの噴霧機構部分(液体原料流路21t、21s)に通常より多量の溶媒を高速度で流すことにより、洗浄効果は通常の洗浄サイクルでの洗浄効果より非常に大きいものとなり、通常の洗浄サイクルで除去しきれなかった原料残渣などを除去できる。すなわち、所定回数の気化サイクルを実施する毎にフラッシング動作を実施することとすれば、気化サイクルと洗浄サイクルとの定常的な繰り返しに変化を与えることができ、累積的に増加してしまった気化器229t,229s内の原料残渣を除去することができる。そして、気化器229t、229sのメンテナンス周期を長くすることができる。   It may be difficult to completely remove the raw material residue adhering to or remaining in the vaporizers 229t and 229s only by performing a normal cleaning cycle for each vaporization cycle. If the vaporization cycle and the cleaning cycle are regularly repeated without completely removing the raw material residue, the raw material residue in the vaporizers 229t and 229s may increase cumulatively with the repetition. is there. On the other hand, each time a predetermined number of vaporization cycles are performed, the cleaning effect is usually achieved by flowing a larger amount of solvent at a higher speed than usual in the spray mechanism portions (liquid raw material flow paths 21t, 21s) of the vaporizers 229t, 229s. The cleaning effect in the cleaning cycle is much greater than that in the cleaning cycle, and it is possible to remove raw material residues that could not be removed in the normal cleaning cycle. In other words, if the flushing operation is performed every time a predetermined number of vaporization cycles are performed, the steady repetition of the vaporization cycle and the cleaning cycle can be changed, and the vaporization that has increased cumulatively. The raw material residue in the vessels 229t and 229s can be removed. And the maintenance period of vaporizer 229t, 229s can be lengthened.

この動作サイクルをフラッシングサイクルと呼ぶ。通常の洗浄サイクルに対するフラッシングサイクルの実施頻度、および時間、溶媒流量は原料物性により異なるため、実験的に決められるが、実施頻度については、概ね数十回の気化サイクル毎に実施することが効果的である。   This operation cycle is called a flushing cycle. Since the frequency, time, and solvent flow rate of the flushing cycle with respect to the normal cleaning cycle vary depending on the physical properties of the raw material, they are determined experimentally, but it is effective to perform the frequency approximately every tens of vaporization cycles. It is.

なお、本実施形態の気化サイクル及び通常の洗浄サイクルのそれぞれにおける気化器229t、229s(気化室20t、20s)内の温度、圧力、溶媒(ECH)流量、キャ
リアガス流量としては、
気化器(気化室)内温度:250℃程度、
気化器(気化室)内圧力:数〜10Torr、
溶媒供給流量(第1の流量):0.05〜0.5cc/min、
キャリアガス供給流量:1〜4slm、
が例示される。
As the temperature, pressure, solvent (ECH) flow rate, and carrier gas flow rate in the vaporizers 229t and 229s (vaporization chambers 20t and 20s) in each of the vaporization cycle and the normal cleaning cycle of the present embodiment,
Temperature in vaporizer (vaporization chamber): about 250 ° C,
Vaporizer (vaporization chamber) pressure: several to 10 Torr,
Solvent supply flow rate (first flow rate): 0.05 to 0.5 cc / min,
Carrier gas supply flow rate: 1-4 slm,
Is exemplified.

また、本実施の形態のフラッシングサイクルにおける気化器229t、229s(気化室20t、20s)内の温度、圧力、溶媒(ECH)流量、キャリアガス流量としては、
気化器(気化室)内温度:250℃程度、
気化器(気化室)内圧力:数〜10Torr以上、
溶媒供給流量(第2の流量):第1の流量の2〜20倍、好ましくは2〜10倍、
キャリアガス供給流量:1〜10slm、
が例示される。なお、フラッシングサイクルにおいては、気化器229t,229sへ供給する溶媒の流量を増やすため、気化サイクルや洗浄サイクルよりも気化器229t,229s内の圧力は高くなる。
In addition, as the temperature, pressure, solvent (ECH) flow rate, and carrier gas flow rate in the vaporizers 229t and 229s (vaporization chambers 20t and 20s) in the flushing cycle of the present embodiment,
Temperature in vaporizer (vaporization chamber): about 250 ° C,
Vaporizer (vaporization chamber) internal pressure: several to 10 Torr or more,
Solvent supply flow rate (second flow rate): 2 to 20 times, preferably 2 to 10 times the first flow rate,
Carrier gas supply flow rate: 1-10 slm,
Is exemplified. In the flushing cycle, since the flow rate of the solvent supplied to the vaporizers 229t and 229s is increased, the pressure in the vaporizers 229t and 229s is higher than that in the vaporization cycle and the cleaning cycle.

本実施形態によれば、気化器に対し溶媒を常に流すことにより、原料に起因する気化器の、特に噴霧機構部分の閉塞をより抑制することができる。また気化器に対し定期的にフラッシング動作を行うことにより、通常の配管洗浄サイクルを実施しているにもかかわらず噴霧機構部分に残留、堆積してしまう原料を効果的に除去することができ、噴霧機構部分の閉塞をより一層抑制することができる。上記効果により、配管閉塞に達する時間を大幅に延長することができるため、気化器閉塞時のメンテナンスによる装置の不稼働時間(ダウンタイム)を大幅に縮小することができる。   According to the present embodiment, by constantly flowing the solvent to the vaporizer, it is possible to further suppress the blockage of the vaporizer, particularly the spray mechanism portion, caused by the raw material. In addition, by periodically performing a flushing operation on the vaporizer, it is possible to effectively remove the raw material that remains and accumulates in the spray mechanism portion even though a normal pipe cleaning cycle is performed. Blockage of the spray mechanism portion can be further suppressed. Due to the above effect, the time to reach the piping blockage can be greatly extended, so that the downtime of the apparatus due to maintenance when the vaporizer is closed can be greatly reduced.

なお、図9に示す基板処理工程において、気化サイクル終了直後は、気化器229t,229sの液体原料流路21t,21s内に原料が残留している場合がある。このような場合、フラッシングサイクル開始直後(気化サイクル終了直後)にフラッシング動作を行うと、液体原料流路21t,21s内に残留している原料が、第1の流量よりも流量の大きな第2の流量の溶媒によって一気に気化室20t、20s内へ押し流されてしまい、気化室20t,20s内の原料濃度が一時的に増大してしまうこととなる。そして、気化室20t,20s内の原料濃度が気化器229t,229sの気化能力を超えてしまい、原料を完全に気化させることができず、気化不良が発生してしまう場合がある。   In the substrate processing step shown in FIG. 9, the raw material may remain in the liquid raw material flow paths 21t and 21s of the vaporizers 229t and 229s immediately after the vaporization cycle is completed. In such a case, if the flushing operation is performed immediately after the start of the flushing cycle (immediately after the end of the vaporization cycle), the raw material remaining in the liquid raw material flow paths 21t and 21s has a second flow rate higher than the first flow rate. The solvent at the flow rate causes the solvent to be swept into the vaporization chambers 20t and 20s at a stretch, and the concentration of the raw material in the vaporization chambers 20t and 20s is temporarily increased. And the raw material density | concentration in vaporization chamber 20t, 20s exceeds the vaporization capability of vaporizer 229t, 229s, a raw material cannot be completely vaporized and vaporization defect may generate | occur | produce.

そこで本実施形態では、気化器229t,229sに第2の流量で溶媒を流す際(成膜サイクル(4)でフラッシングサイクルを実施する際)、一旦、気化器229t,229sに溶媒を第2の流量よりも小さな流量で流し、その後、気化器229t,229sへの第2の流量での溶媒の供給を開始するようにしてもよい。この場合、一旦、気化器229t,229sに、少なくとも開閉バルブvt1,vs1から気化室20t,20sに至るまでの液体原料流路21t,21s内の配管容量に匹敵する容量の溶媒を第2の流量よりも小さな流量で流した後に、気化器229t,229sへの第2の流量での溶媒の供給を開始するのが好ましい。   Therefore, in the present embodiment, when the solvent is caused to flow through the vaporizers 229t and 229s at the second flow rate (when the flushing cycle is performed in the film formation cycle (4)), the solvent is temporarily supplied to the vaporizers 229t and 229s. The flow rate may be smaller than the flow rate, and then the supply of the solvent at the second flow rate to the vaporizers 229t and 229s may be started. In this case, the second flow rate of the solvent having a capacity comparable to the pipe capacity in the liquid raw material flow paths 21t and 21s from the open / close valves vt1 and vs1 to the vaporization chambers 20t and 20s is temporarily supplied to the vaporizers 229t and 229s. After flowing at a smaller flow rate, it is preferable to start supplying the solvent at the second flow rate to the vaporizers 229t and 229s.

図10は、図9に示すシーケンス図の変形例であり、フラッシング動作の開始タイミングを遅延させる場合の溶媒供給のタイミングを示している。図10によれば、気化器229t,229sに第2の流量で溶媒を流す際、一旦、気化器229t,229sに溶媒を第1の流量で流し、その後、気化器229t,229sに供給する溶媒の流量を第1の流量から第2の流量に変更してフラッシング動作を開始するようにしている。このように、フラッシングサイクルの開始直後(気化サイクルの終了直後)、通常の洗浄サイクルと同様に気化室229t,229s内に第1の流量で溶媒を供給し、液体原料流路21t,2
1s内に残留している原料を気化室20t,20s内に押し出して溶媒に置換しておくことにより、気化室20t,20s内の原料濃度が気化器229t,229sの気化能力を超えてしまうことを抑制でき、気化不良の発生を抑制でき、液体原料流路21t,21s内の閉塞を抑制できる。
FIG. 10 is a modification of the sequence diagram shown in FIG. 9 and shows the solvent supply timing when the start timing of the flushing operation is delayed. According to FIG. 10, when a solvent is caused to flow through the vaporizers 229t and 229s at a second flow rate, the solvent is once caused to flow through the vaporizers 229t and 229s at a first flow rate, and then supplied to the vaporizers 229t and 229s. The flow rate is changed from the first flow rate to the second flow rate to start the flushing operation. As described above, immediately after the start of the flushing cycle (immediately after the end of the vaporization cycle), the solvent is supplied at the first flow rate into the vaporization chambers 229t and 229s in the same manner as in the normal cleaning cycle, and the liquid raw material channels 21t and 2
By extruding the raw material remaining in 1 s into the vaporization chambers 20 t and 20 s and replacing it with the solvent, the raw material concentration in the vaporization chambers 20 t and 20 s exceeds the vaporization capacity of the vaporizers 229 t and 229 s. Can be suppressed, the occurrence of vaporization failure can be suppressed, and the blockage in the liquid raw material flow paths 21t and 21s can be suppressed.

フラッシング動作の開始タイミングの遅延時間、すなわちフラッシングサイクルの開始時(気化サイクルの終了時)からフラッシング動作を開始するまでの時間は、例えば、開閉バルブvt1,vs1から気化室20t,20sに至るまでの液体原料流路21t,21s内の配管容量に匹敵する容量の溶媒を流すのに要する時間を基準として算定することができる。また、図10において、フラッシングサイクル開始直後(気化サイクル終了直後)に気化器229t,229sに供給する溶媒の流量(フラッシング動作を開始するまでの間に気化器229t,229sに供給する溶媒の流量)は、気化室20t,20s内の原料濃度の増大を緩やかにし、気化不良の発生を抑制することができる流量であれば、必ずしも第1の流量に限定されない。   The delay time of the start timing of the flushing operation, that is, the time from the start of the flushing cycle (at the end of the vaporization cycle) to the start of the flushing operation is, for example, from the open / close valves vt1 and vs1 to the vaporization chambers 20t and 20s. It can be calculated on the basis of the time required to flow a solvent having a capacity comparable to the pipe capacity in the liquid material flow paths 21t and 21s. In FIG. 10, the flow rate of the solvent supplied to the vaporizers 229t and 229s immediately after the start of the flushing cycle (immediately after the end of the vaporization cycle) (the flow rate of the solvent supplied to the vaporizers 229t and 229s until the flushing operation is started). Is not necessarily limited to the first flow rate as long as the flow rate can moderately increase the raw material concentration in the vaporization chambers 20t and 20s and suppress the occurrence of vaporization failure.

なお、第2実施形態では、気化サイクル及び通常の洗浄サイクルにおいて気化室20t,20s内に溶媒を常に第1の流量で流すこととしていたが、本発明はかかる形態に限定されない。すなわち、気化サイクルにおいて気化室20t,20s内に溶媒を流さない場合や、気化サイクルにおいて気化室20t,20s内に第1の流量よりも少ない流量で溶媒を流す場合にも、フラッシングサイクルは好適に実施可能である。図11は、図10に示すシーケンス図の変形例であり、図10における気化器229t,229sのうち1つの気化器に対する気化サイクル、洗浄サイクル、フラッシングサイクルのタイミングを抜き出したものである。図11の(a)は各気化サイクルにおいて溶媒を流さない場合の溶媒供給のタイミングを示し、(b)は気化サイクルでの溶媒の流量を洗浄サイクルでの溶媒の流量よりも少なくした場合の溶媒供給のタイミングを示している。いずれの場合においても、図11に示すようにフラッシング動作の開始タイミングを遅延させることができる。   In the second embodiment, the solvent is always allowed to flow in the vaporization chambers 20t and 20s at the first flow rate in the vaporization cycle and the normal cleaning cycle, but the present invention is not limited to such a form. That is, the flushing cycle is also suitable when no solvent is allowed to flow in the vaporization chambers 20t and 20s in the vaporization cycle or when the solvent is allowed to flow in the vaporization chambers 20t and 20s in the vaporization cycle at a flow rate lower than the first flow rate. It can be implemented. FIG. 11 is a modification of the sequence diagram shown in FIG. 10 and shows the timing of the vaporization cycle, the cleaning cycle, and the flushing cycle for one of the vaporizers 229t and 229s in FIG. FIG. 11A shows the timing of solvent supply when no solvent is flown in each vaporization cycle, and FIG. 11B shows the solvent when the solvent flow rate in the vaporization cycle is less than the solvent flow rate in the washing cycle. The timing of supply is shown. In either case, the start timing of the flushing operation can be delayed as shown in FIG.

第2実施形態では、気化サイクルを所定回数行う毎にフラッシングサイクルを実施することとしていたが、本発明はかかる形態に限定されない。すなわち、本発明は、気化サイクルを行う毎にフラッシングサイクルを実施することとしてもよい。このとき、各フラッシング動作で流す溶媒の流量は、一定量である場合に限らず変化させてもよい。例えば、気化サイクル行う毎に大流量のフラッシング動作を実施することとしてもよく、気化サイクル行う毎に小流量のフラッシング動作を実施しつつ、気化サイクルを所定回数行う毎に大流量のフラッシング動作を実施することとしてもよい。図12は、図10に示すシーケンス図の変形例であり、図10における気化器229t,229sのうち1つの気化器に対する気化サイクル、洗浄サイクル、フラッシングサイクルのタイミングを抜き出したものである。図12の(a)は気化サイクルを行う毎に大流量のフラッシング動作を実施する場合の溶媒供給のタイミングを示し、(b)は気化サイクルを行う毎に小流量のフラッシング動作を実施しつつ、気化サイクルを所定回数行う毎にさらに大流量のフラッシング動作を実施する場合の溶媒供給のタイミングを示している。いずれの場合においても、図12に示すようにフラッシング動作の開始タイミングを遅らせることができる。   In the second embodiment, the flushing cycle is performed every time the vaporization cycle is performed a predetermined number of times, but the present invention is not limited to such a form. That is, this invention is good also as implementing a flushing cycle whenever it performs a vaporization cycle. At this time, the flow rate of the solvent flowing in each flushing operation is not limited to a constant amount, and may be changed. For example, a large flow flushing operation may be performed each time a vaporization cycle is performed, and a large flow flushing operation is performed every predetermined number of vaporization cycles while a small flow flushing operation is performed each time a vaporization cycle is performed. It is good to do. FIG. 12 is a modification of the sequence diagram shown in FIG. 10, in which the timings of the vaporization cycle, the cleaning cycle, and the flushing cycle for one of the vaporizers 229t and 229s in FIG. 10 are extracted. (A) of FIG. 12 shows the timing of supplying the solvent when a large flow rate flushing operation is performed every time the vaporization cycle is performed, and (b) is performed while performing a small flow rate flushing operation every time the vaporization cycle is performed. The timing of supplying the solvent when performing a flushing operation with a larger flow rate every time the vaporization cycle is performed a predetermined number of times is shown. In either case, the start timing of the flushing operation can be delayed as shown in FIG.

(第3実施形態)
次に本発明の第3実施形態について説明する。上述の第1実施形態、第2実施形態では、基板処理装置として1度に1枚の基板を処理する枚葉式のALD装置を用いて成膜する例について説明したが、本発明は上述の実施形態に限定されない。例えば、基板処理装置として1度に複数枚の基板を処理するバッチ式の縦型ALD装置を用いて成膜するようにしてもよい。以下、この縦型ALD装置について説明する。
(Third embodiment)
Next, a third embodiment of the present invention will be described. In the first embodiment and the second embodiment described above, an example in which film formation is performed using a single-wafer type ALD apparatus that processes one substrate at a time as a substrate processing apparatus has been described. It is not limited to the embodiment. For example, the film may be formed using a batch type vertical ALD apparatus that processes a plurality of substrates at a time as a substrate processing apparatus. The vertical ALD apparatus will be described below.

図7は、第3実施形態で好適に用いられる縦型ALD装置の縦型処理炉の概略構成図であり、(a)は、処理炉302部分を縦断面で示し、(b)は、処理炉302部分を図7(a)のA−A線断面図で示す。   FIG. 7 is a schematic configuration diagram of a vertical processing furnace of a vertical ALD apparatus preferably used in the third embodiment. FIG. 7A shows a processing furnace 302 portion in a vertical cross section, and FIG. The furnace 302 portion is shown in the cross-sectional view along the line AA in FIG.

図7(a)に示されるように、処理炉302は加熱手段(加熱機構)としてのヒータ307を有する。ヒータ307は円筒形状であり、保持板としてのヒータベース(図示せず)に支持されることにより垂直に据え付けられている。   As shown in FIG. 7A, the processing furnace 302 has a heater 307 as a heating means (heating mechanism). The heater 307 has a cylindrical shape and is vertically installed by being supported by a heater base (not shown) as a holding plate.

ヒータ307の内側には、ヒータ307と同心円状に反応管としてのプロセスチューブ303が配設されている。プロセスチューブ303は、例えば石英(SiO)や炭化シリコン(SiC)等の耐熱性材料からなり、上端が閉塞し下端が開口した円筒形状に形成されている。プロセスチューブ303の筒中空部には処理室301が形成されており、基板としてのウェハ200を、後述するボート317によって水平姿勢で垂直方向に多段に整列した状態で収容可能に構成されている。 Inside the heater 307, a process tube 303 as a reaction tube is disposed concentrically with the heater 307. The process tube 303 is made of a heat-resistant material such as quartz (SiO 2 ) or silicon carbide (SiC), and has a cylindrical shape with the upper end closed and the lower end opened. A processing chamber 301 is formed in a cylindrical hollow portion of the process tube 303 so that wafers 200 as substrates can be accommodated in a state of being aligned in multiple stages in a vertical posture in a horizontal posture by a boat 317 described later.

プロセスチューブ303の下方には、プロセスチューブ303と同心円状にマニホールド309が配設されている。マニホールド309は、例えばステンレス等からなり、上端及び下端が開口した円筒形状に形成されている。マニホールド309は、プロセスチューブ303に係合しており、プロセスチューブ303を支持するように設けられている。なお、マニホールド309とプロセスチューブ303との間には、シール部材としてのOリング320aが設けられている。マニホールド309がヒータベースに支持されることにより、プロセスチューブ303は垂直に据え付けられた状態となっている。プロセスチューブ303とマニホールド309とにより反応容器が形成される。   A manifold 309 is disposed below the process tube 303 concentrically with the process tube 303. The manifold 309 is made of, for example, stainless steel and is formed in a cylindrical shape with an upper end and a lower end opened. The manifold 309 is engaged with the process tube 303 and is provided to support the process tube 303. An O-ring 320a as a seal member is provided between the manifold 309 and the process tube 303. Since the manifold 309 is supported by the heater base, the process tube 303 is vertically installed. A reaction vessel is formed by the process tube 303 and the manifold 309.

マニホールド309には、第1ガス導入部としての第1ノズル333aと、第2ガス導入部としての第2ノズル333bとが、マニホールド309の側壁を貫通するように、また、その一部が処理室301内に連通するように接続されている。第1ノズル333aと第2ノズル333bは、それぞれ水平部と垂直部とを有するL字形状であり、水平部がマニホールド309に接続され、垂直部が処理室301を構成している反応管303の内壁とウェハ200との間における円弧状の空間に、反応管303の下部より上部の内壁にウェハ200の積載方向に沿って設けられている。第1ノズル333a、第2ノズル333bの垂直部の側面には、ガスを供給する供給孔である第1ガス供給孔348a、第2ガス供給孔348bがそれぞれ設けられている。この第1ガス供給孔348a、第2ガス供給孔348bは、それぞれ下部から上部にわたって同一の開口面積を有し、更に同じ開口ピッチで設けられている。   In the manifold 309, a first nozzle 333a as a first gas introduction part and a second nozzle 333b as a second gas introduction part penetrate the side wall of the manifold 309, and a part thereof is a processing chamber. 301 is connected so as to communicate with each other. Each of the first nozzle 333 a and the second nozzle 333 b has an L shape having a horizontal portion and a vertical portion, the horizontal portion is connected to the manifold 309, and the vertical portion of the reaction tube 303 constituting the processing chamber 301. An arc-shaped space between the inner wall and the wafer 200 is provided on the inner wall above the lower portion of the reaction tube 303 along the loading direction of the wafer 200. A first gas supply hole 348a and a second gas supply hole 348b, which are supply holes for supplying gas, are provided on the side surfaces of the vertical portions of the first nozzle 333a and the second nozzle 333b, respectively. The first gas supply hole 348a and the second gas supply hole 348b have the same opening area from the lower part to the upper part, and are provided at the same opening pitch.

第1ノズル333a、第2ノズル333bに接続されるガス供給系は、上述の実施形態と同様である。ただし、本実施形態では、第1ノズル333aに原料ガス供給管213が接続され、第2ノズル333bにオゾンガス供給管213oが接続される点が、上述の実施形態と異なる。すなわち、本実施形態では、原料ガス(第1原料ガス、第2原料ガス、第3原料ガス)と、オゾンガスとを、別々のノズルにより供給する。なお、さらに各原料ガスを別々のノズルにより供給するようにしてもよい。   The gas supply system connected to the first nozzle 333a and the second nozzle 333b is the same as in the above-described embodiment. However, the present embodiment is different from the above-described embodiment in that the source gas supply pipe 213 is connected to the first nozzle 333a and the ozone gas supply pipe 213o is connected to the second nozzle 333b. That is, in this embodiment, source gas (1st source gas, 2nd source gas, 3rd source gas) and ozone gas are supplied by a separate nozzle. In addition, you may make it supply each raw material gas with a separate nozzle.

マニホールド309には、処理室301内の雰囲気を排気する排気管331が設けられている。排気管331のマニホールド309との接続側と反対側である下流側には、圧力検出器としての圧力センサ345及び圧力調整器としてのAPC(Auto Pressure Controller)バルブ342を介して、真空排気装置としての真空ポンプ346が接続されており、処理室301内の圧力が所定の圧力(真空度)となるよう真空排気し得るように構成されている。なお、APCバルブ342は弁を開閉して処理室301の真空排気・真空排気停止ができ、更に弁開度を調整して処理室301内の圧力を調
整することができるよう構成されている開閉弁である。
The manifold 309 is provided with an exhaust pipe 331 that exhausts the atmosphere in the processing chamber 301. As a vacuum exhaust device, a pressure sensor 345 as a pressure detector and an APC (Auto Pressure Controller) valve 342 as a pressure regulator are provided on the downstream side opposite to the connection side of the exhaust pipe 331 with the manifold 309. The vacuum pump 346 is connected so that the pressure in the processing chamber 301 can be evacuated to a predetermined pressure (degree of vacuum). The APC valve 342 is opened and closed so that the processing chamber 301 can be evacuated and stopped by evacuation, and the pressure in the processing chamber 301 can be adjusted by adjusting the valve opening. It is a valve.

マニホールド309の下方には、マニホールド309の下端開口を気密に閉塞可能な炉口蓋体としてのシールキャップ319が設けられている。シールキャップ319は、マニホールド309の下端に垂直方向下側から当接されるようになっている。シールキャップ319は、例えばステンレス等の金属からなり、円盤状に形成されている。シールキャップ319の上面には、マニホールド309の下端と当接するシール部材としてのOリング320bが設けられる。シールキャップ319の処理室301と反対側には、後述するボート317を回転させる回転機構367が設置されている。回転機構367の回転軸355は、シールキャップ319を貫通して、ボート317に接続されており、ボート317を回転させることでウェハ200を回転させるように構成されている。シールキャップ319は、プロセスチューブ303の外部に垂直に配置された昇降機構としてのボートエレベータ315によって、垂直方向に昇降されるように構成されており、これによりボート317を処理室301に対し搬入搬出することが可能となっている。   Below the manifold 309, a seal cap 319 is provided as a furnace port lid that can airtightly close the lower end opening of the manifold 309. The seal cap 319 is brought into contact with the lower end of the manifold 309 from the lower side in the vertical direction. The seal cap 319 is made of a metal such as stainless steel and is formed in a disc shape. On the upper surface of the seal cap 319, an O-ring 320b is provided as a seal member that contacts the lower end of the manifold 309. On the opposite side of the seal cap 319 from the processing chamber 301, a rotation mechanism 367 for rotating a boat 317 described later is installed. A rotation shaft 355 of the rotation mechanism 367 passes through the seal cap 319 and is connected to the boat 317, and is configured to rotate the wafer 200 by rotating the boat 317. The seal cap 319 is configured to be lifted and lowered in the vertical direction by a boat elevator 315 as a lifting mechanism vertically disposed outside the process tube 303, thereby bringing the boat 317 into and out of the processing chamber 301. It is possible to do.

基板保持具としてのボート317は、例えば石英や炭化珪素等の耐熱材料からなり、複数枚のウェハ200を水平姿勢でかつ互いに中心を揃えた状態で整列させて多段に保持するように構成されている。なお、ボート317の下部には、例えば石英や炭化珪素等の耐熱材料からなる断熱部材318が設けられており、ヒータ307からの熱がシールキャップ319側に伝わりにくくなるよう構成されている。なお、断熱部材318は、石英や炭化珪素等の耐熱性材料からなる複数枚の断熱板と、これら断熱板を水平姿勢で多段に保持する断熱板ホルダとにより構成してもよい。プロセスチューブ303内には、温度検出器としての温度センサ363が設置されており、温度センサ363により検出された温度情報に基づきヒータ307への通電具合を調整することにより、処理室301内の温度が所定の温度分布となるように構成されている。温度センサ363は、第1ノズル333a及び第2ノズル333bと同様に、プロセスチューブ303の内壁に沿って設けられている。   The boat 317 as a substrate holder is made of a heat-resistant material such as quartz or silicon carbide, and is configured to hold a plurality of wafers 200 in a horizontal posture and in a state where the centers are aligned with each other and held in multiple stages. Yes. A heat insulating member 318 made of a heat resistant material such as quartz or silicon carbide is provided at the lower part of the boat 317 so that heat from the heater 307 is not easily transmitted to the seal cap 319 side. The heat insulating member 318 may be composed of a plurality of heat insulating plates made of a heat resistant material such as quartz or silicon carbide, and a heat insulating plate holder that holds the heat insulating plates in a horizontal posture in multiple stages. A temperature sensor 363 as a temperature detector is installed in the process tube 303, and the temperature in the processing chamber 301 is adjusted by adjusting the power supply to the heater 307 based on the temperature information detected by the temperature sensor 363. Is configured to have a predetermined temperature distribution. Similar to the first nozzle 333a and the second nozzle 333b, the temperature sensor 363 is provided along the inner wall of the process tube 303.

制御部(制御手段)であるコントローラ380は、APCバルブ342、ヒータ307、温度センサ363、真空ポンプ346、ボート回転機構367、ボートエレベータ315、開閉バルブvs1〜vs6、vb1〜vb6、vt1〜vt6、vo3〜vo6、液体流量コントローラ221s,221b,221t,222s,222b,222t、流量コントローラ224s,224b,224t,221o,222o,224o等の動作を制御する。   The controller 380 as a control unit (control means) includes an APC valve 342, a heater 307, a temperature sensor 363, a vacuum pump 346, a boat rotation mechanism 367, a boat elevator 315, open / close valves vs1 to vs6, vb1 to vb6, vt1 to vt6, The operations of vo3 to vo6, liquid flow rate controllers 221s, 221b, 221t, 222s, 222b, 222t, flow rate controllers 224s, 224b, 224t, 221o, 222o, 224o and the like are controlled.

次に、上記構成にかかる縦型ALD装置の処理炉302を用いて、半導体装置の製造工程の一工程として、ALD法によりウェハ200上に薄膜を形成する基板処理工程について説明する。なお、以下の説明において、縦型ALD装置を構成する各部の動作は、コントローラ380により制御される。   Next, a substrate processing step of forming a thin film on the wafer 200 by the ALD method will be described as one step of the semiconductor device manufacturing process using the vertical ALD apparatus processing furnace 302 according to the above configuration. In the following description, the operation of each part constituting the vertical ALD apparatus is controlled by the controller 380.

複数枚のウェハ200をボート317に装填(ウェハチャージ)する。そして、図7(a)に示すように、複数枚のウェハ200を保持したボート317を、ボートエレベータ315によって持ち上げて処理室301内に搬入(ボートロード)する。この状態で、シールキャップ319はOリング320bを介してマニホールド309の下端をシールした状態となる。   A plurality of wafers 200 are loaded into the boat 317 (wafer charge). 7A, the boat 317 holding the plurality of wafers 200 is lifted by the boat elevator 315 and loaded into the processing chamber 301 (boat loading). In this state, the seal cap 319 is in a state of sealing the lower end of the manifold 309 via the O-ring 320b.

処理室301内が所望の圧力(真空度)となるように、真空排気装置346によって真空排気する。この際、処理室301内の圧力を圧力センサ345で測定して、この測定された圧力に基づき、圧力調節器342をフィードバック制御する。また、処理室301内が所望の温度となるように、ヒータ307によって加熱する。この際、処理室301内が
所望の温度分布となるように、温度センサ363が検出した温度情報に基づきヒータ307への通電具合をフィードバック制御する。続いて、回転機構367によりボート317を回転させることで、ウェハ200を回転させる。
The processing chamber 301 is evacuated by an evacuation device 346 so that a desired pressure (degree of vacuum) is obtained. At this time, the pressure in the processing chamber 301 is measured by the pressure sensor 345, and the pressure regulator 342 is feedback-controlled based on the measured pressure. In addition, heating is performed by the heater 307 so that the inside of the processing chamber 301 has a desired temperature. At this time, feedback control of the power supply to the heater 307 is performed based on the temperature information detected by the temperature sensor 363 so that the inside of the processing chamber 301 has a desired temperature distribution. Then, the wafer 200 is rotated by rotating the boat 317 by the rotation mechanism 367.

その後、上述の第1実施形態と同様に、第1原料ガスを用いたALD工程(S6)、第3原料ガスを用いたALD工程(S7)、第2原料ガスを用いたALD工程(S8)、第3原料ガスを用いたALD工程(S9)を1サイクルとして、このサイクルを所定回数繰り返すことにより(S10)、ウェハ200上に所望の膜厚の(Ba,Sr)TiO薄膜を形成する。もしくは、上述の第2実施形態と同様に、原料Aの供給(A)、パージ、酸化剤の供給、パージ(P)、原料Bの供給(B)、パージ、酸化剤の供給、パージ(P)を1サイクルとして、このサイクルを所定回数繰り返すことにより、ウェハ200上に所望の膜厚のSrTiO薄膜を形成する。 Thereafter, as in the first embodiment, the ALD process (S6) using the first source gas, the ALD process (S7) using the third source gas, and the ALD process (S8) using the second source gas. The ALD process (S9) using the third source gas is set as one cycle, and this cycle is repeated a predetermined number of times (S10), thereby forming a (Ba, Sr) TiO 3 thin film having a desired film thickness on the wafer 200. . Alternatively, as in the second embodiment described above, supply of raw material A (A), purge, supply of oxidant, purge (P), supply of raw material B (B), purge, supply of oxidant, purge (P ) Is defined as one cycle, and this cycle is repeated a predetermined number of times to form a SrTiO 3 thin film having a desired film thickness on the wafer 200.

その後、ボートエレベータ315によりシールキャップ319を下降させて、マニホールド309の下端を開口させるとともに、所望膜厚の薄膜が形成された後のウェハ200を、ボート317に保持させた状態でマニホールド309の下端からプロセスチューブ303の外部に搬出(ボートアンロード)する。その後、処理済ウェハ200をボート317より取り出す(ウエハディスチャージ)。   Thereafter, the seal cap 319 is lowered by the boat elevator 315 to open the lower end of the manifold 309, and the lower end of the manifold 309 is held in the state where the wafer 200 after the thin film having the desired film thickness is formed is held by the boat 317. From the process tube 303 to the outside (boat unloading). Thereafter, the processed wafer 200 is taken out from the boat 317 (wafer discharge).

<本発明の好ましい態様>
以下に、本発明の好ましい態様について付記する。
<Preferred embodiment of the present invention>
Hereinafter, preferred embodiments of the present invention will be additionally described.

本発明の一態様によれば、処理室内に基板を搬入する工程と、前記処理室内に複数種類の反応物質を複数回供給することにより前記基板を処理する工程と、処理後の前記基板を前記処理室内から搬出する工程と、を有し、前記複数種類の反応物質のうち少なくともいずれか一つは、液体原料を気化部で気化させた原料ガスを含み、前記基板を処理する工程では、前記気化部に前記液体原料を供給して気化させる気化動作を間欠的に行うと共に、少なくとも前記液体原料の前記気化動作時以外の時に、前記気化部に前記液体原料を溶解することのできる溶媒を第1の流量で流し、前記液体原料の前記気化動作時以外の時であって、前記液体原料の前記気化動作を所定回数行う毎に、前記気化部に前記溶媒を前記第1の流量よりも大きな第2の流量で流す半導体装置の製造方法が提供される。   According to one aspect of the present invention, a step of loading a substrate into a processing chamber, a step of processing the substrate by supplying a plurality of types of reactants into the processing chamber a plurality of times, and the processing of the substrate A step of unloading from a processing chamber, and at least one of the plurality of types of reactants includes a source gas obtained by vaporizing a liquid source in a vaporization unit, and in the step of processing the substrate, A vaporizing operation for supplying and vaporizing the liquid raw material to the vaporizing unit is intermittently performed, and a solvent capable of dissolving the liquid raw material in the vaporizing unit is at least at a time other than during the vaporizing operation of the liquid raw material. The liquid is flowed at a flow rate of 1 and the solvent is larger than the first flow rate in the vaporization unit every time the liquid raw material is vaporized for a predetermined number of times except during the vaporization operation. Second flow The method of manufacturing a semiconductor device to flow in is provided.

好ましくは、前記基板を処理する工程では、前記液体原料の1回の前記気化動作後、その次に前記気化動作を行うまでの間は、前記気化部に前記溶媒を連続的に流す。   Preferably, in the step of processing the substrate, the solvent is continuously flowed to the vaporization section after the vaporization operation of the liquid material is performed once until the vaporization operation is performed next.

また好ましくは、前記基板を処理する工程では、前記液体原料の前記気化動作にかかわらず、前記気化部に前記溶媒を連続的に流す。   Preferably, in the step of processing the substrate, the solvent is continuously flowed to the vaporization section regardless of the vaporization operation of the liquid raw material.

また好ましくは、前記液体原料の前記気化動作時以外の時に、前記気化部に流した溶媒は、前記処理室内に供給することなく排気する。   Preferably, the solvent that has flowed into the vaporizing section is exhausted without being supplied into the processing chamber at times other than during the vaporizing operation of the liquid raw material.

また好ましくは、前記第2の流量は前記第1の流量の倍以上である。   Also preferably, the second flow rate is at least twice the first flow rate.

また好ましくは、前記気化部に前記溶媒を前記第2の流量で流す際、一旦、前記気化部に前記溶媒を前記第1の流量で流した後に、前記気化部に供給する前記溶媒の流量を前記第1の流量から前記第2の流量に変更する。   Preferably, when flowing the solvent at the second flow rate through the vaporization unit, the flow rate of the solvent supplied to the vaporization unit is once set after flowing the solvent through the vaporization unit at the first flow rate. The first flow rate is changed to the second flow rate.

また好ましくは、前記気化部に前記溶媒を前記第2の流量で流す際、一旦、前記気化部に前記溶媒を前記第2の流量よりも小さな流量で流し、その後、前記気化部への前記第2の流量での前記溶媒の供給を開始する。   Further preferably, when the solvent is caused to flow through the vaporization unit at the second flow rate, the solvent is once caused to flow through the vaporization unit at a flow rate smaller than the second flow rate, and then the first to the vaporization unit. Begin feeding the solvent at a flow rate of 2.

本発明の他の態様によれば、基板を処理する処理室と、液体原料を気化する気化部と、前記気化部に液体原料を供給する液体原料供給系と、前記気化部で前記液体原料を気化させた原料ガスを前記処理室内に供給する原料ガス供給系と、前記原料ガスとは異なる反応ガスを前記処理室内に供給する反応ガス供給系と、前記液体原料を溶解することのできる溶媒を前記気化部に供給する溶媒供給系と、前記処理室内への前記原料ガスの供給及び前記反応ガスの供給を複数回行い、その際、前記気化部に前記液体原料を供給して気化させる気化動作を間欠的に行うと共に、少なくとも前記液体原料の前記気化動作時以外の時に、前記気化部に前記溶媒を第1の流量で流し、前記液体原料の前記気化動作時以外の時であって、前記液体原料の前記気化動作を所定回数行う毎に、前記気化部に前記溶媒を前記第1の流量よりも大きな第2の流量で流すように、前記液体原料供給系、前記気化部、前記原料ガス供給系、前記溶媒供給系、および、前記反応ガス供給系を制御するコントローラと、を有する基板処理装置が提供される。   According to another aspect of the present invention, a processing chamber for processing a substrate, a vaporization unit for vaporizing a liquid raw material, a liquid raw material supply system for supplying a liquid raw material to the vaporization unit, and the liquid raw material in the vaporization unit A source gas supply system for supplying the vaporized source gas into the processing chamber, a reaction gas supply system for supplying a reaction gas different from the source gas into the processing chamber, and a solvent capable of dissolving the liquid source A solvent supply system for supplying to the vaporization section, and a vaporization operation for performing the supply of the raw material gas and the reaction gas into the processing chamber a plurality of times and supplying the liquid raw material to the vaporization section for vaporization. At a time other than at the time of the vaporization operation of the liquid raw material, and at a time other than at the time of the vaporization operation of the liquid raw material, at a time other than at the time of the vaporization operation of the liquid raw material, The liquid material Each time the operation is performed a predetermined number of times, the liquid source supply system, the vaporization unit, the source gas supply system, and the solvent are supplied to the vaporization unit at a second flow rate that is larger than the first flow rate. There is provided a substrate processing apparatus having a supply system and a controller that controls the reaction gas supply system.

本発明の更に他の態様によれば、基板を収容した処理室内に複数種類の反応物を複数回供給することにより基板を処理する工程を有する半導体装置の製造方法であって、前記複数種類の反応物のうち少なくともいずれか一つは、液体原料を気化部で気化させた原料ガスを含み、前記基板を処理する工程では、前記気化部に、前記液体原料を間欠的に供給して気化すると共に、前記液体原料を溶解することのできる溶媒を連続的に供給して気化し、前記気化部に前記液体原料を供給する時以外の時であって、前記液体原料の供給を所定回数行う毎に、前記気化部に前記溶媒を、前記液体原料を供給する時に供給する前記溶媒の流量よりも大きな流量で流すフラッシング動作を行う半導体装置の製造方法が提供される。   According to still another aspect of the present invention, there is provided a semiconductor device manufacturing method including a step of processing a substrate by supplying a plurality of types of reactants a plurality of times into a processing chamber in which the substrate is accommodated. At least one of the reactants includes a source gas obtained by vaporizing a liquid source in a vaporization unit, and in the step of processing the substrate, the liquid source is intermittently supplied to the vaporization unit and vaporized. In addition, a solvent capable of dissolving the liquid raw material is continuously supplied and vaporized, and the liquid raw material is supplied a predetermined number of times other than when the liquid raw material is supplied to the vaporization unit. In addition, there is provided a method for manufacturing a semiconductor device that performs a flushing operation in which the solvent is supplied to the vaporizing section at a flow rate larger than the flow rate of the solvent supplied when the liquid raw material is supplied.

本発明の更に他の態様によれば、基板を処理する処理室と、液体原料を気化する気化部と、前記気化部に前記液体原料を供給する液体原料供給管と、前記気化部にて前記液体原料を気化させた原料ガスを前記処理室内に供給する原料ガス供給管と、前記原料ガスとは異なる反応ガスを前記処理室内に供給する反応ガス供給管と、前記気化部に前記液体原料を溶解することのできる溶媒を供給する溶媒供給管と、前記処理室内への前記原料ガスの供給及び前記反応ガスの供給を複数回行うことで基板を処理するように制御し、その際、前記気化部に、前記液体原料を間欠的に供給して気化すると共に、前記溶媒を連続的に供給して気化し、前記気化部に前記液体原料を供給する時以外の時であって、前記液体原料の供給を所定回数行う毎に、前記気化部に前記溶媒を、前記液体原料を供給する時に供給する前記溶媒の流量よりも大きな流量で流すフラッシング動作を行うように制御するコントローラと、を有する基板処理装置が提供される。   According to still another aspect of the present invention, the processing chamber for processing the substrate, the vaporizing unit for vaporizing the liquid raw material, the liquid raw material supply pipe for supplying the liquid raw material to the vaporizing unit, and the vaporizing unit A source gas supply pipe for supplying a source gas vaporized from a liquid source into the processing chamber; a reaction gas supply pipe for supplying a reaction gas different from the source gas into the processing chamber; and the liquid source in the vaporizing section. A solvent supply pipe that supplies a solvent that can be dissolved, and the substrate gas is controlled to be processed by performing the supply of the source gas and the reaction gas into the processing chamber a plurality of times, and the vaporization is performed at that time. The liquid raw material is intermittently supplied to the part and vaporized, and the solvent is continuously supplied to vaporize, and the liquid raw material is supplied at a time other than the time when the liquid raw material is supplied to the vaporizing part. Every time a certain number of times The solvent vaporization unit, and a controller for controlling to perform the flushing operation flow a large flow rate than the flow rate of the solvent supplied when supplying the liquid raw material, a substrate processing apparatus having a provided.

本発明の更に他の態様によれば、半導体製造装置に使用され、内部に噴霧機構を有する液体原料の気化器で、液体原料と、原料を溶解することのできる溶媒を混合して供給、または別系統で供給して内部で混合し気化する運用において、原料の供給は半導体製造装置側の必要に応じて間欠的に行い、一方で溶媒は原料の供給サイクルに関わらず連続して供給、気化し続けることで噴霧機構近傍に残留した原料やその分解物による噴霧機構部の閉塞を抑制する気化器の運用方法が提供される。   According to still another aspect of the present invention, a liquid raw material vaporizer used in a semiconductor manufacturing apparatus and having a spray mechanism therein is mixed and supplied with a liquid raw material and a solvent capable of dissolving the raw material, or In the operation of supplying by separate system and mixing and vaporizing inside, the supply of raw materials is intermittently performed as necessary on the semiconductor manufacturing equipment side, while the solvent is continuously supplied and vaporized regardless of the supply cycle of the raw materials. The operation method of the vaporizer | carburetor which suppresses obstruction | occlusion of the spray mechanism part by the raw material which remain | survived near the spray mechanism or its decomposition product by continuing conversion is provided.

ここで、原料が固体原料や粘性の高い液体原料を溶媒に溶解して液体化した液体化原料である場合は原料自体に溶媒が含まれるため、溶媒は原料の供給・気化サイクルに関わらず連続して供給、気化し続ける以外に、液体化原料を供給していないサイクルでのみ溶媒を供給・気化することで噴霧機構近傍に残留した原料やその分解物による噴霧機構部の閉塞を抑制することもできる。   Here, when the raw material is a liquefied raw material obtained by dissolving a solid raw material or a highly viscous liquid raw material in a solvent, the raw material itself contains a solvent, so the solvent is continuous regardless of the supply / vaporization cycle of the raw material. In addition to continuing supply and vaporization, supply and vaporization of the solvent only in cycles where no liquefied raw material is supplied prevents clogging of the spray mechanism due to the raw material remaining in the vicinity of the spray mechanism and its decomposition products You can also.

好ましくは、溶媒のみを流すサイクルにおいて、原料気化サイクルでの溶媒流量に比べ
て少なくとも2倍以上の流量の溶媒を流して気化ノズル近傍の原料流速や圧力を変化させ、効果的にノズル近傍に残留する原料を洗浄するフラッシング動作をさせる。さらに好ましくは、溶媒に窒素などのパージガスを混合して流すことで洗浄効率を向上させる。さらに好ましくは、フラッシング洗浄動作を、原料気化サイクル毎、あるいは噴霧機構部を閉塞させない範囲で原料気化サイクルを数回繰り返す毎に実施する。
Preferably, in a cycle in which only the solvent is flowed, the flow rate of the solvent near the vaporizing nozzle is changed by flowing a solvent at a flow rate at least twice that of the solvent flow rate in the raw material vaporization cycle to effectively remain in the vicinity of the nozzle. The flushing operation for cleaning the raw material to be performed is performed. More preferably, the cleaning efficiency is improved by mixing and flowing a purge gas such as nitrogen in the solvent. More preferably, the flushing cleaning operation is performed for each raw material vaporization cycle or each time the raw material vaporization cycle is repeated several times within a range not to block the spray mechanism.

本発明の更に他の態様によれば、基板を収容した処理室内に複数種類の反応物を複数回供給することにより基板を処理する工程を有する半導体装置の製造方法であって、前記複数種類の反応物のうち少なくともいずれか一つは、液体原料を気化部で気化させた原料ガスを含み、前記気化部で気化させた前記原料ガスの前記処理室内への少なくとも1回の供給動作後、その次に前記液体原料を前記気化部で気化させるまでの間に、前記気化部を洗浄液で洗浄する半導体装置の製造方法が提供される。   According to still another aspect of the present invention, there is provided a semiconductor device manufacturing method including a step of processing a substrate by supplying a plurality of types of reactants a plurality of times into a processing chamber in which the substrate is accommodated. At least one of the reactants includes a source gas obtained by vaporizing a liquid source in a vaporization unit, and after the operation of supplying the source gas vaporized in the vaporization unit into the processing chamber at least once, Next, a method for manufacturing a semiconductor device is provided in which the vaporizing part is cleaned with a cleaning liquid before the liquid source is vaporized in the vaporizing part.

好ましくは、前記気化器の洗浄は前記原料ガスの1回の供給動作毎に行う。また、好ましくは、前記液体原料は溶媒で希釈されており、前記洗浄液は前記液体原料を希釈する前記溶媒である。また、好ましくは、前記溶媒は、エチルシクロヘキサンまたはテトラヒドロフランである。また、好ましくは、前記気化部を洗浄する際、前記気化部で前記洗浄液を気化して、前記気化した洗浄液を前記処理室外に排気する。また、好ましくは、前記液体原料は、Sr、Ba、Tiのうち少なくとも何れか一つを含む液体原料である。また、好ましくは、前記液体原料は、Sr液体原料、Ba液体原料、及びTi液体原料を含む。   Preferably, the vaporizer is cleaned every time the raw material gas is supplied. Preferably, the liquid raw material is diluted with a solvent, and the cleaning liquid is the solvent for diluting the liquid raw material. Preferably, the solvent is ethylcyclohexane or tetrahydrofuran. Preferably, when the vaporizing unit is cleaned, the cleaning liquid is vaporized by the vaporizing unit, and the vaporized cleaning liquid is exhausted out of the processing chamber. Preferably, the liquid material is a liquid material containing at least one of Sr, Ba, and Ti. Preferably, the liquid material includes an Sr liquid material, a Ba liquid material, and a Ti liquid material.

本発明の更に他の態様によれば、基板を処理する処理室と、液体原料を気化する気化部と、前記気化部に液体原料を供給する液体原料供給管と、前記気化部にて液体原料を気化させた原料ガスを前記処理室内に供給する原料ガス供給管と、前記原料ガスとは異なる反応物を前記処理室内に供給する反応物供給管と、前記気化部に洗浄液を供給する洗浄液供給管と、前記処理室内への前記原料ガスの供給及び前記処理室内への前記反応物の供給を複数回行うことで基板を処理するように制御すると共に、前記原料ガスの少なくとも1回の供給動作後、その次に前記液体原料を前記気化部で気化させるまでの間に、前記気化部に前記洗浄液を供給することで前記気化部を洗浄するように制御するコントローラと、を有する基板処理装置が提供される。   According to still another aspect of the present invention, a processing chamber for processing a substrate, a vaporization unit for vaporizing a liquid raw material, a liquid raw material supply pipe for supplying the liquid raw material to the vaporization unit, and a liquid raw material in the vaporization unit A source gas supply pipe for supplying a source gas vaporized into the processing chamber, a reactant supply pipe for supplying a reactant different from the source gas into the processing chamber, and a cleaning liquid supply for supplying a cleaning liquid to the vaporizing section And controlling the substrate to be processed by performing a plurality of times of supply of the raw material gas into the processing chamber and supply of the reactant into the processing chamber, and at least one supply operation of the raw material gas And a controller for controlling the vaporization unit to be cleaned by supplying the cleaning liquid to the vaporization unit until the liquid source is vaporized by the vaporization unit. Provided .

好ましくは、前記コントローラは、前記気化器の洗浄を前記原料ガスの1回の供給動作毎に行うように制御する。また、好ましくは、前記液体原料は溶媒で希釈されており、前記洗浄液は前記液体原料を希釈する前記溶媒である。また、好ましくは、前記溶媒は、エチルシクロヘキサンまたはテトラヒドロフランである。また、好ましくは、前記コントローラは、前記気化部を洗浄する際、前記気化部で前記洗浄液を気化して、前記気化した洗浄液を前記処理室外に排気するように制御する。また、好ましくは、前記液体原料は、Sr、Ba、Tiのうち少なくとも何れか一つを含む液体原料である。また、好ましくは、前記液体原料は、Sr液体原料、Ba液体原料、及びTi液体原料を含む。   Preferably, the controller controls the vaporizer to be cleaned every time the source gas is supplied. Preferably, the liquid raw material is diluted with a solvent, and the cleaning liquid is the solvent for diluting the liquid raw material. Preferably, the solvent is ethylcyclohexane or tetrahydrofuran. Preferably, the controller controls the vaporizing unit to vaporize the cleaning liquid and exhaust the vaporized cleaning liquid to the outside of the processing chamber when the vaporizing unit is cleaned. Preferably, the liquid material is a liquid material containing at least one of Sr, Ba, and Ti. Preferably, the liquid material includes an Sr liquid material, a Ba liquid material, and a Ti liquid material.

本発明の更に他の態様によれば、一つの反応物を基板上に供給する工程と、他の反応物を前記基板上に供給する工程と、を1サイクルとしてこのサイクルを複数回繰り返すことにより前記基板を処理する工程を有する半導体装置の製造方法であって、前記反応物のうち少なくとも何れか一つが液体原料を気化部で気化させた原料ガスを含み、前記液体原料の前記気化部への少なくとも1回の供給動作後、他の液体で前記気化部を洗浄する半導体装置の製造方法が提供される。   According to still another aspect of the present invention, the step of supplying one reactant onto the substrate and the step of supplying another reactant onto the substrate are set as one cycle, and this cycle is repeated a plurality of times. A method of manufacturing a semiconductor device including a step of processing the substrate, wherein at least one of the reactants includes a source gas obtained by vaporizing a liquid source in a vaporization unit, and the liquid source is supplied to the vaporization unit. A method for manufacturing a semiconductor device is provided in which the vaporizing section is cleaned with another liquid after at least one supply operation.

本発明の更に他の態様によれば、基板を処理する処理室と、液体原料を気化する気化部と、前記気化部に液体原料を供給する液体原料供給管と、前記気化部にて液体原料を気化させた原料ガスを前記処理室内に供給する原料ガス供給管と、前記原料ガスとは異なる反
応物を前記処理室内に供給する反応物供給管と、前記気化部に洗浄液を供給する洗浄液供給管と、を有し、前記処理室内への前記原料ガスの供給と前記反応物の供給を複数回行うことで基板を処理するように制御すると共に、前記液体原料の前記気化部への少なくとも1回の供給動作後、前記気化部に前記洗浄液を供給することで前記気化部を洗浄するように制御するコントローラと、を有する基板処理装置が提供される。
According to still another aspect of the present invention, a processing chamber for processing a substrate, a vaporization unit for vaporizing a liquid raw material, a liquid raw material supply pipe for supplying the liquid raw material to the vaporization unit, and a liquid raw material in the vaporization unit A source gas supply pipe for supplying a source gas vaporized into the processing chamber, a reactant supply pipe for supplying a reactant different from the source gas into the processing chamber, and a cleaning liquid supply for supplying a cleaning liquid to the vaporizing section And controlling the substrate to be processed by performing the supply of the source gas and the reactant in the processing chamber a plurality of times, and at least one of the liquid source to the vaporization section. There is provided a substrate processing apparatus including a controller that controls the vaporization unit to be cleaned by supplying the cleaning liquid to the vaporization unit after the supply operation.

本発明の更に他の態様によれば、基板を収容した処理室内に液体原料を気化部で気化させた原料ガスを供給する工程と、前記処理室内に酸化剤を供給する工程と、を複数回繰り返すことにより前記基板を処理する工程を有する半導体装置の製造方法であって、前記気化部で気化させた前記原料ガスの前記処理室内への少なくとも1回の供給動作後、その次に前記液体原料を前記気化部で気化させるまでの間に、前記気化部を洗浄液で洗浄する半導体装置の製造方法が提供される。   According to still another aspect of the present invention, a step of supplying a source gas obtained by vaporizing a liquid source in a vaporization section into a processing chamber containing a substrate, and a step of supplying an oxidizing agent into the processing chamber are performed a plurality of times. A method of manufacturing a semiconductor device including a step of processing the substrate by repeating, wherein after the operation of supplying the source gas vaporized in the vaporization section into the processing chamber at least once, and then the liquid source A method of manufacturing a semiconductor device is provided in which the vaporizing section is cleaned with a cleaning liquid before the vaporizing section is vaporized.

本発明の更に他の態様によれば、基板を収容した処理室内に複数種類の液体原料をそれぞれ異なる気化部で別々に気化させて得た複数種類の原料ガスを供給する工程と、前記処理室内に酸化剤を供給する工程と、を複数回繰り返すことにより前記基板を処理する工程を有する半導体装置の製造方法であって、前記それぞれの原料ガスの前記処理室内への少なくとも1回の供給動作後、その次に前記それぞれの液体原料を前記それぞれの気化部で気化させるまでの間に、前記それぞれの気化部を洗浄液で洗浄する半導体装置の製造方法が提供される。   According to still another aspect of the present invention, a step of supplying a plurality of types of source gases obtained by separately vaporizing a plurality of types of liquid raw materials in different vaporization sections into a processing chamber containing a substrate; A step of processing the substrate by repeating the step of supplying the oxidant a plurality of times, after at least one supply operation of the respective source gases into the processing chamber Then, a method of manufacturing a semiconductor device is provided in which each of the liquid raw materials is cleaned with a cleaning liquid before each of the liquid raw materials is vaporized by the respective vaporizing units.

200 ウェハ(基板)
201 処理室
211s 第1液体原料供給管
211b 第2液体原料供給管
211t 第3液体原料供給管
212s 第1洗浄液供給管
212b 第2洗浄液供給管
212t 第3洗浄液供給管
213s 第1原料ガス供給管
213b 第2原料ガス供給管
213t 第3原料ガス供給管
213o オゾンガス供給管(反応物供給管)
229s 気化器
229b 気化器
229t 気化器
280 コントローラ
200 wafer (substrate)
201 processing chamber 211s first liquid source supply pipe 211b second liquid source supply pipe 211t third liquid source supply pipe 212s first cleaning liquid supply pipe 212b second cleaning liquid supply pipe 212t third cleaning liquid supply pipe 213s first source gas supply pipe 213b Second source gas supply pipe 213t Third source gas supply pipe 213o Ozone gas supply pipe (reactant supply pipe)
229s vaporizer 229b vaporizer 229t vaporizer 280 controller

Claims (8)

基板を収容した処理室内に複数種類の反応物質を複数回供給することにより基板を処理する工程を有する半導体装置の製造方法であって、
前記複数種類の反応物質のうち少なくともいずれか一つは、液体原料を気化部で気化させた原料ガスを含み、
前記基板を処理する工程では、前記気化部に前記液体原料を溶解することのできる溶媒を連続的に流すと共に、前記気化部に前記液体原料を供給して気化させる気化動作を間欠的に行い、
前記液体原料の前記気化動作時以外の時であって、前記液体原料の前記気化動作を所定回数行う毎に、前記気化部に前記溶媒を、前記液体原料の前記気化動作時に供給する前記溶媒の流量よりも大流量で流すフラッシング動作を行う
ことを特徴とする半導体装置の製造方法。
A method for manufacturing a semiconductor device comprising a step of processing a substrate by supplying a plurality of types of reactants a plurality of times into a processing chamber containing the substrate,
At least one of the plurality of types of reactants includes a raw material gas obtained by vaporizing a liquid raw material in a vaporization section,
In the step of processing the substrate, a solvent capable of dissolving the liquid raw material is continuously flowed to the vaporization unit, and the vaporization operation for supplying and vaporizing the liquid raw material to the vaporization unit is intermittently performed.
The solvent is supplied to the vaporizing unit during the vaporizing operation of the liquid raw material every time the liquid raw material is vaporized for a predetermined number of times except during the vaporizing operation of the liquid raw material. A method for manufacturing a semiconductor device, characterized by performing a flushing operation in which a flow rate is larger than a flow rate.
前記フラッシング動作時に前記気化部に流す前記溶媒の流量は、前記液体原料の前記気化動作時に前記気化部に供給する前記溶媒の流量の倍以上である
ことを特徴とする請求項1記載の半導体装置の製造方法。
2. The semiconductor device according to claim 1, wherein the flow rate of the solvent that flows to the vaporization unit during the flushing operation is equal to or more than twice the flow rate of the solvent that is supplied to the vaporization unit during the vaporization operation of the liquid raw material. Manufacturing method.
前記フラッシング動作時に前記気化部に流す前記溶媒の流量は、前記液体原料の前記気化動作時に前記気化部に供給する前記溶媒の流量の2〜20倍である
ことを特徴とする請求項1記載の半導体装置の製造方法。
The flow rate of the solvent that flows through the vaporization unit during the flushing operation is 2 to 20 times the flow rate of the solvent that is supplied to the vaporization unit during the vaporization operation of the liquid raw material. A method for manufacturing a semiconductor device.
前記液体原料の前記気化動作時以外の時に、前記気化部に流した溶媒は、前記処理室内に供給することなく排気する
ことを特徴とする請求項1記載の半導体装置の製造方法。
2. The method of manufacturing a semiconductor device according to claim 1, wherein the solvent flowed to the vaporizing unit is exhausted without being supplied into the processing chamber at a time other than the vaporizing operation of the liquid material.
前記フラッシング動作時に前記気化部に前記溶媒を前記大流量で流す際、一旦、前記気化部に前記溶媒を前記液体原料の前記気化動作時に供給する前記溶媒の流量で流し、その後、前記気化部に供給する前記溶媒の流量を前記大流量に変更する
ことを特徴とする請求項1記載の半導体装置の製造方法。
When flowing the solvent to the vaporization unit at the large flow rate during the flushing operation, the solvent is once flowed to the vaporization unit at the flow rate of the solvent supplied during the vaporization operation of the liquid raw material, and then to the vaporization unit. 2. The method of manufacturing a semiconductor device according to claim 1, wherein the flow rate of the solvent to be supplied is changed to the large flow rate.
前記フラッシング動作時に前記気化部に前記溶媒を前記大流量で流す際、一旦、それよりも小さな流量で前記溶媒を前記気化部に流し、その後、前記気化部に供給する前記溶媒の流量を前記大流量に変更する
ことを特徴とする請求項1記載の半導体装置の製造方法。
When flowing the solvent through the vaporization unit at the large flow rate during the flushing operation, the solvent is once flowed through the vaporization unit at a smaller flow rate, and then the flow rate of the solvent supplied to the vaporization unit is increased. The method of manufacturing a semiconductor device according to claim 1, wherein the flow rate is changed.
前記フラッシング動作時に前記気化部に前記溶媒を前記大流量で流す際、一旦、それよりも小さな流量で前記溶媒を前記気化部に流し、その後、前記大流量での前記溶媒の前記気化部への供給を開始する
ことを特徴とする請求項1記載の半導体装置の製造方法。
When flowing the solvent through the vaporization unit at the large flow rate during the flushing operation, the solvent is once flowed through the vaporization unit at a smaller flow rate, and then the solvent at the large flow rate is supplied to the vaporization unit. 2. The method of manufacturing a semiconductor device according to claim 1, wherein supply is started.
基板を処理する処理室と、
液体原料を気化する気化部と、
前記気化部に液体原料を供給する液体原料供給系と、
前記気化部で前記液体原料を気化させた原料ガスを前記処理室内に供給する原料ガス供給系と、
前記原料ガスとは異なる反応ガスを前記処理室内に供給する反応ガス供給系と、
前記液体原料を溶解することのできる溶媒を前記気化部に供給する溶媒供給系と、
前記処理室内への前記原料ガスの供給及び前記反応ガスの供給を複数回行い、その際、前記気化部に前記溶媒を連続的に流すと共に、前記気化部に前記液体原料を供給して気化
させる気化動作を間欠的に行い、前記液体原料の前記気化動作時以外の時であって、前記液体原料の前記気化動作を所定回数行う毎に、前記気化部に前記溶媒を、前記液体原料の前記気化動作時に供給する前記溶媒の流量よりも大流量で流すフラッシング動作を行うように、前記液体原料供給系、前記気化部、前記原料ガス供給系、前記溶媒供給系、および、前記反応ガス供給系を制御するコントローラと、を有する
ことを特徴とする基板処理装置。
A processing chamber for processing the substrate;
A vaporizing section for vaporizing the liquid raw material;
A liquid raw material supply system for supplying a liquid raw material to the vaporization unit;
A raw material gas supply system for supplying a raw material gas obtained by vaporizing the liquid raw material in the vaporizing section into the processing chamber;
A reaction gas supply system for supplying a reaction gas different from the source gas into the processing chamber;
A solvent supply system for supplying a solvent capable of dissolving the liquid raw material to the vaporization unit;
The supply of the source gas into the processing chamber and the supply of the reaction gas are performed a plurality of times. At this time, the solvent is continuously supplied to the vaporization unit, and the liquid source is supplied to the vaporization unit and vaporized. The vaporizing operation is intermittently performed, and the solvent is supplied to the vaporizing unit every time the vaporizing operation of the liquid raw material is performed a predetermined number of times except during the vaporizing operation of the liquid raw material. The liquid raw material supply system, the vaporizer, the raw material gas supply system, the solvent supply system, and the reaction gas supply system so as to perform a flushing operation that flows at a flow rate larger than the flow rate of the solvent supplied during the vaporization operation. And a controller that controls the substrate processing apparatus.
JP2010044940A 2007-08-30 2010-03-02 Semiconductor device manufacturing method and substrate processing apparatus Active JP5154591B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2010044940A JP5154591B2 (en) 2007-08-30 2010-03-02 Semiconductor device manufacturing method and substrate processing apparatus

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
JP2007224654 2007-08-30
JP2007224654 2007-08-30
JP2008029272 2008-02-08
JP2008029272 2008-02-08
JP2010044940A JP5154591B2 (en) 2007-08-30 2010-03-02 Semiconductor device manufacturing method and substrate processing apparatus

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2008171947A Division JP4472008B2 (en) 2007-08-30 2008-07-01 Semiconductor device manufacturing method and substrate processing apparatus

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2012266800A Division JP5396528B2 (en) 2007-08-30 2012-12-05 Semiconductor device manufacturing method, substrate processing method, and substrate processing apparatus

Publications (2)

Publication Number Publication Date
JP2010135846A true JP2010135846A (en) 2010-06-17
JP5154591B2 JP5154591B2 (en) 2013-02-27

Family

ID=42346729

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2010044940A Active JP5154591B2 (en) 2007-08-30 2010-03-02 Semiconductor device manufacturing method and substrate processing apparatus
JP2012266800A Active JP5396528B2 (en) 2007-08-30 2012-12-05 Semiconductor device manufacturing method, substrate processing method, and substrate processing apparatus

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2012266800A Active JP5396528B2 (en) 2007-08-30 2012-12-05 Semiconductor device manufacturing method, substrate processing method, and substrate processing apparatus

Country Status (1)

Country Link
JP (2) JP5154591B2 (en)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0794426A (en) * 1993-09-24 1995-04-07 Ryoden Semiconductor Syst Eng Kk Cvd device
JPH10195659A (en) * 1996-11-14 1998-07-28 Toshiba Corp Method and device for forming thin film
JP2006351827A (en) * 2005-06-16 2006-12-28 Tokyo Electron Ltd Film-formation method
JP2006351828A (en) * 2005-06-16 2006-12-28 Tokyo Electron Ltd Manufacturing method of semiconductor device and computer storage medium
WO2007097024A1 (en) * 2006-02-27 2007-08-30 Youtec Co., Ltd. Vaporizer, semiconductor production apparatus and process of semiconductor production

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10135154A (en) * 1996-11-05 1998-05-22 Fujitsu Ltd Thin-film chemical vapor deposition method
JP3106990B2 (en) * 1997-02-13 2000-11-06 株式会社島津製作所 Liquid material vaporizer
JP2004183046A (en) * 2002-12-03 2004-07-02 Asahi Denka Kogyo Kk Raw material for chemical vapor deposition, and method for manufacturing thin film containing aluminum element using it
DE10361260A1 (en) * 2003-12-24 2005-07-28 Hella Kgaa Hueck & Co. plug
JP4610979B2 (en) * 2004-09-17 2011-01-12 東京エレクトロン株式会社 Pressure measuring method and maintenance method for source gas supply system, pressure measuring method and maintenance method for film forming apparatus, vaporizer, and film forming apparatus
JP5137366B2 (en) * 2006-01-24 2013-02-06 株式会社日立国際電気 Substrate processing system and liquid material supply apparatus

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0794426A (en) * 1993-09-24 1995-04-07 Ryoden Semiconductor Syst Eng Kk Cvd device
JPH10195659A (en) * 1996-11-14 1998-07-28 Toshiba Corp Method and device for forming thin film
JP2006351827A (en) * 2005-06-16 2006-12-28 Tokyo Electron Ltd Film-formation method
JP2006351828A (en) * 2005-06-16 2006-12-28 Tokyo Electron Ltd Manufacturing method of semiconductor device and computer storage medium
WO2007097024A1 (en) * 2006-02-27 2007-08-30 Youtec Co., Ltd. Vaporizer, semiconductor production apparatus and process of semiconductor production

Also Published As

Publication number Publication date
JP2013055359A (en) 2013-03-21
JP5396528B2 (en) 2014-01-22
JP5154591B2 (en) 2013-02-27

Similar Documents

Publication Publication Date Title
JP4472008B2 (en) Semiconductor device manufacturing method and substrate processing apparatus
JP4987812B2 (en) Semiconductor device manufacturing method, substrate processing method, and substrate processing apparatus
US8685866B2 (en) Method of manufacturing semiconductor device and substrate processing apparatus
JP5719138B2 (en) Semiconductor device manufacturing method and substrate processing method
JP2008078448A (en) Substrate treatment device
JP2010267925A (en) Method for manufacturing semiconductor device and substrate processing apparatus
JP5004890B2 (en) Vaporizer, substrate processing apparatus, and method for manufacturing semiconductor device
JP2011132568A (en) Method for manufacturing semiconductor device, and substrate processing apparatus
JP2011082196A (en) Vaporizer, substrate processing apparatus, and method of manufacturing semiconductor device
JP2012059834A (en) Method for manufacturing semiconductor device
JP2010212335A (en) Substrate-treating device
JP5396528B2 (en) Semiconductor device manufacturing method, substrate processing method, and substrate processing apparatus
JP2010219421A (en) Vaporizer, substrate treatment device, and method of manufacturing semiconductor device
JP5174975B2 (en) Semiconductor device manufacturing method, substrate processing method, and substrate processing apparatus
JP2013082995A (en) Method for manufacturing semi-conductor device, semi-conductor device, and substrate processing apparatus
JP5801632B2 (en) Semiconductor device manufacturing method and substrate processing apparatus
JP2009170711A (en) Manufacturing method of semiconductor device, and substrate processing device
JP2011060936A (en) Method of manufacturing semiconductor device, and substrate processing apparatus
JP2011119593A (en) Method of manufacturing semiconductor device, and substrate processing apparatus
JP2010147157A (en) Method of manufacturing semiconductor apparatus
JP2011061002A (en) Substrate processing apparatus
JP2011061108A (en) Substrate processing apparatus
JP2012064836A (en) Manufacturing method of semiconductor device and substrate processing device
JP2011066357A (en) Method for manufacturing semiconductor device and substrate processor
JP2011035113A (en) Method of manufacturing semiconductor device, and substrate processing device

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20121026

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20121106

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20121205

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20151214

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 5154591

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250