JP2010114168A - Method and device for evaluating projected image, method and device for exposure, and method for managing the device for exposure - Google Patents

Method and device for evaluating projected image, method and device for exposure, and method for managing the device for exposure Download PDF

Info

Publication number
JP2010114168A
JP2010114168A JP2008283787A JP2008283787A JP2010114168A JP 2010114168 A JP2010114168 A JP 2010114168A JP 2008283787 A JP2008283787 A JP 2008283787A JP 2008283787 A JP2008283787 A JP 2008283787A JP 2010114168 A JP2010114168 A JP 2010114168A
Authority
JP
Japan
Prior art keywords
exposure
photosensitive layer
line width
line
information
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2008283787A
Other languages
Japanese (ja)
Inventor
Shigeru Hirukawa
茂 蛭川
Taketo Kudo
威人 工藤
Tami Miyazawa
多美 宮澤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nikon Corp
Original Assignee
Nikon Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nikon Corp filed Critical Nikon Corp
Priority to JP2008283787A priority Critical patent/JP2010114168A/en
Publication of JP2010114168A publication Critical patent/JP2010114168A/en
Withdrawn legal-status Critical Current

Links

Images

Landscapes

  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To efficiently evaluate the state of cross-sectional shape, etc., in an image which is to be formed in the photosensitive layer of a substrate, without having actually to expose the substrate. <P>SOLUTION: A device for exposure having a projected image evaluating device for evaluating the cross-sectional shape of the image to be formed in the photosensitive layer on a wafer W after development includes: a first operation part 22a for obtaining the contrast information of an intensity distribution of illumination light, in a direction along the front surface of the photosensitive layer; a second operation part 22b for obtaining standing wave information in the photosensitive layer, based on the reflectance of the front end in the photosensitive layer on the wafer W; and a third operation part 22c for obtaining the linewidth information of the image to be formed in the photosensitive layer after development, through the use of the contrast information to be obtained by the first operation part 22a and the standing wave information which is to be obtained by the second operation part 22b. <P>COPYRIGHT: (C)2010,JPO&INPIT

Description

本発明は、露光及び現像によって基板上の感光層に形成される像の状態を評価するための投影像評価技術、並びにこの投影像評価技術を用いる露光技術及び露光装置の管理技術に関する。さらに本発明は、その投影像評価技術を用いるデバイス製造技術に関する。   The present invention relates to a projection image evaluation technique for evaluating the state of an image formed on a photosensitive layer on a substrate by exposure and development, as well as an exposure technique using this projection image evaluation technique and an exposure apparatus management technique. Furthermore, the present invention relates to a device manufacturing technique using the projection image evaluation technique.

半導体素子又は液晶表示素子等のデバイス(電子デバイス又はマイクロデバイス)を製造するためのリソグラフィ工程で、レチクル(又はフォトマスク等)のパターンを被露光基板としてのレジストが塗布されたウエハ(又はガラスプレート等)上に転写するために、ステッパのような一括露光型の投影露光装置、又はスキャニング・ステッパのような走査露光型の投影露光装置等の露光装置が使用されている。この種の露光装置では、様々のパターンをそれぞれ十分な焦点深度を確保しつつ高解像度でウエハ上に転写するために、転写対象のパターンに応じて、照明条件等の露光条件の設定が行われる。   A wafer (or glass plate) coated with a resist as a substrate to be exposed in a reticle (or photomask) pattern in a lithography process for manufacturing a device such as a semiconductor element or a liquid crystal display element (electronic device or microdevice) For example, an exposure apparatus such as a batch exposure type projection exposure apparatus such as a stepper or a scanning exposure type projection exposure apparatus such as a scanning stepper is used. In this type of exposure apparatus, in order to transfer various patterns onto a wafer with high resolution while ensuring a sufficient depth of focus, exposure conditions such as illumination conditions are set according to the pattern to be transferred. .

このように設定された露光条件のもとで露光が行われた後、ウエハの現像を行うことによってウエハのレジスト層にレジストパターンが形成され、このレジストパターンを用いてエッチング等のパターン形成処理が施される。そこで、形成されたレジストパターンが目標とする形状であるかどうかを評価するために、従来は、そのレジストパターンを上面から見たときの線幅等を例えば走査型電子顕微鏡(SEM)によって計測していた(例えば、特許文献1参照)。
特開2006−126532号公報
After exposure is performed under the exposure conditions set in this way, a resist pattern is formed on the resist layer of the wafer by developing the wafer, and pattern formation processing such as etching is performed using this resist pattern. Applied. Therefore, in order to evaluate whether or not the formed resist pattern has a target shape, conventionally, for example, the line width when the resist pattern is viewed from the upper surface is measured by, for example, a scanning electron microscope (SEM). (For example, refer to Patent Document 1).
JP 2006-126532 A

従来のように走査型電子顕微鏡によって実際にレジストパターンの線幅等を計測する評価方法では、そのレジストパターンに欠陥等が生じている場合には、未露光の別のウエハを用いて露光条件を変えて露光及び現像を繰り返す必要がある。そのため、例えば最適な露光条件を決定するまでに長い時間を要するという問題があった。
さらに、ウエハのレジスト層の下地面は例えば数%等の反射率で露光用の照明光を反射するため、レジスト層に入射する照明光と下地面からの反射光とによって形成される定在波によって、レジスト層中の光強度分布には厚さ方向にも周期的な変動がある。この結果、現像後のレジストパターンには、厚さ方向にも線幅の太い部分と細い部分とが生じるため、その太い部分の線幅が許容範囲以上であっても、その細い部分において後工程(例えば現像工程中の乾燥工程等)で、レジストパターンの倒れ等が生じる恐れがある。
In the conventional evaluation method in which the line width of a resist pattern is actually measured by a scanning electron microscope as in the past, if the resist pattern has a defect or the like, the exposure condition is set using another unexposed wafer. It is necessary to change and repeat exposure and development. Therefore, for example, there is a problem that it takes a long time to determine the optimum exposure conditions.
Furthermore, since the lower ground of the resist layer of the wafer reflects the illumination light for exposure with a reflectance of, for example, several percent, a standing wave formed by the illumination light incident on the resist layer and the reflected light from the base surface Thus, the light intensity distribution in the resist layer also has periodic fluctuations in the thickness direction. As a result, since the resist pattern after development includes a thick part and a thin part in the thickness direction, even if the line width of the thick part exceeds the allowable range, a post process is performed in the thin part. There is a possibility that the resist pattern collapses or the like (for example, a drying step during the development step).

また、そのようなレジストパターンの厚さ方向の線幅の変化、又は断面形状を走査型電子顕微鏡で計測するためには、ウエハの破壊検査を行う必要があり、評価のためにさらに長い時間を要するとともに、検査後のウエハの再使用ができないという問題もあった。
本発明は斯かる点に鑑み、レジストパターンのような基板の感光層に形成される像の断面形状等の状態を効率的に評価できる投影像評価技術、並びにこの投影像評価技術を用いる露光技術、及び露光装置の管理技術を提供することを目的とする。
In addition, in order to measure the change in the line width in the thickness direction of the resist pattern or the cross-sectional shape with a scanning electron microscope, it is necessary to perform a destructive inspection of the wafer, and a longer time is required for evaluation. In addition, there is a problem that the wafer after inspection cannot be reused.
In view of the above, the present invention provides a projection image evaluation technique capable of efficiently evaluating the state of a cross-sectional shape and the like of an image formed on a photosensitive layer of a substrate such as a resist pattern, and an exposure technique using this projection image evaluation technique. And an exposure apparatus management technique.

また、本発明は、その露光技術を用いて、効率的にデバイスを製造できるデバイス製造技術を提供することをも目的とする。   It is another object of the present invention to provide a device manufacturing technique that can efficiently manufacture a device using the exposure technique.

本発明による投影像評価方法は、基板上の感光層に現像後に形成される像の断面形状を評価する投影像評価方法であって、その感光層を露光する際の露光光のその感光層の表面に沿った方向の強度分布のコントラスト情報を求め、その基板の反射率からその感光層における定在波情報を求め、そのコントラスト情報とその定在波情報とに基づいて、その感光層に現像後に形成される像の線幅情報を求めるものである。   The projection image evaluation method according to the present invention is a projection image evaluation method for evaluating the cross-sectional shape of an image formed on a photosensitive layer on a substrate after development, and the exposure light of the exposure layer when exposing the photosensitive layer Determine the contrast information of the intensity distribution in the direction along the surface, determine the standing wave information in the photosensitive layer from the reflectance of the substrate, and develop the photosensitive layer based on the contrast information and the standing wave information The line width information of an image to be formed later is obtained.

また、本発明による露光方法は、露光光で基板上の感光層を露光する露光方法において、その感光層に対する露光条件を設定する工程と、本発明の投影像評価方法を用いて、その設定された露光条件のもとでその感光層に現像後に形成される像の線幅情報を求める工程と、その求められた線幅情報に基づいてその設定された露光条件を変更する工程とを含むものである。   An exposure method according to the present invention is set using an exposure method for exposing a photosensitive layer on a substrate with exposure light, the step of setting exposure conditions for the photosensitive layer, and the projected image evaluation method of the present invention. A step of obtaining line width information of an image formed after development on the photosensitive layer under the exposure conditions, and a step of changing the set exposure conditions based on the obtained line width information. .

また、本発明による露光装置の管理方法は、露光光で基板上の感光層を露光する露光装置の管理方法であって、その露光装置においてその感光層に対する露光条件を設定し、本発明の投影像評価方法を用いて、その設定された露光条件のもとでその感光層に現像後に形成される像の線幅情報を求め、その求められた線幅情報に基づいてその露光装置による露光結果の評価を行うものである。   An exposure apparatus management method according to the present invention is an exposure apparatus management method for exposing a photosensitive layer on a substrate with exposure light, wherein exposure conditions for the photosensitive layer are set in the exposure apparatus, and the projection according to the present invention is performed. Using the image evaluation method, the line width information of the image formed on the photosensitive layer after development is obtained under the set exposure conditions, and the exposure result by the exposure apparatus based on the obtained line width information Is to evaluate.

また、本発明による投影像評価装置は、基板上の感光層に現像後に形成される像の断面形状を評価する投影像評価装置であって、その感光層を露光する際の露光光のその感光層の表面に沿った方向の強度分布のコントラスト情報を求める第1演算部と、その基板の反射率からその感光層における定在波情報を求める第2演算部と、その第1演算部で求められるそのコントラスト情報とその第2演算部で求められるその定在波情報とを用いて、その感光層に現像後に形成される像の線幅情報を求める第3演算部と、を備えるものである。   A projection image evaluation apparatus according to the present invention is a projection image evaluation apparatus that evaluates a cross-sectional shape of an image formed on a photosensitive layer on a substrate after development, and that exposure light when exposing the photosensitive layer is sensitive to the projection image evaluation apparatus. A first calculation unit that obtains contrast information of intensity distribution in the direction along the surface of the layer, a second calculation unit that obtains standing wave information in the photosensitive layer from the reflectance of the substrate, and a first calculation unit. And a third calculation unit for obtaining line width information of an image formed on the photosensitive layer after development using the contrast information obtained and the standing wave information obtained by the second calculation unit. .

また、本発明による露光装置は、露光光で基板上の感光層を露光する露光装置において、本発明の投影像評価装置と、その感光層に対する露光条件を設定する設定部と、その設定された露光条件のもとでその投影像評価装置によって求められるその感光層に現像後に形成される像の線幅情報に基づいて、その設定された露光条件を変更する制御部と、を備えるものである。
また、本発明によるデバイス製造方法は、本発明の露光方法又は露光装置を用いてその基板上の感光層を露光することと、その露光された感光層を現像することとを含むものである。
An exposure apparatus according to the present invention is an exposure apparatus that exposes a photosensitive layer on a substrate with exposure light, the projection image evaluation apparatus of the present invention, a setting unit that sets exposure conditions for the photosensitive layer, and the setting thereof And a controller that changes the set exposure condition based on the line width information of the image formed on the photosensitive layer after development, which is obtained by the projection image evaluation apparatus under the exposure condition. .
The device manufacturing method according to the present invention includes exposing the photosensitive layer on the substrate using the exposure method or exposure apparatus of the present invention and developing the exposed photosensitive layer.

本発明によれば、例えば基板上の感光層の表面に沿った方向の露光光の強度分布(コントラスト情報)に、その基板の反射率から求められるその感光層中の定在波の強度分布(定在波情報)を加算することで、その感光層中の2次元的な光強度分布が求められる。そして、この光強度分布及びその感光層の感度情報から、その感光層に現像後に形成される像の線幅情報を求めることができる。従って、実際にその基板の露光を行うことなく、その基板の感光層に形成される像(例えばレジストパターン)の断面形状等の状態を効率的に評価できる。   According to the present invention, for example, the intensity distribution (contrast information) of exposure light in the direction along the surface of the photosensitive layer on the substrate is used to determine the intensity distribution of the standing wave in the photosensitive layer obtained from the reflectance of the substrate ( By adding the standing wave information), a two-dimensional light intensity distribution in the photosensitive layer is obtained. Then, line width information of an image formed on the photosensitive layer after development can be obtained from the light intensity distribution and sensitivity information of the photosensitive layer. Therefore, it is possible to efficiently evaluate the state such as the cross-sectional shape of an image (for example, a resist pattern) formed on the photosensitive layer of the substrate without actually exposing the substrate.

以下、本発明の好ましい実施形態の一例につき図1〜図10を参照して説明する。
図1は、本実施形態のスキャニングステッパよりなる走査露光型の露光装置(投影露光装置)100の構成を示す。図1において、露光装置100は、露光用の光源1と、光源1からの照明光IL(露光光)で転写用のパターンが形成されたレチクルR(マスク)を照明する照明光学系ILSと、レチクルRの位置及び速度を制御するレチクルステージRSTと、レチクルRのパターンの像をレジスト(感光材料)が塗布されたウエハW(基板)上に投影する投影光学系PLと、ウエハWの位置及び速度を制御するウエハステージWSTと、装置全体の動作を統括制御するコンピュータよりなる主制御系20とを含んで構成されている。以下、図1において、投影光学系PLの光軸AXに平行にZ軸を取り、Z軸に垂直な平面内で図1の紙面に平行にX軸を、図1の紙面に垂直にY軸を取って説明する。露光時のレチクルR及びウエハWの走査方向は、Y軸に平行な方向(Y方向)である。
Hereinafter, an example of a preferred embodiment of the present invention will be described with reference to FIGS.
FIG. 1 shows the configuration of a scanning exposure type exposure apparatus (projection exposure apparatus) 100 comprising a scanning stepper according to this embodiment. In FIG. 1, an exposure apparatus 100 includes an exposure light source 1, an illumination optical system ILS that illuminates a reticle R (mask) on which a transfer pattern is formed with illumination light IL (exposure light) from the light source 1, and Reticle stage RST that controls the position and speed of reticle R, projection optical system PL that projects an image of the pattern of reticle R onto wafer W (substrate) coated with a resist (photosensitive material), the position of wafer W, and It includes a wafer stage WST that controls the speed and a main control system 20 that includes a computer that controls the overall operation of the apparatus. 1, the Z axis is taken in parallel to the optical axis AX of the projection optical system PL, the X axis is parallel to the paper surface of FIG. 1 in the plane perpendicular to the Z axis, and the Y axis is perpendicular to the paper surface of FIG. Take and explain. The scanning direction of reticle R and wafer W during exposure is a direction parallel to the Y axis (Y direction).

また、光源1としてはArFエキシマレーザ光源(波長193nm)が使用されている。なお、光源1としては、KrFエキシマレーザ光源(波長248nm)などの他のレーザ光源、水銀ランプ、又はYAGレーザの高調波発生光源若しくは固体レーザ(例えば半導体レーザ等)等の高調波発生装置なども使用することができる。
光源1から射出された紫外パルス光よりなる直線偏光の照明光ILは、ビームエキスパンダ2により光束の断面形状が所望の形状に変換された後、光路折り曲げ用のミラー3を介して、照明光学系ILSの光軸AXIに沿って、回転可能な1/2波長板4及び1/4波長板5を含む偏光光学系6に入射する。主制御系20が不図示の回転駆動部を介して1/2波長板4の回転角を制御することによって、偏光光学系6から射出される照明光ILの偏光方向を直交する2方向のいずれか、又は円偏光に設定できる。さらに、不図示の楔型の複屈折性のプリズム(デポラライザ)を照明光ILの光路中に挿入することによって、照明光ILの偏光状態をランダム偏光(非偏光)にすることも可能である。このような偏光光学系6の詳細な構成は、例えば、国際公開第2004/051717号パンフレットに開示されている。
As the light source 1, an ArF excimer laser light source (wavelength 193 nm) is used. As the light source 1, other laser light sources such as a KrF excimer laser light source (wavelength 248 nm), a mercury lamp, a harmonic generation light source such as a YAG laser, or a harmonic generation device such as a solid-state laser (for example, a semiconductor laser) may be used. Can be used.
The linearly polarized illumination light IL made up of ultraviolet pulsed light emitted from the light source 1 is converted into a desired shape by the beam expander 2, and then the illumination optics through the optical path bending mirror 3. The light enters the polarizing optical system 6 including the rotatable half-wave plate 4 and the quarter-wave plate 5 along the optical axis AXI of the system ILS. The main control system 20 controls the rotation angle of the half-wave plate 4 via a rotation drive unit (not shown), so that either of the two directions orthogonal to the polarization direction of the illumination light IL emitted from the polarization optical system 6 can be obtained. Or circularly polarized light. Further, by inserting a wedge-shaped birefringent prism (depolarizer) (not shown) into the optical path of the illumination light IL, the polarization state of the illumination light IL can be changed to random polarization (non-polarization). The detailed configuration of such a polarizing optical system 6 is disclosed in, for example, International Publication No. 2004/051717 pamphlet.

偏光光学系6から射出された照明光ILは、回折光学素子(Diffractive Optical Element)7A,7B,7C等のいずれかに入射する。回折光学素子7Aは、入射した矩形状の平行光束を回折してファーフィールドに円形状の照明領域を形成する。さらに、入射した照明光ILを回折して、ファーフィールドに光軸AXIに対してほぼ対称にX方向に偏心した2箇所の照明領域を形成する2極照明用の回折光学素子7B、ファーフィールドに光軸AXIに対してほぼ対称にX方向及びZ方向(レチクルRのパターン面でのY方向に対応する)に偏心した4箇所の照明領域を形成する4極照明用の回折光学素子7C、他の形状の2極及び4極の照明領域を形成する回折光学素子(不図示)、及び輪帯状の照明領域を形成する回折光学素子(不図示)等が備えられている。これらの回折光学素子7A〜7C等は、一例として円板8の周囲に保持されている。主制御系20からの照明条件を設定する指令に応じて、照明制御系21が駆動部23によって円板8を回転することによって、その照明条件に応じた回折光学素子が照明光ILの光路上に配置される。図1では、照明光ILの光路上に通常照明用の回折光学素子7Aが設定されている。   The illumination light IL emitted from the polarization optical system 6 enters one of diffractive optical elements 7A, 7B, 7C, and the like. The diffractive optical element 7A diffracts the incident rectangular parallel light beam to form a circular illumination region in the far field. Furthermore, the incident illumination light IL is diffracted to form two illumination areas decentered in the X direction almost symmetrically with respect to the optical axis AXI in the far field. A diffractive optical element 7C for quadrupole illumination that forms four illumination regions that are decentered in the X direction and Z direction (corresponding to the Y direction on the pattern surface of the reticle R) substantially symmetrically with respect to the optical axis AXI, etc. And a diffractive optical element (not shown) for forming a two-pole and four-pole illumination area, a diffractive optical element (not shown) for forming an annular illumination area, and the like. These diffractive optical elements 7A to 7C and the like are held around the disk 8 as an example. In response to a command for setting an illumination condition from the main control system 20, the illumination control system 21 rotates the disk 8 by the drive unit 23, so that the diffractive optical element corresponding to the illumination condition is on the optical path of the illumination light IL. Placed in. In FIG. 1, a diffractive optical element 7A for normal illumination is set on the optical path of the illumination light IL.

図1において、回折光学素子7A(又は7B,7C等)を介して回折された光束は、前群レンズ系9a、凹の円錐面を持つ第1プリズム10aと凸の円錐面を持つ第2プリズム10bとからなるアキシコン系10、及び後群レンズ系9bを介して、オプティカル・インテグレータとしてのマイクロレンズアレイ11を照明する。前群レンズ系9a及び後群レンズ系9bから、所定範囲で焦点距離を連続的に変化させることができるズームレンズ(変倍光学系)9が構成されている。ズームレンズ9は、回折光学素子7Aの射出面とマイクロレンズアレイ11の後側焦点面とを光学的にほぼ共役に結んでいる。   In FIG. 1, a light beam diffracted through the diffractive optical element 7A (or 7B, 7C, etc.) is a front group lens system 9a, a first prism 10a having a concave conical surface, and a second prism having a convex conical surface. The microlens array 11 as an optical integrator is illuminated through the axicon system 10 and the rear group lens system 9b. The front lens group 9a and the rear lens system 9b constitute a zoom lens (variable magnification optical system) 9 that can continuously change the focal length within a predetermined range. The zoom lens 9 optically couples the exit surface of the diffractive optical element 7A and the rear focal plane of the microlens array 11 optically in a conjugate manner.

回折光学素子7A〜7C等から射出される照明光ILは、ズームレンズ9の後側焦点面(ひいてはマイクロレンズアレイ11の入射面)において、円形、2極状等の所定形状の照明領域に集光される。このように回折光学装置7A等とズームレンズ9とは、照明領域形成手段を構成している。その照明領域の全体的な大きさは、ズームレンズ9の焦点距離に依存して変化する。ズームレンズ9のレンズ系9aを照明制御系21の指令に基づいて例えばスライド機構を含む駆動部24によって光軸AXIに沿って駆動することで、ズームレンズ9の焦点距離が所望の値に制御される。   The illumination light IL emitted from the diffractive optical elements 7A to 7C and the like is collected in an illumination area having a predetermined shape such as a circular shape or a dipole shape on the rear focal plane of the zoom lens 9 (and hence the incident surface of the microlens array 11). To be lighted. As described above, the diffractive optical apparatus 7A and the like and the zoom lens 9 constitute an illumination area forming unit. The overall size of the illumination area changes depending on the focal length of the zoom lens 9. The focal length of the zoom lens 9 is controlled to a desired value by driving the lens system 9a of the zoom lens 9 along the optical axis AXI by a drive unit 24 including a slide mechanism, for example, based on a command from the illumination control system 21. The

また、アキシコン系10において、第1プリズム10aと第2プリズム10bとの円錐面は対向して配置され、第2プリズム10bは、照明制御系21の指令に基づいて例えばスライド機構を含む駆動部25によって光軸AXIに沿って駆動される。このようにプリズム10a及び10bの光軸AXIに沿った間隔を制御することによって、回折光学素子7A等から射出された光束のマイクロレンズアレイ11の入射面における光軸AXIに対して半径方向の位置を制御できる。従って、例えば後述の図2(C)の2極の二次光源42A及び42Bを使用する場合、図1のアキシコン系10のプリズム10a及び10bの間隔を制御することによって、二次光源42A,42Bの中心の光軸AXIからの距離を制御できる。一方、上記のズームレンズ9の焦点距離を制御することによって、二次光源42A,42Bの個々の大きさを制御できる。   In the axicon system 10, the conical surfaces of the first prism 10 a and the second prism 10 b are arranged to face each other, and the second prism 10 b is based on a command from the illumination control system 21 and includes, for example, a drive unit 25 including a slide mechanism. Is driven along the optical axis AXI. Thus, by controlling the distance along the optical axis AXI of the prisms 10a and 10b, the position of the light beam emitted from the diffractive optical element 7A and the like in the radial direction with respect to the optical axis AXI on the incident surface of the microlens array 11 Can be controlled. Therefore, for example, when using the two-pole secondary light sources 42A and 42B shown in FIG. 2C described later, the secondary light sources 42A and 42B are controlled by controlling the distance between the prisms 10a and 10b of the axicon system 10 shown in FIG. The distance from the center optical axis AXI can be controlled. On the other hand, by controlling the focal length of the zoom lens 9, the individual sizes of the secondary light sources 42A and 42B can be controlled.

マイクロレンズアレイ11は、縦横に稠密に配列された多数の正屈折力を有する微小レンズからなる光学素子である。マイクロレンズアレイ11を構成する各微小レンズは、レチクルR上において形成すべき照明領域の形状(ひいてはウエハW上において形成すべき露光領域の形状)と相似な矩形状の断面を有する。マイクロレンズアレイ11の後側焦点面である照明光学系ILSの瞳面(照明瞳面12)には、マイクロレンズアレイ11への入射光束によって形成される照明領域とほぼ同じ光強度分布を有する二次光源、すなわち光軸AXIを中心とした実質的な面光源からなる二次光源が形成される。   The microlens array 11 is an optical element composed of a large number of microlenses having positive refractive power arranged densely in the vertical and horizontal directions. Each microlens constituting the microlens array 11 has a rectangular cross section similar to the shape of the illumination region to be formed on the reticle R (and thus the shape of the exposure region to be formed on the wafer W). The pupil plane (illumination pupil plane 12) of the illumination optical system ILS, which is the rear focal plane of the microlens array 11, has a light intensity distribution that is substantially the same as the illumination area formed by the incident light flux on the microlens array 11. A secondary light source composed of a secondary light source, that is, a substantial surface light source centered on the optical axis AXI is formed.

図1において、マイクロレンズアレイ11の後側焦点面(照明瞳面12)に形成された二次光源からの照明光ILは、必要に応じてその二次光源の光強度分布の輪郭を規定する開口絞り(不図示)を介して制限された後、第1リレーレンズ13、レチクルブラインド14(視野絞り)、第2リレーレンズ15、光路折り曲げ用のミラー16、及びコンデンサ光学系17を介して、レチクルRのパターン面(レチクル面)を重畳的に照明する。ビームエキスパンダ2からコンデンサ光学系17までの光学部材を含んで照明光学系ILSが構成されている。この場合、レチクルブラインド14の配置面は、そのレチクル面の共役面である。   In FIG. 1, illumination light IL from the secondary light source formed on the rear focal plane (illumination pupil plane 12) of the microlens array 11 defines the contour of the light intensity distribution of the secondary light source as necessary. After being limited via an aperture stop (not shown), via a first relay lens 13, a reticle blind 14 (field stop), a second relay lens 15, an optical path bending mirror 16, and a condenser optical system 17, The pattern surface (reticle surface) of the reticle R is illuminated in a superimposed manner. The illumination optical system ILS includes the optical members from the beam expander 2 to the condenser optical system 17. In this case, the arrangement plane of the reticle blind 14 is a conjugate plane of the reticle plane.

また、照明光学系ILS中には、露光中の照明光ILの光量をモニタするための不図示の光電センサ(インテグレータセンサ)が設置されている。この光電センサによって主制御系20は、ウエハWに対する露光量(積算露光量)をモニタできる。
なお、プリズム10a,10bの代わりに、円錐体の部分が角錐体(又はピラミッド状)となったプリズム、光軸近傍が中空のプリズム、又は複数の部分を別々に加工して一体に固定したもの等を用いてもよい。また、2つのプリズム10a,10bを用いることなく、第1プリズム10aのみを用いてこの位置を光軸AXIに沿って可変としてもよい。更に、可動プリズムとしては、一方向に屈折力がありそれに直交する方向には屈折力の無い1対のV字型の間隔可変のプリズム(不図示)を用いてもよい。ただし、図1において、照明瞳面12における二次光源の位置を半径方向に変化させる必要の無い場合には、アキシコン系10は省略することも可能である。また、マイクロレンズアレイ11の代わりにフライアイレンズ等を使用してもよい。
In the illumination optical system ILS, a photoelectric sensor (integrator sensor) (not shown) for monitoring the amount of illumination light IL being exposed is installed. With this photoelectric sensor, the main control system 20 can monitor the exposure amount (integrated exposure amount) for the wafer W.
In addition, instead of the prisms 10a and 10b, a prism in which a cone portion is a pyramid (or a pyramid shape), a prism having a hollow near the optical axis, or a plurality of portions processed separately and fixed integrally. Etc. may be used. Further, this position may be made variable along the optical axis AXI by using only the first prism 10a without using the two prisms 10a and 10b. Further, as the movable prism, a pair of V-shaped interval variable prisms (not shown) having a refractive power in one direction and no refractive power in a direction orthogonal thereto may be used. However, in FIG. 1, the axicon system 10 can be omitted when it is not necessary to change the position of the secondary light source on the illumination pupil plane 12 in the radial direction. Further, a fly-eye lens or the like may be used instead of the microlens array 11.

照明光ILのもとで、レチクルRの照明領域内の所定の回路パターンの像が、両側テレセントリックの投影光学系PLを介して投影倍率β(βは例えば1/4,1/5等)で、投影光学系PLの像面に配置されたウエハW上の複数のショット領域の内の一つのショット領域のレジスト層に転写される。ウエハWは、例えば半導体(シリコン等)又はSOI(silicon on insulator)等の直径が200mm又は300mm等の円板状の基板である。   Under the illumination light IL, an image of a predetermined circuit pattern in the illumination area of the reticle R is projected at a projection magnification β (β is, for example, 1/4, 1/5, etc.) via the bilateral telecentric projection optical system PL. Then, the image is transferred to the resist layer of one shot area among the plurality of shot areas on the wafer W arranged on the image plane of the projection optical system PL. The wafer W is a disk-shaped substrate having a diameter of 200 mm or 300 mm, such as a semiconductor (silicon or the like) or SOI (silicon on insulator).

本実施形態の投影光学系PLとしては、屈折系の他に、例えば特開2000−47114号公報に開示されているように、互いに交差する光軸を持つ複数の光学系を持つ反射屈折投影光学系、又は例えば国際公開第01/065296号パンフレットに開示されているように、レチクルからウエハに向かう光軸を持つ光学系と、その光軸に対してほぼ直交する光軸を持つ反射屈折系とを有し、内部で中間像を2回形成する反射屈折投影光学系等を使用できる。   As the projection optical system PL of the present embodiment, a catadioptric projection optical system having a plurality of optical systems having optical axes intersecting each other as disclosed in, for example, Japanese Patent Application Laid-Open No. 2000-47114, in addition to a refractive system. An optical system having an optical axis from the reticle to the wafer, and a catadioptric system having an optical axis substantially perpendicular to the optical axis, as disclosed in, for example, WO 01/065296 And a catadioptric projection optical system or the like that forms an intermediate image twice inside.

また、露光装置100は、液浸法で露光を行う液浸露光装置であり、図1において、投影光学系PLを構成する最も像面側(ウエハW側)のレンズL1の先端部を囲むように、照明光ILを通過させる開口(不図示)を有するほぼリング状のノズルユニット30が不図示のフレームによって保持されている。ノズルユニット30内の液体供給路が供給配管35Aを介して液体供給装置33に連結され、ノズルユニット30内の液体回収路が回収配管35Bを介して液体回収装置34に連結されている。   The exposure apparatus 100 is an immersion exposure apparatus that performs exposure by an immersion method. In FIG. 1, the exposure apparatus 100 surrounds the distal end portion of the lens L1 on the most image plane side (wafer W side) constituting the projection optical system PL. In addition, a substantially ring-shaped nozzle unit 30 having an opening (not shown) through which the illumination light IL passes is held by a frame (not shown). The liquid supply path in the nozzle unit 30 is connected to the liquid supply apparatus 33 via the supply pipe 35A, and the liquid recovery path in the nozzle unit 30 is connected to the liquid recovery apparatus 34 via the recovery pipe 35B.

液体供給装置33、液体回収装置34の動作は主制御装置20によって制御される。液体供給装置33、液体回収装置34、供給配管35A、回収配管35B、及びノズルユニット30を含んで、投影光学系PLの先端のレンズL1とウエハWとの間の局所的な液浸領域36に液体Lqを供給して回収する局所液浸方式の液体供給回収システムが構成されている。液体Lqとしては、一例として照明光IL(ここではArFエキシマレーザ光)が透過する超純水(以下、単に水と言う)を用いるものとする。水の照明光ILに対する屈折率nはほぼ1.44である。従って、ウエハWを露光する照明光ILの波長は、約134nm(=193nm×1/n)に短波長化され、解像度及び焦点深度が向上する。   The operations of the liquid supply device 33 and the liquid recovery device 34 are controlled by the main controller 20. Including a liquid supply device 33, a liquid recovery device 34, a supply pipe 35A, a recovery pipe 35B, and a nozzle unit 30, a local liquid immersion region 36 between the lens L1 at the tip of the projection optical system PL and the wafer W is provided. A local liquid immersion type liquid supply / recovery system that supplies and recovers the liquid Lq is configured. As the liquid Lq, for example, ultrapure water (hereinafter simply referred to as water) through which illumination light IL (here, ArF excimer laser light) passes is used. The refractive index n of the water illumination light IL is approximately 1.44. Therefore, the wavelength of the illumination light IL for exposing the wafer W is shortened to about 134 nm (= 193 nm × 1 / n), and the resolution and the depth of focus are improved.

なお、本例の液体供給回収システムはリング状のノズルユニット30を備えているが、これに限らず、例えば、国際公開第99/49504号パンフレットに開示されるように、液体を供給する複数のノズル部材と、液体を回収する複数のノズル部材とを含んで液体供給回収システムを構成することも可能である。
また、図1において、レチクルRは、そのレチクル面がXY平面と平行な投影光学系PLの物体面に配置されるようにレチクルステージRST上に吸着保持され、レチクルステージRSTは、レチクルベース(不図示)上にY方向に等速移動可能に、かつ少なくともX方向、Y方向、及びZ軸の周りの回転方向に微動可能に載置されている。レチクルステージRSTの位置(回転も含む)は、レチクルステージ駆動系31内のレーザ干渉計によって計測されている。レチクルステージ駆動系31は、その計測情報及び主制御系20からの制御情報に基づいて、不図示の駆動機構を介してレチクルステージRSTの位置及び速度を制御する。
The liquid supply / recovery system of the present example includes the ring-shaped nozzle unit 30. However, the present invention is not limited thereto. For example, as disclosed in International Publication No. 99/49504, a plurality of liquid supply systems are provided. It is also possible to configure a liquid supply and recovery system including a nozzle member and a plurality of nozzle members that recover the liquid.
In FIG. 1, the reticle R is sucked and held on the reticle stage RST so that the reticle surface is arranged on the object plane of the projection optical system PL parallel to the XY plane. The reticle stage RST is a reticle base (not shown). It is mounted so as to be movable at a constant speed in the Y direction and finely movable in at least the X direction, the Y direction, and the rotation direction around the Z axis. The position (including rotation) of reticle stage RST is measured by a laser interferometer in reticle stage drive system 31. Reticle stage drive system 31 controls the position and speed of reticle stage RST via a drive mechanism (not shown) based on the measurement information and control information from main control system 20.

一方、ウエハWは、不図示のウエハホルダを介してウエハステージWST上に吸着保持され、ウエハステージWSTは、ウエハベース(不図示)上にX方向、Y方向に移動自在に載置されている。ウエハステージWSTの位置(回転も含む)は、ウエハステージ駆動系32内のレーザ干渉計によって計測されている。ウエハステージ駆動系32は、その計測情報及び主制御系20からの制御情報に基づいて、不図示の駆動機構を介してウエハステージWSTの位置及び速度を制御する。また、ウエハステージWSTには、不図示のオートフォーカスセンサの計測情報に基づいて、走査露光中に露光領域(投影光学系PLに関して照明領域と共役な照明光ILの照射領域)内でウエハWの表面を投影光学系PLの結像面に合わせ込むための合焦機構が組み込まれている。   On the other hand, wafer W is sucked and held on wafer stage WST via a wafer holder (not shown), and wafer stage WST is placed on a wafer base (not shown) so as to be movable in the X and Y directions. The position (including rotation) of wafer stage WST is measured by a laser interferometer in wafer stage drive system 32. Wafer stage drive system 32 controls the position and speed of wafer stage WST via a drive mechanism (not shown) based on the measurement information and control information from main control system 20. In addition, wafer stage WST has wafer W W within exposure area (irradiation area of illumination light IL conjugate with illumination area with respect to projection optical system PL) during scanning exposure based on measurement information of an autofocus sensor (not shown). A focusing mechanism for aligning the surface with the imaging plane of the projection optical system PL is incorporated.

また、主制御系20には、ウエハWの現像後にレジスト層に形成されるレジストパターンの断面形状を評価(予測)するためのコンピュータよりなるシミュレータ22(演算装置)が接続されている。シミュレータ22は、レジストパターンの断面形状を評価するためのプログラムを実行する。シミュレータ22は、そのプログラムによって実行される機能(ソフトウェア上の機能)である後述の第1演算部22a、第2演算部22b、及び第3演算部22cを備えている。なお、これらの演算部22a〜22cを個別にハードウェアによって実現することも可能である。さらに、主制御系20には、オペレータとの間で各種コマンド及び各種情報の入出力を行うための入出力部19が接続されている。   The main control system 20 is connected to a simulator 22 (arithmetic unit) composed of a computer for evaluating (predicting) the cross-sectional shape of the resist pattern formed on the resist layer after the development of the wafer W. The simulator 22 executes a program for evaluating the cross-sectional shape of the resist pattern. The simulator 22 includes a first calculation unit 22a, a second calculation unit 22b, and a third calculation unit 22c, which will be described later, which are functions (software functions) executed by the program. In addition, it is also possible to implement | achieve these calculating parts 22a-22c separately with a hardware. Further, an input / output unit 19 for inputting / outputting various commands and various information to / from the operator is connected to the main control system 20.

以下、露光装置100において、そのレジストパターンの断面形状を予め評価する動作を含む露光動作の一例につき図8のフローチャートを参照して説明する。
先ず、図8のステップ101において、図1のレチクルステージRST上に露光対象のレチクルRをロードする。次のステップ102において、主制御系20は、内部の記憶装置に記憶されているレチクルRの露光データファイルからレチクルR上の最も微細なパターン(以下、単にレチクルRのパターンという)の情報、レチクルRに対する照明条件、投影光学系PLの倍率及び開口数(不図示の開口絞りによって設定される)、並びにウエハ上の既知のレジストの感度(適正露光量)等の情報を読み出す。そして、主制御系20は、照明制御系21を介して、その読み出した照明条件に応じて照明瞳面12における二次光源の形状を設定し、偏光光学系6における偏光照明を設定し、投影光学系PLの開口数を設定する。走査露光時のウエハW上の各点に対する照明光ILの露光パルス数をN、照明光ILのウエハW上でのパルスエネルギーをEPUとすると、ウエハWに対する露光量(積算露光量)は、N×EPUとなる。従って、露光パルス数N及び/又はパルスエネルギーEPUの制御によって露光量を制御できる。
Hereinafter, an example of an exposure operation including an operation for preliminarily evaluating the cross-sectional shape of the resist pattern in the exposure apparatus 100 will be described with reference to the flowchart of FIG.
First, in step 101 of FIG. 8, the reticle R to be exposed is loaded on the reticle stage RST of FIG. In the next step 102, the main control system 20 reads information on the finest pattern on the reticle R (hereinafter simply referred to as the pattern of the reticle R) from the exposure data file of the reticle R stored in the internal storage device, the reticle. Information such as illumination conditions for R, magnification and numerical aperture of projection optical system PL (set by an aperture stop (not shown)), sensitivity of a known resist on the wafer (appropriate exposure), and the like are read. Then, the main control system 20 sets the shape of the secondary light source on the illumination pupil plane 12 according to the read illumination condition, sets the polarization illumination in the polarization optical system 6 and projects via the illumination control system 21. The numerical aperture of the optical system PL is set. When the number of exposure pulses of the illumination light IL for each point on the wafer W during scanning exposure is N and the pulse energy of the illumination light IL on the wafer W is EPU, the exposure amount (integrated exposure amount) for the wafer W is N × EPU. Therefore, the exposure amount can be controlled by controlling the number of exposure pulses N and / or the pulse energy EPU.

レチクルRのパターン、その照明条件(照明光ILの波長、波長幅、二次光源の形状、偏光照明)、レジストの感度、及び投影光学系PLの開口数等の情報はシミュレータ22の記憶部にも格納される。
次のステップ103において、主制御系20は、ウエハ上のレジストの厚さ(設定値)h、透過率Th、及び屈折率等の情報、並びにウエハのレジストの下地の反射率(基板反射率)WR(例えば0〜数%程度)の情報をその露光データファイルから入力し、この入力した情報をシミュレータ22の記憶部に格納する。ウエハ上の第1レイヤに露光する際には、その基板反射率WRは、レジスト塗布前のウエハの表面の反射率に等しい。さらに、ウエハの表面に反射防止膜が形成されている場合には基板反射率WRは小さくなる。高性能の反射防止膜を形成すれば基板反射率WRは低下するが、デバイスの製造工程が多くなり製造コストが増大する。従って、或る程度の基板反射率WRがあっても高いスループットでデバイスを製造できるように、照明条件を含む露光条件を調整することが好ましい。
Information on the pattern of the reticle R, its illumination conditions (the wavelength of the illumination light IL, the wavelength width, the shape of the secondary light source, the polarization illumination), the resist sensitivity, the numerical aperture of the projection optical system PL, etc. are stored in the storage unit of the simulator 22 Is also stored.
In the next step 103, the main control system 20 performs information such as the resist thickness (set value) h, transmittance Th, and refractive index on the wafer, and the reflectance of the resist on the wafer (substrate reflectance). Information on WR (for example, about 0 to several percent) is input from the exposure data file, and the input information is stored in the storage unit of the simulator 22. When the first layer on the wafer is exposed, the substrate reflectivity WR is equal to the reflectivity of the surface of the wafer before resist application. Further, when an antireflection film is formed on the surface of the wafer, the substrate reflectance WR is small. If a high-performance antireflection film is formed, the substrate reflectivity WR decreases, but the number of device manufacturing steps increases and the manufacturing cost increases. Therefore, it is preferable to adjust the exposure conditions including the illumination conditions so that the device can be manufactured with a high throughput even if there is a certain substrate reflectivity WR.

さらに、主制御系20は、露光装置100に関する露光データファイルから、投影光学系PLの収差、液浸露光時の液体Lqの屈折率、投影光学系PLに対する平均的なフォーカス変動(デフォーカス)による結像誤差(例えば線幅誤差)、レチクルステージRST及びウエハステージWSTの振動である像振動MSDによる結像誤差、照明光ILの偏光度による結像誤差、並びに照明光学系ILS及び投影光学系PLのフレアによる結像誤差の情報を読み出し、これらのレチクルパターンの像の状態に影響を与える情報をシミュレータ22の記憶部に格納する。   Further, the main control system 20 determines, from the exposure data file related to the exposure apparatus 100, the aberration of the projection optical system PL, the refractive index of the liquid Lq during immersion exposure, and the average focus fluctuation (defocus) with respect to the projection optical system PL. Imaging error (for example, line width error), imaging error due to image vibration MSD which is the vibration of reticle stage RST and wafer stage WST, imaging error due to the degree of polarization of illumination light IL, and illumination optical system ILS and projection optical system PL The information on the image formation error due to the flare is read, and the information affecting the state of the image of the reticle pattern is stored in the storage unit of the simulator 22.

ここで、一例として、レチクルRのパターンは、図2(A)のX方向に解像限界程度の周期で形成されたライン・アンド・スペースパターン(以下、L&Sパターンと言う)41Xであるとする。また、この際の照明条件は、図2(E)に示すZ方向に細長い二次光源42E,42Fを用いるX方向の2極照明で、レチクル面での照明光ILの偏光状態をY方向の直線偏光(V偏光)であるとする。図2(E)において、円周42Gは、照明瞳面12においてコヒーレンスファクタ(σ値)を規定しており、二次光源42E,42Fの外側のエッジ部は円周42Gに内接している。   Here, as an example, it is assumed that the pattern of the reticle R is a line and space pattern (hereinafter referred to as an L & S pattern) 41X formed in the X direction in FIG. . In addition, the illumination conditions at this time are two-pole illumination in the X direction using secondary light sources 42E and 42F elongated in the Z direction shown in FIG. 2E, and the polarization state of the illumination light IL on the reticle surface is changed in the Y direction. Let it be linearly polarized light (V polarized light). In FIG. 2E, a circumference 42G defines a coherence factor (σ value) in the illumination pupil plane 12, and the outer edge portions of the secondary light sources 42E and 42F are inscribed in the circumference 42G.

さらに、一例として、L&Sパターン41Xの投影像の段階での線幅を37.5nm、周期(ピッチ)を75nmとして、L&Sパターン41Xはその遮光部の透過率が6%のハーフトーンであるとする。これに応じて、投影光学系PLの開口数NAを1.35(液浸法使用時)として、図2(E)の二次光源42E,42Fの外側のσ値(σout)を0.98、内側のσ値(σin)を0.843(σin/σout =0.86)とする。また、照明光ILの偏光度を次のDSP又はRSPで定義する。なお、以下の式において、Ixは目標とする偏光成分(ここではV偏光)の強度、Iyは目標とする偏光成分と異なる偏光成分(ここではV偏光に直交するH偏光)の強度である。   Further, as an example, it is assumed that the line width at the stage of the projected image of the L & S pattern 41X is 37.5 nm, the period (pitch) is 75 nm, and the L & S pattern 41X is a halftone with a light transmittance of 6%. . Accordingly, the numerical aperture NA of the projection optical system PL is set to 1.35 (when using the liquid immersion method), and the σ value (σout) outside the secondary light sources 42E and 42F in FIG. The inner σ value (σin) is 0.843 (σin / σout = 0.86). Further, the degree of polarization of the illumination light IL is defined by the following DSP or RSP. In the following expression, Ix is the intensity of the target polarization component (here, V-polarized light), and Iy is the intensity of a polarization component different from the target polarization component (here, H-polarized light orthogonal to V-polarization).

DSP=|Ix−Iy|/(Ix+Iy) …(1A)
RSP=Ix/(Ix+Iy) …(1B)
この場合、一例として、照明光ILの偏光度DSPは0.85(偏光度RSPは0.925)に設定される。
なお、照明条件として、図2(C)に示す円形の二次光源42A,42Bを用いるX方向の2極照明を使用することも可能である。
DSP = | Ix−Iy | / (Ix + Iy) (1A)
RSP = Ix / (Ix + Iy) (1B)
In this case, as an example, the polarization degree DSP of the illumination light IL is set to 0.85 (the polarization degree RSP is 0.925).
As illumination conditions, it is also possible to use bipolar illumination in the X direction using circular secondary light sources 42A and 42B shown in FIG.

図3(A)及び(C)は、図1のウエハWの露光面の一部を示す拡大図である。図3(A)及び(C)において、ウエハW上に厚さhのレジストPRが塗布されている。図2(C)の一方の二次光源42Aから射出されて、図2(A)のL&Sパターン41X及び投影光学系PLを通過した0次光を図3(A)及び(C)の入射角θ(rad)の光束IL1として、その二次光源42Aから射出されてL&Sパターン41Xで回折されて投影光学系PLを通過した1次回折光を図3(A)の入射角θの光束IL2とする。このとき、光束IL1及びIL2の干渉によって、レジストPR内にL&Sパターン41Xの投影光学系PLによる像43X(図3(B)参照)に対応する強度分布、即ちレジストPRの表面に沿ったX方向に周期PXの図3(B)の実線44Aで示す正弦波状の強度分布SXが形成される。図3(B)及び後述の図3(D)において、光強度が高い部分ほど白く表されている。   3A and 3C are enlarged views showing a part of the exposure surface of the wafer W in FIG. 3A and 3C, a resist PR having a thickness h is applied on the wafer W. The 0th-order light emitted from one secondary light source 42A in FIG. 2C and passing through the L & S pattern 41X and the projection optical system PL in FIG. 2A is incident on the incident angles in FIGS. 3A and 3C. The first-order diffracted light emitted from the secondary light source 42A, diffracted by the L & S pattern 41X, and passed through the projection optical system PL as the light beam IL1 of θ (rad) is defined as the light beam IL2 of incident angle θ in FIG. . At this time, due to the interference of the light beams IL1 and IL2, the intensity distribution corresponding to the image 43X (see FIG. 3B) of the L & S pattern 41X by the projection optical system PL in the resist PR, that is, the X direction along the surface of the resist PR. A sinusoidal intensity distribution SX indicated by a solid line 44A in FIG. In FIG. 3B and later-described FIG. 3D, the portion with higher light intensity is shown in white.

上記のレチクルのパターン、照明条件(照明光ILの波長、二次光源の形状等)、投影光学系PLの倍率及び開口数、液体Lqの屈折率、投影像に影響を与える情報(上記の投影光学系PLの収差、フォーカス変動による誤差、ステージの振動(像振動)による誤差等)、レジストの厚さh、レジストの屈折率、並びにレジストの透過率Th等の情報を用いることによって、図1のシミュレータ22の第1演算部22aは強度分布SXを計算することができる。この際に、光束IL1の強度は例えば所定の基準強度としておけばよい。また、近似的には、光束IL1及びIL2を収差に応じて位相が部分的に変化する平面波で表して、それらの和の絶対値を求めることで強度分布SXを計算できる。強度分布SXは、振幅の1/2であるAX1及び平均値AX2を用いて次のように近似的に表すことができ、そのコントラストCSXは次のようになる。   Information affecting the reticle pattern, illumination conditions (wavelength of illumination light IL, shape of secondary light source, etc.), magnification and numerical aperture of projection optical system PL, refractive index of liquid Lq, projection image (projection above) By using information such as aberration of the optical system PL, error due to focus fluctuation, error due to stage vibration (image vibration), resist thickness h, resist refractive index, resist transmittance Th, and the like, FIG. The first calculator 22a of the simulator 22 can calculate the intensity distribution SX. At this time, the intensity of the light beam IL1 may be set to a predetermined reference intensity, for example. Approximately, the intensity distribution SX can be calculated by representing the light beams IL1 and IL2 by plane waves whose phases partially change according to the aberration, and obtaining the absolute value of the sum thereof. The intensity distribution SX can be approximately expressed as follows using AX1 and average value AX2 which are ½ of the amplitude, and the contrast CSX is as follows.

SX=AX1・sin(2π・X/PX)+AX2 …(2A)
CSX=(AX1/AX2)×100(%) …(2B)
実際には強度分布SXには、図2(C)の他方の二次光源42Bから射出されてL&Sパターン41Xを透過した0次光、及びL&Sパターン41Xで回折された1次光の干渉による同様の強度分布も重畳されている。Y方向のL&Sパターンの像の強度分布SYについても同様に計算することができる。
SX = AX1 · sin (2π · X / PX) + AX2 (2A)
CSX = (AX1 / AX2) × 100 (%) (2B)
Actually, the intensity distribution SX is similar to that caused by interference of the 0th-order light emitted from the other secondary light source 42B of FIG. 2C and transmitted through the L & S pattern 41X and the primary light diffracted by the L & S pattern 41X. The intensity distribution is also superimposed. The intensity distribution SY of the image of the L & S pattern in the Y direction can be similarly calculated.

なお、直接に強度分布SXを計算する代わりに、図9(A)〜図9(D)に示すように、予め投影像の強度分布のコントラストCSXを低下させる誤差要因毎の感度(コントラストの低下量/各要因の変動量)を求めて記憶しておいてもよい。図9(A)、図9(B)、図9(C)、及び図9(D)はそれぞれフォーカス変動(nm)、ステージの振動による像振動MSD(nm)、照明光の偏光度RSP、及び実効フレア(%)に起因するコントラストの低下量ΔCを示している。実効フレア(Fef)とは、次のように投影光学系PLのフレア(レンズフレア)FPLの0.5倍と、照明光学系ILSのフレア(照明フレア)FILS の0.25倍との和で定義される。   Instead of directly calculating the intensity distribution SX, as shown in FIGS. 9A to 9D, the sensitivity for each error factor that lowers the contrast CSX of the intensity distribution of the projected image in advance (decrease in contrast) (Amount / variation amount of each factor) may be obtained and stored. 9 (A), 9 (B), 9 (C), and 9 (D) show focus variation (nm), image vibration MSD (nm) due to stage vibration, and polarization degree RSP of illumination light, respectively. Also, the contrast reduction amount ΔC caused by effective flare (%) is shown. The effective flare (Fef) is a sum of 0.5 times the flare (lens flare) FPL of the projection optical system PL and 0.25 times the flare (illumination flare) FILS of the illumination optical system ILS as follows. Defined.

Fef=FPL×0.5+FILS ×0.25 …(3)
なお、レンズフレアFPLの影響は、レチクルRのパターンの開口率に比例し、レチクルRの全面にL&Sパターンが形成されている場合の開口率は0.5である。また、照明フレアFILS の影響は、結像に寄与しない(0次光のみが通過するような)方向からの照明光と近似して計算する。
Fef = FPL × 0.5 + FILS × 0.25 (3)
The influence of the lens flare FPL is proportional to the aperture ratio of the reticle R pattern, and the aperture ratio when the L & S pattern is formed on the entire surface of the reticle R is 0.5. Further, the influence of the illumination flare FILS is calculated by approximating the illumination light from a direction that does not contribute to image formation (only the 0th-order light passes).

図9(A)〜図9(D)より、フォーカス変動をFz(nm)、像振動MSDをV(nm)、偏光度RSPをP、実効フレアをFefとすると、予め実測又は計算によって求めて記憶されている感度(係数)kz、kv、kp、kfを用いて、コントラストの低下量ΔCは次のように表すことができる。
ΔC=−kz・Fz2,ΔC=−kv・V2,ΔC=−kp(1−P),
ΔC=−kf・Fef …(4)
このように、フォーカス変動Fz 及び像振動Vに関しては、コントラストの低下量はFz 及びVの二次関数となり、それ以外の誤差要因によるコントラストの低下は一次関数である。また、フォーカス変動Fz 、像振動V、偏光度の誤差(1−P)、及び実効フレアFefがそれぞれ0の場合(理想状態)に計算される強度分布SXのコントラストをC0 とすると、式(4)を用いて上記の誤差要因がある場合のコントラストCSXは次のように計算できる。
From FIGS. 9A to 9D, when the focus variation is Fz (nm), the image vibration MSD is V (nm), the polarization degree RSP is P, and the effective flare is Fef, the value is obtained in advance by actual measurement or calculation. Using the stored sensitivity (coefficients) kz, kv, kp, kf, the contrast reduction amount ΔC can be expressed as follows.
ΔC = −kz · Fz 2 , ΔC = −kv · V 2 , ΔC = −kp (1-P),
ΔC = −kf · Fef (4)
As described above, with respect to the focus fluctuation Fz and the image vibration V, the contrast reduction amount is a quadratic function of Fz and V, and the contrast reduction due to other error factors is a linear function. Further, when the contrast of the intensity distribution SX calculated when the focus fluctuation Fz, the image vibration V, the polarization degree error (1-P), and the effective flare Fef are 0 (ideal state) is C 0 , Using 4), the contrast CSX when there is the above error factor can be calculated as follows.

CSX=C0 −kz・Fz2 −kv・V2 −kp(1−P)−kf・Fef …(5)
なお、ここでは転写対象のパターンがX方向のL&Sパターン41Xであるため、像振動Vとしては、X方向の像振動(X−MSD)のみを考慮すればよい。
具体的に、図10は、照明フレアFILS が2.0%(Fef=0.5%)、偏光度P(RSP)が0.925の場合に、像振動V及びフォーカス変動Fz を次第に変化させながら式(5)を用いて計算したコントラストCSXを示す。図10において、横軸はX方向の像振動(X−MSD)(nm)、縦軸はフォーカス変動Fz(nm)であり、実線の曲線で隔てられた領域CF1,CF2,CF3,CF4,CF5,CF6,CF7,CF8,CF9,CF10,CF11は、それぞれ計算されたコントラストCSXが0.5−0.52,0.48−0.5,0.46−0.48,0.44−0.46,0.42−0.44,0.4−0.42,0.38−0.4,0.36−0.38,0.34−0.36,0.32−0.34,0.3−0.32である領域を示している。
CSX = C 0 -kz · Fz 2 -kv · V 2 -kp (1-P) -kf · Fef ... (5)
Here, since the pattern to be transferred is the L & S pattern 41X in the X direction, only the image vibration (X-MSD) in the X direction has to be considered as the image vibration V.
Specifically, FIG. 10 shows that when the illumination flare FILS is 2.0% (Fef = 0.5%) and the polarization degree P (RSP) is 0.925, the image vibration V and the focus fluctuation Fz are gradually changed. However, contrast CSX calculated using equation (5) is shown. In FIG. 10, the horizontal axis represents the image vibration (X-MSD) (nm) in the X direction, the vertical axis represents the focus fluctuation Fz (nm), and the regions CF1, CF2, CF3, CF4, CF5 separated by the solid curve. , CF6, CF7, CF8, CF9, CF10, and CF11, the calculated contrast CSX is 0.5-0.52, 0.48-0.5, 0.46-0.48, 0.44-0, respectively. .46, 0.42-0.44, 0.4-0.42, 0.38-0.4, 0.36-0.38, 0.34-0.36, 0.32-0.34 , 0.3-0.32.

仮に必要とされるコントラストCSXが0.42であるとすると、図10からフォーカス変動が35nmの場合の像振動(X−MSD)の許容値はほぼ7nmであることが分かる。
なお、この計算例では照明開口(二次光源)が小さいため波面収差の影響は小さいものとしているが、照明開口が大きい場合には波面収差の影響も考慮される。
If the required contrast CSX is 0.42, it can be seen from FIG. 10 that the allowable value of image vibration (X-MSD) when the focus variation is 35 nm is approximately 7 nm.
In this calculation example, the influence of wavefront aberration is small because the illumination aperture (secondary light source) is small. However, when the illumination aperture is large, the influence of wavefront aberration is also considered.

また、レチクルRのパターンが図2(B)に示すように、X方向のL&Sパターン41X及びY方向のL&Sパターン41Yを含む場合には、照明条件は、一例として図2(D)に示すように、十字型の4極の二次光源42A,42B,42C,42Dを用いる4極照明となる。この場合には、X方向のL&Sパターン41Xの像の結像に関しては、Z軸に平行に配列された2つの二次光源42C,42Dからの照明光はオフセット成分となる。従って、その像のX方向の強度分布SXは、図3(B)の点線の曲線44B(平均値が一致するようにレベル調整を行っている。)で示すようにコントラストが低下する。   Further, as shown in FIG. 2B, when the pattern of the reticle R includes the L & S pattern 41X in the X direction and the L & S pattern 41Y in the Y direction as shown in FIG. In addition, quadrupole illumination using cross-shaped quadrupole secondary light sources 42A, 42B, 42C, and 42D is obtained. In this case, regarding the image formation of the L & S pattern 41X in the X direction, the illumination light from the two secondary light sources 42C and 42D arranged in parallel to the Z axis becomes an offset component. Accordingly, the contrast of the intensity distribution SX in the X direction of the image decreases as shown by the dotted curve 44B in FIG. 3B (level adjustment is performed so that the average values match).

次に、図3(C)において、光束IL1が透過率ThのレジストPRを透過して、反射率WRの下地で反射されることによって、下地(ウエハWの表面)から反射光IL1Rが生じる。そして、光束IL1と反射光IL1Rとの干渉によって、レジストPR中に図3(D)の実線45Aで示すZ方向に周期PZで強度分布SZの定在波43Zが形成される。図3(C)において光束IL1と反射光IL1RとがウエハWの下地面に平行な面に対してなす角度φ(rad)は、図3(A)の角度θを用いて次のようになる。   Next, in FIG. 3C, the light beam IL1 passes through the resist PR having the transmittance Th and is reflected by the ground having the reflectance WR, whereby reflected light IL1R is generated from the ground (the surface of the wafer W). Then, due to the interference between the light beam IL1 and the reflected light IL1R, a standing wave 43Z having an intensity distribution SZ is formed in the resist PR with a period PZ in the Z direction indicated by a solid line 45A in FIG. In FIG. 3C, the angle φ (rad) formed by the light beam IL1 and the reflected light IL1R with respect to the plane parallel to the lower ground of the wafer W is as follows using the angle θ of FIG. .

φ=π/2−θ …(6)
従って、図1のシミュレータ22の第2演算部22bは、レチクルRのパターン、その照明条件(照明光ILの波長、二次光源の形状等)、投影光学系PLの倍率及び開口数、液体Lqの屈折率、レジストの屈折率、レジストの厚さh、レジストの透過率Th、並びに基板反射率WR等の情報を用いて、ウエハW上のレジストPR内に形成される定在波43ZのZ方向の強度分布SZを計算する。なお、例えばその角度φを用いて光束IL1及び反射光IL1Rを平面波で近似して、それらの和の絶対値を求めることによって強度分布SZを計算してもよい。
φ = π / 2−θ (6)
Accordingly, the second calculation unit 22b of the simulator 22 in FIG. 1 performs the reticle R pattern, its illumination conditions (the wavelength of the illumination light IL, the shape of the secondary light source, etc.), the magnification and numerical aperture of the projection optical system PL, and the liquid Lq. Of the standing wave 43Z formed in the resist PR on the wafer W using information such as the refractive index of the resist, the refractive index of the resist, the resist thickness h, the resist transmittance Th, and the substrate reflectance WR. The direction intensity distribution SZ is calculated. For example, the intensity distribution SZ may be calculated by approximating the light beam IL1 and the reflected light IL1R with a plane wave using the angle φ and obtaining the absolute value of the sum thereof.

定在波43ZのZ方向の強度分布SZは、振幅の1/2であるBX1及び平均値BX2を用いて次のように近似的に表すことができ、そのコントラストCSZは次のようになる。この際に、反射光IL1Rの位相は、反射時にπだけ変化するものとしている。
SZ=BX1・sin(2π・Z/PZ+π)+BX2 (0≦Z≦h)…(7A)
CSZ=(BX1/BX2)×100(%) …(7B)
実際には、強度分布SZには、図3(A)の光束IL2及び図4(B)に示す反射光IL2Rによる定在波、並びに図2(C)の他方の二次光源42Bから射出されてL&Sパターン41Xを通過する0次光及び1次光に基づく定在波の強度分布も重畳されている。また、ウエハWの基板反射率WRが小さくなると、図3(D)の点線の曲線45Bで示すように、定在波の強度分布SZは全体として小さくなる。
The intensity distribution SZ in the Z direction of the standing wave 43Z can be approximately expressed as follows using BX1 and average value BX2 which are ½ of the amplitude, and the contrast CSZ is as follows. At this time, the phase of the reflected light IL1R changes by π at the time of reflection.
SZ = BX1 · sin (2π · Z / PZ + π) + BX2 (0 ≦ Z ≦ h) (7A)
CSZ = (BX1 / BX2) × 100 (%) (7B)
Actually, the intensity distribution SZ is emitted from the standing light wave IL2 shown in FIG. 3A and the reflected light IL2R shown in FIG. 4B, and the other secondary light source 42B shown in FIG. 2C. The intensity distribution of the standing wave based on the 0th order light and the 1st order light passing through the L & S pattern 41X is also superimposed. Further, when the substrate reflectance WR of the wafer W is reduced, the standing wave intensity distribution SZ is reduced as a whole, as indicated by a dotted curve 45B in FIG.

そこで、図8のステップ104において、図1のシミュレータ22の第1演算部22aは、上述のようにレチクルRのパターン及び照明条件を含む露光条件を用いて、ウエハW上のレジストPRの表面に沿った方向(ここではX方向)のレチクルRのパターンの像の例えば式(2A)で近似できる強度分布SXを計算する。さらに、第1演算部22aは強度分布SXの式(2B)又は式(5)で表されるコントラストCSXを計算し、これらの計算結果を記憶部に記憶する。この際の照明光ILの強度は所定の基準強度とされている。   Therefore, in step 104 of FIG. 8, the first calculation unit 22a of the simulator 22 of FIG. 1 uses the exposure conditions including the pattern of the reticle R and the illumination conditions as described above on the surface of the resist PR on the wafer W. An intensity distribution SX that can be approximated by, for example, Expression (2A) of the pattern image of the reticle R in the direction along the X direction (here, the X direction) is calculated. Further, the first calculation unit 22a calculates the contrast CSX represented by the expression (2B) or the expression (5) of the intensity distribution SX, and stores these calculation results in the storage unit. The intensity of the illumination light IL at this time is a predetermined reference intensity.

次のステップ105において、図1のシミュレータ22の第2演算部22bは、上述のようにレチクルRのパターン、照明条件、及び基板反射率WRを含む露光条件を用いて、ウエハW上のレジストPR内に形成される定在波の例えば式(7A)で近似できるZ方向の強度分布SZを計算し、この計算結果を記憶部に記憶する。
次のステップ106において、図1のシミュレータ22の第3演算部22cは、一例として、ステップ104で計算された強度分布SX及びステップ105で計算された強度分布SZを加算することによって、次のようにウエハW上のレジストPR層中のX方向及びZ方向の照明光の強度分布S(X,Z)を求める。この際に、本来の像の強度分布SXのコントラストCSXが小さい程、定在波の強度分布SZの影響が大きくなる。
In the next step 105, the second calculation unit 22b of the simulator 22 of FIG. 1 uses the exposure condition including the pattern of the reticle R, the illumination condition, and the substrate reflectivity WR as described above to apply the resist PR on the wafer W. An intensity distribution SZ in the Z direction that can be approximated by, for example, Expression (7A) of the standing wave formed inside is calculated, and the calculation result is stored in the storage unit.
In the next step 106, as an example, the third calculation unit 22c of the simulator 22 in FIG. 1 adds the intensity distribution SX calculated in step 104 and the intensity distribution SZ calculated in step 105 as follows. Then, the intensity distribution S (X, Z) of the illumination light in the X direction and the Z direction in the resist PR layer on the wafer W is obtained. At this time, the smaller the contrast CSX of the original image intensity distribution SX, the greater the influence of the standing wave intensity distribution SZ.

S(X,Z)=SX+SZ …(8)
図4(A)は、ウエハW上のレジストPR中の強度分布S(X,Z)の一例を等高線46,46A〜46Dで表したものである。また、レジストPRに対する露光量(積算露光量)は、照明光ILの強度に露光時間を乗じたものであるため、その強度分布S(X,Z)に想定される露光時間を乗じることによって、レジストPR内の露光量分布を求めることができる。言い換えると、図4(A)の等高線46,46A〜46Dを露光量の等高線ともみなすことができる。図4(A)の露光量の等高線は、その露光時間(積算露光量)を複数に変えて複数回求められる。
S (X, Z) = SX + SZ (8)
FIG. 4A shows an example of the intensity distribution S (X, Z) in the resist PR on the wafer W by contour lines 46, 46A to 46D. Further, since the exposure amount (integrated exposure amount) for the resist PR is obtained by multiplying the intensity of the illumination light IL by the exposure time, by multiplying the exposure time assumed for the intensity distribution S (X, Z), The exposure amount distribution in the resist PR can be obtained. In other words, the contour lines 46 and 46A to 46D in FIG. 4A can be regarded as the contour lines of the exposure amount. The contour lines of the exposure amount in FIG. 4A are obtained a plurality of times by changing the exposure time (integrated exposure amount) to a plurality.

次のステップ107において、第3演算部22cは、式(8)で近似される強度分布S(X,Z)を用いて、ウエハWのレジストPRを現像した後に形成される図4(C)のレジストパターン47の最小線幅dmin を予測する(求める)。例えば照明光ILによる露光量をレジスト感度(適正露光量)に合わせたときに、図4(A)の等高線46がその適正露光量であるとする。このとき、等高線46Aは適正露光量より小さい露光量、等高線46C,46Dは適正露光量より大きい露光量をそれぞれ表している。なお、等高線46,46A〜46Dは、例えば画像データ上で実際にはもっと細かい間隔で多数描画される。   In the next step 107, the third calculation unit 22c is formed after developing the resist PR of the wafer W using the intensity distribution S (X, Z) approximated by the equation (8). The minimum line width dmin of the resist pattern 47 is predicted (obtained). For example, when the exposure amount by the illumination light IL is matched with the resist sensitivity (appropriate exposure amount), it is assumed that the contour line 46 in FIG. 4A is the appropriate exposure amount. At this time, the contour line 46A represents an exposure amount smaller than the appropriate exposure amount, and the contour lines 46C and 46D represent exposure amounts larger than the appropriate exposure amount. Note that many contour lines 46, 46A to 46D are actually drawn at finer intervals on the image data, for example.

従って、照明光ILを適正露光量で照射するときには、図4(A)のレジストPRの現像後には、等高線46がそのまま図4(C)のレジストパターン47の断面形状となる。レジストパターン47は、X方向のL&Sパターンの像の強度分布及び定在波の強度分布によって、Z方向にもその線幅が周期的に変化している。
なお、現像後のレジストパターン47の形状は、レジストPRの露光後でその現像前に行われるPEB(post-exposure bake)(現像前ベーク)によっても或る程度影響を受ける。そこで、予めテストプリント等によってPEBの影響(レジスト拡散効果)を求めておき、ステップ107でレジストパターンの形状を求める際にそのPEBの影響を考慮して、レジストパターンを補正してもよい。
Therefore, when the illumination light IL is irradiated with an appropriate exposure amount, the contour line 46 becomes the cross-sectional shape of the resist pattern 47 shown in FIG. 4C as it is after the development of the resist PR shown in FIG. The line width of the resist pattern 47 also periodically changes in the Z direction due to the intensity distribution of the L & S pattern image in the X direction and the intensity distribution of the standing wave.
Note that the shape of the resist pattern 47 after development is affected to some extent by PEB (post-exposure bake) performed after exposure of the resist PR and before development. Therefore, the influence of PEB (resist diffusion effect) may be obtained in advance by test printing or the like, and the resist pattern may be corrected in consideration of the influence of PEB when obtaining the shape of the resist pattern in step 107.

また、図4(C)のレジストパターン47の最小線幅dmin を求める際に最大線幅dmax も求めておく。この場合、レジストパターン47は、定在波43Z(図3(D)参照)の強度が最も大きい明部において最小線幅dmin となり、定在波43Zの強度が最も小さい暗部において最大線幅dmax となる。そして、照明光ILの露光量をその適正露光量に対して所定量ΔEずつ増減させながら、複数の露光量でそれぞれ図4(A)の強度分布S(X,Z)から得られるレジストパターンの断面形状の最小線幅dmin 及び最大線幅dmax を求める。例えば照明光ILの露光量を適正露光量よりも増加させた場合には、図4(A)の等高線46に対して等高線46A側にずれた等高線(不図示)が新たな断面形状を表す。   Further, when obtaining the minimum line width dmin of the resist pattern 47 in FIG. 4C, the maximum line width dmax is also obtained. In this case, the resist pattern 47 has the minimum line width dmin in the bright part where the intensity of the standing wave 43Z (see FIG. 3D) is the largest, and the maximum line width dmax in the dark part where the intensity of the standing wave 43Z is the smallest. Become. Then, while increasing or decreasing the exposure amount of the illumination light IL by a predetermined amount ΔE with respect to the appropriate exposure amount, the resist pattern obtained from the intensity distribution S (X, Z) of FIG. The minimum line width dmin and the maximum line width dmax of the cross-sectional shape are obtained. For example, when the exposure amount of the illumination light IL is increased more than the appropriate exposure amount, a contour line (not shown) shifted to the contour line 46A side with respect to the contour line 46 in FIG. 4A represents a new cross-sectional shape.

本実施形態では、一例としてその最大線幅dmax がレジストパターン47の周期PXの1/2(設計上の目標値)になるときの露光量を、実際にウエハを露光する際の露光量とする。そして、そのレジストパターン47の最大線幅dmax がその目標値(=PX/2)になるときの最小線幅dmin を、最終的に予測された最小線幅として、そのときの最大線幅dmax 及び最小線幅dmin を図1の主制御系20に出力する。   In the present embodiment, as an example, the exposure amount when the maximum line width dmax is 1/2 of the period PX of the resist pattern 47 (design target value) is the exposure amount when the wafer is actually exposed. . Then, the minimum line width dmin when the maximum line width dmax of the resist pattern 47 reaches the target value (= PX / 2) is set as the finally predicted minimum line width, and the maximum line width dmax at that time and The minimum line width dmin is output to the main control system 20 in FIG.

図5(A)、(B)、(C)は、投影像の段階で周期が75nm(線幅が37.5nm)のX方向のL&Sパターンの像を、基板反射率WRがそれぞれ0%、1%、2%のウエハのレジストに最大線幅が37.5nmになるという条件で投影して、現像した後に形成されることが計算によって予測されるレジストパターン47A,47B,47Cを示す。また、図5(C)の点線のレジストパターン47Dは、基板反射率をさらに3%にした場合に予測されるパターンである。図5(A)〜(C)より、基板反射率WRが大きくなるに従って、レジストパターン47A〜47Dの最小線幅dmin が小さくなり、倒れ易くなることが分かる。   FIGS. 5A, 5B, and 5C are images of an L & S pattern in the X direction with a period of 75 nm (line width of 37.5 nm) at the projection image stage, and the substrate reflectance WR is 0%, The resist patterns 47A, 47B, and 47C predicted to be formed after calculation are projected onto a 1% and 2% wafer resist under the condition that the maximum line width is 37.5 nm. Also, the dotted resist pattern 47D in FIG. 5C is a pattern predicted when the substrate reflectance is further 3%. 5A to 5C, it can be seen that the minimum line width dmin of the resist patterns 47A to 47D becomes smaller and more easily falls as the substrate reflectance WR becomes larger.

また、図6(A)は、周期が75nm(線幅が37.5nm)のL&Sパターンの投影像のコントラストが異なる場合の、基板反射率WR(%)とレジストパターンの最小線幅dmin (nm)との予測される関係の一例を示す。図6(A)において、曲線51Aは、図2(C)の2極照明を用いて投影像のコントラストが高い場合、曲線51Bは、図2(D)の4極照明を用いて投影像のコントラストが低い場合、曲線51Cは、その4極照明を用いて投影像のコントラストをさらに5%低くした場合にそれぞれ予測されるレジストパターンの最小線幅dmin である。   FIG. 6A shows the substrate reflectance WR (%) and the minimum line width dmin (nm) of the resist pattern when the contrast of the projected images of the L & S pattern having a period of 75 nm (line width is 37.5 nm) is different. ) Shows an example of a predicted relationship. In FIG. 6A, a curve 51A is obtained when the contrast of the projection image is high using the dipole illumination of FIG. 2C, and a curve 51B is obtained by using the quadrupole illumination of FIG. When the contrast is low, the curve 51C is the minimum line width dmin of the resist pattern that is predicted when the contrast of the projected image is further lowered by 5% using the quadrupole illumination.

また、図6(B)は、図6(A)の場合と同じ条件下で、投影像のコントラストを100%から55%まで5%ずつ低下させた場合に、現像後のレジストパターンの最大線幅dmax が周期の1/2になるときの最小線幅dmin をシミュレーションによって求めたものである。図6(B)から、基板反射率が高いほど、定在波効果が大きくなって最小線幅dmin が小さくなるが、投影像のコントラストが高い場合には、基板反射率が高くなっても最小線幅dmin はあまり小さくならないことが分かる。   FIG. 6B shows the maximum line of the resist pattern after development when the contrast of the projected image is decreased by 5% from 100% to 55% under the same conditions as in FIG. 6A. The minimum line width dmin when the width dmax is ½ of the period is obtained by simulation. From FIG. 6B, the higher the substrate reflectance, the larger the standing wave effect and the smaller the minimum line width dmin. However, when the contrast of the projected image is high, the minimum even if the substrate reflectance increases. It can be seen that the line width dmin does not become too small.

従って、予め複数の周期について図6(B)の特性を記憶しておき、図8のステップ104に対応する工程では、レチクルのパターンの投影像の式(2B)又は式(5)のコントラストを求め、ステップ105〜107に対応する工程では、基板反射率及びそのコントラストをそのパターンの周期に対応する図6(B)の特性に適用して、現像後のレジストパターンの最小線幅dmin を予測してもよい。この場合には、一度図6(B)の特性を計算しておけば、後は簡単に現像後のレジストパターンの最小線幅dmin を求めることができる。   Accordingly, the characteristics of FIG. 6B are stored in advance for a plurality of periods, and in the step corresponding to step 104 of FIG. 8, the contrast of the expression (2B) or expression (5) of the projection image of the reticle pattern is set. In the process corresponding to steps 105 to 107, the minimum line width dmin of the resist pattern after development is predicted by applying the substrate reflectivity and its contrast to the characteristics of FIG. 6B corresponding to the period of the pattern. May be. In this case, once the characteristics shown in FIG. 6B are calculated, the minimum line width dmin of the resist pattern after development can be easily obtained.

また、図7は、図6(A)の曲線51Bの場合(4極照明)に、露光量を適正露光量に対して4%少なくした場合(曲線52A)、適正露光量とした場合(曲線52B)、及び適正露光量に対して4%多くした場合(曲線52C)の基板反射率とレジストパターンの最小線幅dmin との予測される関係の一例を示す。図7から、露光量を増大すると、最小線幅dmin がさらに小さくなって、レジストパターンが倒れ易くなることが分かる。なお、露光量の影響に基板反射率に対する依存性は殆どないことが分かる。   FIG. 7 shows the case of the curve 51B of FIG. 6A (4-pole illumination) when the exposure amount is reduced by 4% with respect to the appropriate exposure amount (curve 52A), and the case of the appropriate exposure amount (curve). 52B) and an example of a predicted relationship between the substrate reflectivity and the minimum line width dmin of the resist pattern when the amount of exposure is increased by 4% (curve 52C). From FIG. 7, it can be seen that when the exposure amount is increased, the minimum line width dmin is further reduced, and the resist pattern is easily tilted. It can be seen that the influence of the exposure dose has little dependence on the substrate reflectivity.

次に、図8のステップ108において、主制御系20は、最小線幅dmin がその最大線幅dmax (又は周期PX)に応じて予め定められている所定の許容値以上であるかどうかを判定する。そして、最小線幅dmin がその許容値より小さいときには、そのレジストパターン47は、例えば現像工程中の乾燥工程等で倒れる恐れがある。そこで、最小線幅dmin がその許容値より小さいときには、ステップ109に移行して一例としてオペレタータ・コールを行う。そして、例えばオペレータから主制御系20に対して、照明条件、投影光学系PLの開口数、基板反射率等のうちの少なくとも1つのデータの変更の指示があった場合には、ステップ110に移行して、主制御系20は、変更された照明条件等のデータをシミュレータ22の記憶部に格納する。その後は、ステップ104に戻り、シミュレータ22がステップ104〜107までを実行して、ウエハWのレジストPR中の光強度分布を計算して、現像後のレジストパターン47の最大線幅dmax 及び最小線幅dmin を予測する。   Next, at step 108 in FIG. 8, the main control system 20 determines whether or not the minimum line width dmin is greater than or equal to a predetermined allowable value determined in advance according to the maximum line width dmax (or period PX). To do. When the minimum line width dmin is smaller than the permissible value, the resist pattern 47 may fall during, for example, a drying process during the development process. Therefore, when the minimum line width dmin is smaller than the allowable value, the routine proceeds to step 109 where an operator call is performed as an example. Then, for example, when the operator has instructed the main control system 20 to change at least one of the illumination conditions, the numerical aperture of the projection optical system PL, the substrate reflectance, etc., the process proceeds to step 110. Then, the main control system 20 stores data such as the changed illumination conditions in the storage unit of the simulator 22. Thereafter, returning to step 104, the simulator 22 executes steps 104 to 107 to calculate the light intensity distribution in the resist PR of the wafer W, and the maximum line width dmax and the minimum line of the resist pattern 47 after development. Predict the width dmin.

なお、ステップ109のようにオペレータ・コールを行うことなく、ステップ108からステップ110に直接移行して、予め定められた順序で、照明条件の変更等を行うようにしてもよい。
そして、ステップ108において、最小線幅dmin がその許容値以上であれば、ステップ111に移行して、ステップ121でレジストが塗布されたウエハW上にレチクルRのパターンを実際に露光する。
Instead of making an operator call as in step 109, the process may directly move from step 108 to step 110 to change the illumination conditions in a predetermined order.
In step 108, if the minimum line width dmin is equal to or larger than the allowable value, the process proceeds to step 111, and the pattern of the reticle R is actually exposed on the wafer W coated with the resist in step 121.

即ち、図1の露光装置100において、主制御系20は、ステップ108で最小線幅dmin が許容値以上となったときに計算で使用された照明光学系ILSの照明条件、投影光学系PLの開口数、及び露光量等の露光条件を設定する。その後、主制御系20、レチクルステージ駆動系31、及びウエハステージ駆動系32の制御のもとで、ウエハステージWSTをX方向、Y方向に駆動して、ウエハWが走査開始位置にステップ移動される。続いて、液浸領域36への液体Lqの供給を開始し、照明光ILの照射を開始して、レチクルステージRSTを介して照明領域に対してY方向に速度VRでレチクルRを走査するのに同期して、ウエハステージWSTを介して露光領域に対してウエハW上の一つのショット領域を対応する方向に速度β・VR(βは投影倍率)で走査する走査露光が行われる。そのステップ移動と走査露光とを繰り返すステップ・アンド・スキャン動作によって、ウエハW上の全部のショット領域にレチクルRのパターンの像が転写される。   That is, in the exposure apparatus 100 shown in FIG. 1, the main control system 20 determines the illumination conditions of the illumination optical system ILS and the projection optical system PL used in the calculation when the minimum line width dmin becomes equal to or larger than the allowable value in step. The exposure conditions such as the numerical aperture and the exposure amount are set. Thereafter, under the control of main control system 20, reticle stage drive system 31, and wafer stage drive system 32, wafer stage WST is driven in the X and Y directions, and wafer W is stepped to the scan start position. The Subsequently, supply of the liquid Lq to the liquid immersion area 36 is started, irradiation of the illumination light IL is started, and the reticle R is scanned at a speed VR in the Y direction with respect to the illumination area via the reticle stage RST. In synchronism with this, scanning exposure is performed by scanning one shot area on the wafer W in the direction corresponding to the exposure area at a speed β · VR (β is the projection magnification) via the wafer stage WST. The pattern image of the reticle R is transferred to all shot areas on the wafer W by a step-and-scan operation that repeats the step movement and the scanning exposure.

その後、ステップ112で、図1のウエハステージWST上のウエハWが次の未露光のウエハと交換されて、ステップ111においてそのウエハの露光が行われる。一方、ステップ111で露光済みのウエハは、露光装置100からコータ・デベロッパ(不図示)に搬送され、ステップ122においてPEB(現像前ベーク)が行われた後、ステップ123において、ウエハのレジストの現像及び乾燥が行われる。次のステップ124において、現像したウエハの加熱(キュア)及びエッチング工程などを含む基板処理が行われる。そして、次のステップ125において、必要に応じてリソグラフィ工程及び基板処理工程を繰り返した後、デバイス組み立てステップ(ダイシング工程、ボンディング工程、パッケージ工程などの加工プロセスを含む)、及び検査ステップ等を経て半導体デバイス等のデバイスが製造される。   Thereafter, in step 112, wafer W on wafer stage WST in FIG. 1 is replaced with the next unexposed wafer, and in step 111, the wafer is exposed. On the other hand, the wafer that has been exposed in step 111 is transported from the exposure apparatus 100 to a coater / developer (not shown). After PEB (baking before development) is performed in step 122, the resist on the wafer is developed in step 123. And drying. In the next step 124, substrate processing including heating (curing) of the developed wafer and etching process is performed. Then, in the next step 125, after repeating the lithography process and the substrate processing process as necessary, the semiconductor is subjected to a device assembly step (including processing processes such as a dicing process, a bonding process, and a packaging process), an inspection step, and the like. Devices such as devices are manufactured.

この際に、本実施形態では、ステップ108で予めレジストパターンの最小線幅dmin が許容値以上になるように照明条件等が設定されている。従って、レジストパターンの線幅は許容値以上であり、ステップ123のウエハの現像工程中にレジストパターンの倒れ等が生じることがなくなり、高いスループットでデバイスを高精度に製造できる。
本実施形態の作用効果は以下の通りである。
At this time, in this embodiment, the illumination conditions and the like are set in advance so that the minimum line width dmin of the resist pattern is equal to or larger than the allowable value in Step 108. Therefore, the line width of the resist pattern is equal to or greater than the allowable value, and the resist pattern does not fall during the wafer development process in step 123, and the device can be manufactured with high throughput and high accuracy.
The effect of this embodiment is as follows.

(1)本実施形態の図1の露光装置100に備えられて、ウエハW上のレジストPRに現像後に形成されるレジストパターンの断面形状を評価するシミュレータ22(投影像評価装置)は、ステップ104において、レジストPRを露光する際の照明光ILのレジストPRの表面に沿った方向(X方向)の強度分布のコントラスト情報(式(2A)の強度分布SX及び/又は式(2B)(若しくは式(5))のコントラストCSX)を求める第1演算部22aを有する。さらに、シミュレータ22は、ステップ105において、ウエハWの基板反射率WRからレジストPRにおける定在波43Zの情報(式(7A)の強度分布SZ、式(7B)のコントラストCSZ、及び基板反射率WRのうちの少なくとも一つ)を求める第2演算部22bと、ステップ106、107において、そのコントラスト情報とその定在波情報とに基づいて、レジストPRに現像後に形成されるレジストパターン(像)の最大線幅dmax 及び最小線幅dmin (線幅情報)を求める第3演算部22cとを備えている。   (1) The simulator 22 (projection image evaluation apparatus) that is provided in the exposure apparatus 100 of FIG. 1 of the present embodiment and evaluates the cross-sectional shape of the resist pattern formed after development on the resist PR on the wafer W is step 104. In contrast, the contrast information of the intensity distribution in the direction (X direction) along the surface of the resist PR of the illumination light IL when the resist PR is exposed (the intensity distribution SX and / or the expression (2B) in the expression (2A) (or the expression) (5)) has a first calculation unit 22a for obtaining the contrast CSX). Further, in Step 105, the simulator 22 obtains information on the standing wave 43Z in the resist PR from the substrate reflectance WR of the wafer W (intensity distribution SZ in Expression (7A), contrast CSZ in Expression (7B), and substrate reflectance WR. Of the resist pattern (image) formed after development on the resist PR based on the contrast information and the standing wave information in steps 106 and 107. And a third arithmetic unit 22c for obtaining a maximum line width dmax and a minimum line width dmin (line width information).

本実施形態によれば、例えばそのコントラスト情報としてのレチクルのパターンの像の強度分布SXにその定在波情報としての定在波の強度分布SZを加算することで、そのレジストPRの断面内の2次元的な光強度分布が求められる。この光強度分布及びレジストPRの感度から、レジストPRに現像後に形成されるレジストパターンの断面形状、ひいては線幅情報を求めることができる。従って、実際にウエハWの露光を行うことなく、ウエハWのレジストに現像後に形成されるレジストパターンの断面形状を効率的に評価できる。   According to the present embodiment, for example, by adding the intensity distribution SZ of the standing wave as the standing wave information to the intensity distribution SX of the image of the reticle pattern as the contrast information, A two-dimensional light intensity distribution is required. From the light intensity distribution and the sensitivity of the resist PR, the cross-sectional shape of the resist pattern formed on the resist PR after development, and thus the line width information can be obtained. Therefore, the cross-sectional shape of the resist pattern formed on the resist of the wafer W after development can be efficiently evaluated without actually exposing the wafer W.

(2)また、第3演算部22cは、そのレジストパターンの最大線幅dmax 及び最小線幅dmin (線幅情報)を求める(予測する)際に、照明光ILの露光量をレジストPRの感度(適正露光量)を含む複数の露光量に設定している(ステップ107)。従って、最終的にレジストパターンで最適な線幅が得られるときの実際の適正な露光量を求めることができる。   (2) In addition, when the third arithmetic unit 22c obtains (predicts) the maximum line width dmax and the minimum line width dmin (line width information) of the resist pattern, the exposure amount of the illumination light IL is changed to the sensitivity of the resist PR. A plurality of exposure amounts including (appropriate exposure amount) are set (step 107). Therefore, the actual appropriate exposure amount when the optimum line width can be finally obtained with the resist pattern can be obtained.

(3)また、本実施形態では、ウエハWのレジストPRは、照明光学系ILS(照明系)からの照明光ILでレチクルRのパターン及び投影光学系PL(投影系)を介して露光される。そして、第1演算部22aは、ステップ104でレジストPRを露光する際のコントラスト情報を求める際に、照明光学系ILSの瞳面の照明光ILの強度分布、投影光学系PLの収差、及びレジストPR(ウエハW)の投影光学系PLの像面からのデフォーカス情報(予測されるデフォーカス量又はフォーカス変動)等を用いている。従って、高精度にそのコントラスト情報を求めることができる。なお、例えばレジストパターンの断面形状を大まかに評価する場合には、それらの情報のうち少なくとも一つの情報を用いてそのコントラスト情報を求めてもよい。   (3) In this embodiment, the resist PR on the wafer W is exposed with illumination light IL from the illumination optical system ILS (illumination system) via the pattern of the reticle R and the projection optical system PL (projection system). . Then, when obtaining the contrast information when the resist PR is exposed in step 104, the first arithmetic unit 22a calculates the intensity distribution of the illumination light IL on the pupil plane of the illumination optical system ILS, the aberration of the projection optical system PL, and the resist. Defocus information (predicted defocus amount or focus variation) from the image plane of the projection optical system PL of PR (wafer W) is used. Therefore, the contrast information can be obtained with high accuracy. For example, when the cross-sectional shape of the resist pattern is roughly evaluated, the contrast information may be obtained using at least one piece of information.

(4)また、ステップ106、107では、ウエハWのレジストPRに形成されるパターンが図2(A)のL&Sパターン41Xの像である場合に、そのレジストPRに現像後に形成される図4(C)のレジストパターン47の線幅情報を求める際に、その定在波の暗部(最も強度が小さい部分)でのレジストパターン47のライン部の線幅(最大線幅dmax)が所定線幅(ここでは周期PXの1/2)となるときの、その定在波の明部でのそのライン部の線幅(最小線幅dmin)を求めている。従って、そのレジストパターンの断面の最大線幅と最小線幅とを求めることができ、その断面を正確に評価できる。   (4) In Steps 106 and 107, when the pattern formed on the resist PR of the wafer W is an image of the L & S pattern 41X in FIG. C) When obtaining the line width information of the resist pattern 47, the line width (maximum line width dmax) of the line portion of the resist pattern 47 in the dark portion (the portion with the smallest intensity) of the standing wave is a predetermined line width ( Here, the line width (minimum line width dmin) of the line part in the bright part of the standing wave when the period is ½ of the period PX is obtained. Therefore, the maximum line width and the minimum line width of the cross section of the resist pattern can be obtained, and the cross section can be accurately evaluated.

(5)また、上記の実施形態の図8の露光方法は、照明光ILでウエハのレジストを露光する露光方法において、ウエハに対する露光条件(照明条件、露光量等)を設定するステップ102と、上記のレジストパターンの断面の評価方法(投影像評価方法)を用いて、その設定された露光条件のもとでレジストに現像後に形成されるレジストパターンの線幅情報を求めるステップ104〜107と、その求められた線幅情報に基づいてその設定された露光条件を変更するステップ110とを有している。従って、実際に露光を行うことなく効率的に、レジストパターンの所望の断面形状が得られるように露光条件を設定できる。   (5) In the exposure method of FIG. 8 of the above embodiment, in the exposure method of exposing the resist on the wafer with the illumination light IL, step 102 for setting the exposure conditions (illumination conditions, exposure amount, etc.) for the wafer; Steps 104 to 107 for obtaining line width information of a resist pattern formed after development on the resist under the set exposure conditions using the resist pattern cross-sectional evaluation method (projected image evaluation method), And a step 110 of changing the set exposure condition based on the obtained line width information. Therefore, exposure conditions can be set so that a desired cross-sectional shape of a resist pattern can be obtained efficiently without actually performing exposure.

(6)また、その露光方法は、その線幅情報を求めるステップ107でレジストパターンの最小線幅dmin を求め、この求められた最小線幅が所定の許容範囲以上となるように、その露光条件を変更している。従って、例えば実際にウエハを露光した後の現像工程におけるレジストパターンの倒れ等を確実に防止できる。
(7)また、図8の露光方法は、図1の露光装置100の管理方法とみなすことも可能である。この管理方法は、照明光ILでウエハW上のレジストを露光する露光装置100の管理方法であって、露光装置100においてレジスト(ウエハW)に対する露光条件を設定し(ステップ102、103)、上記の投影像評価方法を用いて、その設定された露光条件のもとでそのレジストに現像後に形成されるレジストパターン(像)の線幅情報を求め(ステップ104〜107)、その求められた線幅情報に基づいて露光装置100による露光結果の評価を行うものである(ステップ108)。
(6) Further, in the exposure method, the minimum line width dmin of the resist pattern is obtained in step 107 for obtaining the line width information, and the exposure condition is set so that the obtained minimum line width is not less than a predetermined allowable range. Has changed. Therefore, for example, it is possible to reliably prevent the resist pattern from collapsing in the developing process after the wafer is actually exposed.
(7) The exposure method of FIG. 8 can also be regarded as a management method of the exposure apparatus 100 of FIG. This management method is a management method of the exposure apparatus 100 that exposes the resist on the wafer W with the illumination light IL. The exposure apparatus 100 sets exposure conditions for the resist (wafer W) (steps 102 and 103). Using the projected image evaluation method, line width information of a resist pattern (image) formed on the resist after development under the set exposure conditions is obtained (steps 104 to 107), and the obtained line is obtained. The exposure result by the exposure apparatus 100 is evaluated based on the width information (step 108).

この管理方法によれば、実際に露光装置で露光を行うことなく、現像後にウエハW上に形成されるレジストパターンの断面の状態を効率的に予測できるため、露光装置の負荷を軽減できる。
(8)この管理方法においては、さらにその露光結果の評価に基づいて、その設定された露光条件を変更することが好ましい(ステップ108、110)。これによって、実際に露光を行うことなく、現像後に所望の断面形状のレジストパターンを得るために露光条件を最適化できる。
According to this management method, since the state of the cross section of the resist pattern formed on the wafer W after development can be predicted efficiently without actually performing exposure with the exposure apparatus, the load on the exposure apparatus can be reduced.
(8) In this management method, it is preferable to change the set exposure condition based on the evaluation of the exposure result (steps 108 and 110). Thus, the exposure conditions can be optimized in order to obtain a resist pattern having a desired cross-sectional shape after development without actually performing exposure.

(9)また、図1の露光装置100は、照明光ILでウエハWのレジスト(感光層)を露光する露光装置において、上記の実施形態のシミュレータ22(投影像評価装置)と、ウエハW(レジスト)に対する露光条件(照明条件等)を設定する照明制御系21等(設定部)と、その設定された露光条件のもとでシミュレータ22によって求められるレジストに現像後に形成されるレジストパターン(像)の線幅情報に基づいて、その設定された露光条件を変更する主制御系20(制御部)とを備えている。従って、実際に露光を行うことなく、所望の断面のレジストパターンが得られるように露光条件を最適化できる。   (9) The exposure apparatus 100 in FIG. 1 is an exposure apparatus that exposes the resist (photosensitive layer) of the wafer W with the illumination light IL, and the simulator 22 (projected image evaluation apparatus) of the above embodiment and the wafer W ( A resist pattern (image) formed after development on the resist obtained by the simulator 22 under the set exposure conditions and the illumination control system 21 etc. (setting unit) for setting the exposure conditions (illumination conditions etc.) for the resist) ), And a main control system 20 (control unit) for changing the set exposure condition. Therefore, the exposure conditions can be optimized so that a resist pattern having a desired cross section can be obtained without actually performing exposure.

(10)この場合、シミュレータ22はそのレジストパターンの最小線幅を求め(ステップ107)、主制御系20は、この求められた最小線幅が所定の許容範囲(例えば現像工程中でレジストパターンが倒れない程度の線幅)以上となるように、その露光条件を変更することが好ましい(ステップ110)。
(11)また、上記の実施形態のデバイス製造方法は、上記の実施形態の露光装置100を用いてウエハW上のレジストを露光すること(ステップ111)と、その露光されたレジスト(ウエハW)を現像すること(ステップ123)と、を含むものである。この場合、予め露光前に、現像後のレジストパターンが所望の断面形状を持つように露光条件を最適化できるため、高いスループットでかつ高い歩留りでデバイスを製造できる。
(10) In this case, the simulator 22 determines the minimum line width of the resist pattern (step 107), and the main control system 20 determines that the determined minimum line width is within a predetermined allowable range (for example, the resist pattern is It is preferable to change the exposure conditions so as to be equal to or greater than the line width that does not fall down (step 110).
(11) In the device manufacturing method of the above embodiment, the resist on the wafer W is exposed using the exposure apparatus 100 of the above embodiment (step 111), and the exposed resist (wafer W). (Step 123). In this case, since the exposure conditions can be optimized in advance so that the developed resist pattern has a desired cross-sectional shape before exposure, devices can be manufactured with high throughput and high yield.

なお、上記の実施形態では以下のような変更が可能である。
先ず、上記の実施形態では、レジストパターンの最小線幅dmin を許容値と比較している。しかしながら、その他に、例えばレジストパターンの断面の線幅の平均値を許容値と比較することも可能である。さらに、そのレジストパターンの断面の線幅の標準偏差(ばらつき)を許容値と比較してもよい。
In the above embodiment, the following changes are possible.
First, in the above embodiment, the minimum line width dmin of the resist pattern is compared with an allowable value. However, for example, the average value of the line width of the cross section of the resist pattern can be compared with an allowable value. Further, the standard deviation (variation) of the line width of the cross section of the resist pattern may be compared with an allowable value.

次に、上記の実施形態では、転写対象のパターンはX方向のL&Sパターン41Xであるが、転写対象のパターン(レチクルのパターン)は、図2(B)のY方向のL&Sパターン41Yでもよい。この場合には、照明条件として、例えば図2(C)の二次光源を90°回転した二次光源を用いる2極照明を使用すればよい。
また、転写対象のパターンは、X方向及び/又はY方向の種々の周期(線幅)のL&Sパターンを含んでいるパターンであってもよい。この場合には、例えば最も周期(線幅)の小さいL&Sパターンの像から得られるレジストパターンの最小線幅が許容値以上になるように照明条件等を調整すればよい。
In the above embodiment, the pattern to be transferred is the L & S pattern 41X in the X direction. However, the pattern to be transferred (reticle pattern) may be the L & S pattern 41Y in the Y direction in FIG. In this case, for example, bipolar illumination using a secondary light source obtained by rotating the secondary light source of FIG. 2C by 90 ° may be used as the illumination condition.
The pattern to be transferred may be a pattern including L & S patterns having various periods (line widths) in the X direction and / or the Y direction. In this case, for example, the illumination conditions may be adjusted so that the minimum line width of the resist pattern obtained from the image of the L & S pattern having the smallest period (line width) is equal to or greater than the allowable value.

さらに、転写対象のパターンは、例えば図11(A)に示すように、Y方向に細長い複数のライン部62をX方向に所定周期で配列したL&Sパターン63A,63Bと、Y方向に長くライン部62よりも線幅が広いラインパターン61とがX方向に近接して形成されているパターンを含んでいてもよい。図11(A)のライン部62及びパターン63A,63B,61の投影光学系PLによる像をそれぞれ図11(B)の像62P及び像63AP,63BP,61Pとする。この場合、例えばL&Sパターンの像63Pを現像して得られるレジストパターンの倒れ易さを評価するために、像63P中でラインパターンの像61Pに最も近いライン部の像62PのコントラストC(62P) を以下のように定義する。   Further, for example, as shown in FIG. 11A, the pattern to be transferred includes L & S patterns 63A and 63B in which a plurality of line portions 62 elongated in the Y direction are arranged in a predetermined cycle in the X direction, and line portions that are long in the Y direction. The line pattern 61 having a line width wider than 62 may include a pattern formed close to the X direction. Images of the line portion 62 and the patterns 63A, 63B, and 61 in FIG. 11A by the projection optical system PL are referred to as an image 62P and images 63AP, 63BP, and 61P in FIG. In this case, for example, in order to evaluate the ease of collapse of the resist pattern obtained by developing the image 63P of the L & S pattern, the contrast C (62P) of the image 62P of the line portion closest to the line pattern image 61P in the image 63P. Is defined as follows.

この場合、CLは、像62Pと像61Pとの間のスペース部の中央の点64Lにおける強度、CRは、像62Pとこれに隣接するライン部の像との間のスペース部の中央の点64Rにおける強度、CCは、像62Pの中央の点64Cにおける強度である。強度CL,CR,CCは例えば計算によって求められる。そして、点64L及び64Rの平均強度Cavを次のように求める。   In this case, CL is the intensity at the center point 64L of the space portion between the images 62P and 61P, and CR is the center point 64R of the space portion between the image 62P and the image of the line portion adjacent thereto. The intensity at CC, CC, is the intensity at the center point 64C of the image 62P. Intensities CL, CR, and CC are obtained by calculation, for example. And the average intensity | strength Cav of the points 64L and 64R is calculated | required as follows.

Cav=(CL+CR)/2 …(9)
この平均強度Cav及び強度CCを用いて、一例としてライン部の像62PのコントラストC(62P) を次式で定義する。
C(62P) =|Cav−CC|/(Cav+CC) …(10)
そして、予めこのコントラストC(62P) 及び基板反射率を変化させた場合の像62Pに対応するレジストパターンの最小線幅を求めておき、この最小線幅が許容値以上になるように照明条件等を調整してもよい。
Cav = (CL + CR) / 2 (9)
As an example, the contrast C (62P) of the image 62P in the line portion is defined by the following equation using the average intensity Cav and the intensity CC.
C (62P) = | Cav−CC | / (Cav + CC) (10)
Then, the minimum line width of the resist pattern corresponding to the image 62P when the contrast C (62P) and the substrate reflectance are changed is obtained in advance, and the illumination conditions and the like are set so that the minimum line width is equal to or greater than the allowable value. May be adjusted.

さらに、レジストパターンの断面形状を評価する場合に、図3(D)の定在波43Zの強度分布SZと、図3(B)のレチクルのパターンの像43Xの強度分布SXとのコントラスト比(RSI)を求めてもよい。式(2A)の強度分布SXの振幅の1/2であるAX1と、式(7A)の強度分布SZの振幅の1/2であるBX1とを用いて、一例として近似的に、そのコントラスト比(RSI)は次のように表すことができる。   Furthermore, when evaluating the cross-sectional shape of the resist pattern, the contrast ratio between the intensity distribution SZ of the standing wave 43Z in FIG. 3D and the intensity distribution SX of the reticle pattern image 43X in FIG. RSI) may be determined. Using AX1 that is ½ of the amplitude of the intensity distribution SX in Expression (2A) and BX1 that is ½ of the amplitude of the intensity distribution SZ in Expression (7A), the contrast ratio is approximated as an example. (RSI) can be expressed as:

RSI=BX1/AX1 …(11A)
なお、式(7B)の定在波43ZのコントラストCSZと、式(5)のレチクルのパターン像の強度分布のコントラストCSXとを用いて、直接次式からコントラスト比(RSI)を計算してもよい。
RSI=CSZ/CSX2 …(11B)
図12は、図6(A)の場合に、基板反射率WRに対して定在波と像とのコントラスト比(RSI)を計算したものであり、図12の曲線53A,53B,53Cはそれぞれ2極照明、4極照明、及び4極照明で像のコントラストが5%低下した場合の計算結果を示す。この場合には、像のコントラストが低下している程、コントラスト比(RSI)が増大して、現像後のレジストパターンが倒れやすくなる。そこで、例えば予めレジストパターンの最小線幅が上記の許容値になるときのコントラスト比(RSI)の値を許容値RSIthとして求めておき、コントラスト比(RSI)が許容値RSIth以下となるように露光条件を設定することによって、現像後に所望の断面形状のレジストパターンを得ることができる。
RSI = BX1 / AX1 (11A)
Note that the contrast ratio (RSI) can be calculated directly from the following equation using the contrast CSZ of the standing wave 43Z of equation (7B) and the contrast CSX of the intensity distribution of the reticle pattern image of equation (5). Good.
RSI = CSZ / CSX2 (11B)
FIG. 12 shows the contrast ratio (RSI) between the standing wave and the image with respect to the substrate reflectance WR in the case of FIG. 6A, and the curves 53A, 53B, and 53C in FIG. The calculation results when the contrast of the image is reduced by 5% by dipole illumination, quadrupole illumination, and quadrupole illumination are shown. In this case, the lower the contrast of the image, the higher the contrast ratio (RSI), and the resist pattern after development is more likely to fall. Therefore, for example, the value of the contrast ratio (RSI) when the minimum line width of the resist pattern becomes the above allowable value is obtained in advance as the allowable value RSIth, and exposure is performed so that the contrast ratio (RSI) is equal to or less than the allowable value RSIth. By setting the conditions, a resist pattern having a desired cross-sectional shape can be obtained after development.

なお、本発明は、走査露光型の投影露光装置のみならず、一括露光型(ステッパー型)の投影露光装置を用いて露光する場合にも適用することが可能である。また、本発明は、ドライ露光型の露光装置で露光を行う場合にも適用できる。
また、例えば特表2004−519850号公報(及び対応する米国特許第 6,611,316号明細書)に開示されているように、2つのレチクルのパターンを投影光学系を介して基板上で合成し、1回の走査露光によって基板上の1つのショット領域をほぼ同時に二重露光する露光装置を用いる場合にも本発明を適用することができる。さらに、本発明は、波長数nm〜100nm程度の極端紫外光(EUV光)を露光光として用いる投影露光装置で露光を行う場合にも適用できる。EUV光を用いる場合には、マスクは反射型であり、マスクパターンの照明用に反射光学部材からなる照明系が使用され、そのパターンを投影するために反射光学部材からなる投影系が使用される。
The present invention can be applied not only to a scanning exposure type projection exposure apparatus but also to exposure using a batch exposure type (stepper type) projection exposure apparatus. The present invention can also be applied to the case where exposure is performed with a dry exposure type exposure apparatus.
Further, as disclosed in, for example, Japanese translations of PCT publication No. 2004-51850 (and corresponding US Pat. No. 6,611,316), two reticle patterns are synthesized on a substrate via a projection optical system. The present invention can also be applied to an exposure apparatus that performs double exposure of one shot area on a substrate almost simultaneously by one scanning exposure. Furthermore, the present invention can also be applied to the case where exposure is performed with a projection exposure apparatus that uses extreme ultraviolet light (EUV light) having a wavelength of about several nm to 100 nm as exposure light. When EUV light is used, the mask is a reflection type, and an illumination system composed of a reflective optical member is used for illuminating the mask pattern, and a projection system composed of a reflective optical member is used to project the pattern. .

なお、上述の実施形態においては、転写用のパターンが形成されたマスク(レチクル)を用いたが、このマスクに代えて、例えば米国特許第6,778,257号公報に開示されているように、露光すべきパターンの電子データに基づいて透過パターンまたは反射パターンを形成する電子マスクを用いてもよい。この電子マスクは、可変成形マスクとも呼ばれ、例えば非発光型画像表示素子(空間光変調器)の一種であるDMD(Digital Micro-mirror Device)などを含むものである。   In the above-described embodiment, a mask (reticle) on which a transfer pattern is formed is used. Instead of this mask, for example, as disclosed in US Pat. No. 6,778,257. An electronic mask that forms a transmission pattern or a reflection pattern based on electronic data of a pattern to be exposed may be used. This electronic mask is also called a variable shaping mask, and includes, for example, a DMD (Digital Micro-mirror Device) which is a kind of non-light emitting image display element (spatial light modulator).

また、本発明は、半導体デバイスの製造プロセスへの適用に限定されることなく、例えば、角型のガラスプレートに形成される液晶表示素子、若しくはプラズマディスプレイ等のディスプレイ装置の製造プロセスや、撮像素子(CCD等)、マイクロマシーン、MEMS(Microelectromechanical Systems:微小電気機械システム)、薄膜磁気ヘッド、及びDNAチップ等の各種デバイスの製造プロセスにも広く適用できる。更に、本発明は、各種デバイスのマスクパターンが形成されたマスク(フォトマスク、レチクル等)をフォトリソグラフィ工程を用いて製造する際の、製造工程にも適用することができる。   In addition, the present invention is not limited to application to a semiconductor device manufacturing process. For example, a manufacturing process of a display device such as a liquid crystal display element or a plasma display formed on a square glass plate, or an imaging element (CCD, etc.), micromachines, MEMS (Microelectromechanical Systems), thin film magnetic heads, and various devices such as DNA chips can be widely applied. Furthermore, the present invention can also be applied to a manufacturing process when manufacturing a mask (photomask, reticle, etc.) on which mask patterns of various devices are formed using a photolithography process.

また、複数のレンズから構成される照明光学系、投影光学系を露光装置本体に組み込み光学調整をすると共に、多数の機械部品からなるレチクルステージやウエハステージを露光装置本体に取り付けて配線や配管を接続し、更に総合調整(電気調整、動作確認等)をすることにより上記の実施形態の露光装置を製造することができる。なお、露光装置の製造は温度及びクリーン度等が管理されたクリーンルームで行うことが望ましい。   In addition, the illumination optical system and projection optical system composed of a plurality of lenses are incorporated into the exposure apparatus main body for optical adjustment, and a reticle stage and wafer stage consisting of a large number of mechanical parts are attached to the exposure apparatus main body for wiring and piping. The exposure apparatus of the above-described embodiment can be manufactured by connecting and further performing general adjustment (electric adjustment, operation check, etc.). The exposure apparatus is preferably manufactured in a clean room where the temperature, cleanliness, etc. are controlled.

なお、本発明は上述の実施の形態に限定されず、本発明の要旨を逸脱しない範囲で種々の構成を取り得ることは勿論である。   In addition, this invention is not limited to the above-mentioned embodiment, Of course, a various structure can be taken in the range which does not deviate from the summary of this invention.

本発明の実施形態の一例の露光装置の構成を示す図である。It is a figure which shows the structure of the exposure apparatus of an example of embodiment of this invention. (A)はレチクルのパターンの一例を示す拡大図、(B)はレチクルのパターンの他の例を示す拡大図、(C)は2極照明時の二次光源を示す図、(D)は4極照明時の二次光源を示す図、(E)は2極照明時の別の二次光源を示す図である。(A) is an enlarged view showing an example of a reticle pattern, (B) is an enlarged view showing another example of a reticle pattern, (C) is a view showing a secondary light source during dipole illumination, and (D) is FIG. The figure which shows the secondary light source at the time of 4 pole illumination, (E) is a figure which shows another secondary light source at the time of 2 pole illumination. (A)は図2(A)のパターンから発生してウエハWに入射する光束の一部を示す拡大図、(B)は図3(A)の光束による強度分布SXを示す図、(C)はウエハWに入射する光束とウエハWからの反射光とを示す図、(D)は図3(C)の光束によって形成される定在波の強度分布SZを示す図である。2A is an enlarged view showing a part of a light beam generated from the pattern of FIG. 2A and incident on the wafer W, FIG. 3B is a diagram showing an intensity distribution SX by the light beam of FIG. ) Is a diagram showing a light beam incident on the wafer W and reflected light from the wafer W, and (D) is a diagram showing an intensity distribution SZ of a standing wave formed by the light beam of FIG. (A)はウエハWのレジスト層中の強度分布を等高線で示す図、(B)はウエハWのレジスト層中の光束の一部を示す図、(C)は現像後に形成されるレジストパターンの断面形状の一例を示す拡大図である。(A) is a figure which shows the intensity distribution in the resist layer of the wafer W by a contour line, (B) is a figure which shows a part of light beam in the resist layer of the wafer W, (C) is a resist pattern formed after development. It is an enlarged view which shows an example of a cross-sectional shape. (A)、(B)、及び(C)は、基板反射率を次第に大きくしたときのレジストパターンの断面形状の変化の一例を示す拡大図である。(A), (B), and (C) are enlarged views showing an example of changes in the cross-sectional shape of the resist pattern when the substrate reflectance is gradually increased. (A)は、複数の照明条件のもとで、基板反射率に対して現像後のレジストパターンの最小線幅を計算した結果の一例を示す図、(B)は、投影される像のコントラストを次第に小さくして、基板反射率に対して現像後のレジストパターンの最小線幅を計算した結果の一例を示す図である。(A) is a figure which shows an example of the result of having calculated the minimum line width of the resist pattern after image development with respect to the substrate reflectance under a plurality of illumination conditions, and (B) is the contrast of the projected image. It is a figure which shows an example of the result of having calculated the minimum line | wire width of the resist pattern after image development with respect to the substrate reflectivity by making small gradually. ウエハに対する露光量を変化させて、基板反射率に対して現像後のレジストパターンの最小線幅を計算した結果の一例を示す図である。It is a figure which shows an example of the result of having calculated the minimum line | wire width of the resist pattern after image development with respect to a substrate reflectance by changing the exposure amount with respect to a wafer. 実施形態における露光動作の一例を示すフローチャートである。It is a flowchart which shows an example of the exposure operation | movement in embodiment. (A)、(B)、(C)、及び(D)は、それぞれフォーカス変動、像振動、偏光度、及び実効フレアによる投影像のコントラストの変化の一例を示す図である。(A), (B), (C), and (D) are diagrams showing examples of changes in contrast of a projected image due to focus fluctuation, image vibration, polarization degree, and effective flare, respectively. 像振動(X−MSD)及びフォーカス変動を変化させた場合の投影像のコントラストの変化の一例を示す図である。It is a figure which shows an example of the change of the contrast of a projection image at the time of changing image vibration (X-MSD) and a focus fluctuation | variation. (A)はL&Sパターンとラインパターンとが混在するパターンの一例を示す図、(B)は図11(A)のパターンの像を示す図である。(A) is a figure which shows an example of the pattern in which an L & S pattern and a line pattern are mixed, (B) is a figure which shows the image of the pattern of FIG. 11 (A). 複数の照明条件のもとで、基板反射率に対して定在波とレチクルのパターンの像とのコントラスト比を計算した結果の一例を示す図である。It is a figure which shows an example of the result of having calculated the contrast ratio of a standing wave and the image of a reticle pattern with respect to a board | substrate reflectance on several illumination conditions.

符号の説明Explanation of symbols

R…レチクル、PL…投影光学系、W…ウエハ、PR…レジスト、ILS…照明光学系、6…偏光光学系、7A〜7C…回折光学素子、20…主制御系、21…照明制御系、22…シミュレータ、22a,22b,22c…演算部、30…ノズルユニット、41X,41Y…ライン・アンド・スペースパターン(L&Sパターン)、42A,42B,42E,42F…二次光源、47,47A〜47C…レジストパターン、100…露光装置   R ... reticle, PL ... projection optical system, W ... wafer, PR ... resist, ILS ... illumination optical system, 6 ... polarization optical system, 7A-7C ... diffractive optical element, 20 ... main control system, 21 ... illumination control system, 22 ... Simulator, 22a, 22b, 22c ... Calculation unit, 30 ... Nozzle unit, 41X, 41Y ... Line and space pattern (L & S pattern), 42A, 42B, 42E, 42F ... Secondary light source, 47, 47A-47C ... Resist pattern, 100 ... Exposure device

Claims (19)

基板上の感光層に現像後に形成される像の断面形状を評価する投影像評価方法であって、
前記感光層を露光する際の露光光の前記感光層の表面に沿った方向の強度分布のコントラスト情報を求め、
前記基板の反射率から前記感光層における定在波情報を求め、
前記コントラスト情報と前記定在波情報とに基づいて、前記感光層に現像後に形成される像の線幅情報を求めることを特徴とする投影像評価方法。
A projection image evaluation method for evaluating a cross-sectional shape of an image formed on a photosensitive layer on a substrate after development,
Obtaining contrast information of intensity distribution in the direction along the surface of the photosensitive layer of exposure light when exposing the photosensitive layer;
Finding standing wave information in the photosensitive layer from the reflectance of the substrate,
A projection image evaluation method, comprising: obtaining line width information of an image formed on the photosensitive layer after development based on the contrast information and the standing wave information.
前記線幅情報を求める際に、前記感光層に対する露光量を複数に設定することを特徴とする請求項1に記載の投影像評価方法。   The projection image evaluation method according to claim 1, wherein when obtaining the line width information, a plurality of exposure amounts for the photosensitive layer are set. 前記基板の前記感光層は、照明系からの前記露光光で所定パターン及び投影系を介して露光され、
前記感光層を露光する際の前記コントラスト情報を求める際に、前記照明系の瞳面の前記露光光の強度分布、前記投影系の収差、前記感光層の前記投影系の像面からのデフォーカス情報のうち少なくとも一つの情報を用いることを特徴とする請求項1又は2に記載の投影像評価方法。
The photosensitive layer of the substrate is exposed with the exposure light from an illumination system through a predetermined pattern and a projection system,
When obtaining the contrast information when exposing the photosensitive layer, the intensity distribution of the exposure light on the pupil plane of the illumination system, the aberration of the projection system, and the defocus of the photosensitive layer from the image plane of the projection system The projection image evaluation method according to claim 1, wherein at least one piece of information is used.
前記感光層に形成されるパターンはライン・アンド・スペースパターンを含み、
前記感光層に現像後に形成される像の線幅情報を求める際に、前記定在波の暗部での前記ライン・アンド・スペースパターンのライン部の線幅が所定線幅となるときの、前記定在波の明部での前記ライン部の線幅を求めることを特徴とする請求項1から3のいずれか一項に記載の投影像評価方法。
The pattern formed on the photosensitive layer includes a line and space pattern,
When obtaining the line width information of an image formed after development on the photosensitive layer, the line width of the line portion of the line and space pattern in the dark portion of the standing wave is a predetermined line width, The projection image evaluation method according to claim 1, wherein a line width of the line part in a bright part of a standing wave is obtained.
前記ライン・アンド・スペースパターンは、前記ライン部よりも線幅の広いラインパターンに近接して配置され、
前記ライン・アンド・スペースパターンの像のコントラスト情報を、前記ラインパターンに最も近いライン部の両端のスペース部の光量の平均値と、該ライン部の光量とを用いて求めることを特徴とする請求項4に記載の投影像評価方法。
The line and space pattern is arranged close to a line pattern having a line width wider than the line part,
The contrast information of the image of the line-and-space pattern is obtained using an average value of the light amount of the space part at both ends of the line part closest to the line pattern and the light quantity of the line part. Item 5. The projected image evaluation method according to Item 4.
前記感光層を露光する際に、前記感光層上に前記露光光を透過する液体が供給されることを特徴とする請求項1から5のいずれか一項に記載の投影像評価方法。   6. The projection image evaluation method according to claim 1, wherein when exposing the photosensitive layer, a liquid that transmits the exposure light is supplied onto the photosensitive layer. 7. 露光光で基板上の感光層を露光する露光方法において、
前記感光層に対する露光条件を設定する工程と、
請求項1から6のいずれか一項に記載の投影像評価方法を用いて、前記設定された露光条件のもとで前記感光層に現像後に形成される像の線幅情報を求める工程と、
前記求められた線幅情報に基づいて前記設定された露光条件を変更する工程とを含むことを特徴とする露光方法。
In the exposure method of exposing the photosensitive layer on the substrate with exposure light,
Setting exposure conditions for the photosensitive layer;
Using the projection image evaluation method according to any one of claims 1 to 6, obtaining line width information of an image formed on the photosensitive layer after development under the set exposure conditions;
A step of changing the set exposure condition based on the obtained line width information.
前記線幅情報を求める工程で前記像の最小線幅を求め、
該求められた最小線幅が所定の許容範囲以上となるように、前記露光条件を変更することを特徴とする請求項7に記載の露光方法。
Obtaining a minimum line width of the image in the step of obtaining the line width information;
8. The exposure method according to claim 7, wherein the exposure condition is changed so that the determined minimum line width is equal to or greater than a predetermined allowable range.
露光光で基板上の感光層を露光する露光装置の管理方法であって、
前記露光装置において前記感光層に対する露光条件を設定し、
請求項1から6のいずれか一項に記載の投影像評価方法を用いて、前記設定された露光条件のもとで前記感光層に現像後に形成される像の線幅情報を求め、
前記求められた線幅情報に基づいて前記露光装置による露光結果の評価を行うことを特徴とする露光装置の管理方法。
An exposure apparatus management method for exposing a photosensitive layer on a substrate with exposure light,
Setting exposure conditions for the photosensitive layer in the exposure apparatus;
Using the projected image evaluation method according to any one of claims 1 to 6, obtain line width information of an image formed on the photosensitive layer after development under the set exposure conditions,
An exposure apparatus management method, comprising: evaluating an exposure result by the exposure apparatus based on the obtained line width information.
前記露光結果の評価に基づいて、前記設定された露光条件を変更することを特徴とする請求項9に記載の露光装置の管理方法。   10. The exposure apparatus management method according to claim 9, wherein the set exposure condition is changed based on the evaluation of the exposure result. 基板上の感光層に現像後に形成される像の断面形状を評価する投影像評価装置であって、
前記感光層を露光する際の露光光の前記感光層の表面に沿った方向の強度分布のコントラスト情報を求める第1演算部と、
前記基板の反射率から前記感光層における定在波情報を求める第2演算部と、
前記第1演算部で求められる前記コントラスト情報と前記第2演算部で求められる前記定在波情報とを用いて、前記感光層に現像後に形成される像の線幅情報を求める第3演算部と、
を備えることを特徴とする投影像評価装置。
A projection image evaluation apparatus for evaluating a cross-sectional shape of an image formed after development on a photosensitive layer on a substrate,
A first calculation unit for obtaining contrast information of intensity distribution in a direction along the surface of the photosensitive layer of exposure light when exposing the photosensitive layer;
A second calculation unit for obtaining standing wave information in the photosensitive layer from the reflectance of the substrate;
A third arithmetic unit that obtains line width information of an image formed on the photosensitive layer after development using the contrast information obtained by the first arithmetic unit and the standing wave information obtained by the second arithmetic unit. When,
A projection image evaluation apparatus comprising:
前記第3演算部は、前記線幅情報を求める際に、前記感光層に対する露光量を複数に設定することを特徴とする請求項11に記載の投影像評価装置。   The projection image evaluation apparatus according to claim 11, wherein the third calculation unit sets a plurality of exposure amounts for the photosensitive layer when obtaining the line width information. 前記基板の前記感光層は、照明系からの前記露光光で所定パターン及び投影系を介して露光され、
前記第1演算部は、
前記感光層を露光する際の前記コントラスト情報を求める際に、前記照明系の瞳面の前記露光光の強度分布、前記投影系の収差、前記感光層の前記投影系の像面からのデフォーカスのうち少なくとも一つの情報を用いることを特徴とする請求項11又は12に記載の投影像評価装置。
The photosensitive layer of the substrate is exposed with the exposure light from an illumination system through a predetermined pattern and a projection system,
The first calculation unit includes:
When obtaining the contrast information when exposing the photosensitive layer, the intensity distribution of the exposure light on the pupil plane of the illumination system, the aberration of the projection system, and the defocus of the photosensitive layer from the image plane of the projection system The projection image evaluation apparatus according to claim 11, wherein at least one piece of information is used.
前記感光層に形成されるパターンはライン・アンド・スペースパターンを含み、
前記第3演算部は、
前記感光層に現像後に形成される像の線幅情報を求める際に、前記定在波の暗部での前記ライン・アンド・スペースパターンのライン部の線幅が所定線幅となるときの、前記定在波の明部での前記ライン部の線幅を求めることを特徴とする請求項11から13のいずれか一項に記載の投影像評価装置。
The pattern formed on the photosensitive layer includes a line and space pattern,
The third calculation unit includes:
When obtaining the line width information of an image formed after development on the photosensitive layer, the line width of the line portion of the line and space pattern in the dark portion of the standing wave is a predetermined line width, The projection image evaluation apparatus according to claim 11, wherein a line width of the line portion in a bright portion of a standing wave is obtained.
前記ライン・アンド・スペースパターンは、前記ライン部よりも線幅の広いラインパターンに近接して配置され、
前記第1演算部は、前記ライン・アンド・スペースパターンの像のコントラスト情報を、前記ラインパターンに最も近いライン部の両端のスペース部の光量の平均値と、該ライン部の光量とを用いて求めることを特徴とする請求項14に記載の投影像評価装置。
The line and space pattern is arranged close to a line pattern having a line width wider than the line part,
The first calculation unit uses the average value of the light amount of the space part at both ends of the line part closest to the line pattern, and the light quantity of the line part, as contrast information of the image of the line and space pattern. The projection image evaluation apparatus according to claim 14, wherein the projection image evaluation apparatus is obtained.
前記感光層を露光する際に、前記感光層上に前記露光光を透過する液体が供給されることを特徴とする請求項11から15のいずれか一項に記載の投影像評価装置。   16. The projection image evaluation apparatus according to claim 11, wherein when exposing the photosensitive layer, a liquid that transmits the exposure light is supplied onto the photosensitive layer. 露光光で基板上の感光層を露光する露光装置において、
請求項11から16のいずれか一項に記載の投影像評価装置と、
前記感光層に対する露光条件を設定する設定部と、
前記設定された露光条件のもとで前記投影像評価装置によって求められる前記感光層に現像後に形成される像の線幅情報に基づいて、前記設定された露光条件を変更する制御部と、
を備えることを特徴とする露光装置。
In an exposure apparatus that exposes a photosensitive layer on a substrate with exposure light,
A projection image evaluation apparatus according to any one of claims 11 to 16,
A setting unit for setting exposure conditions for the photosensitive layer;
A control unit for changing the set exposure condition based on line width information of an image formed on the photosensitive layer after development obtained by the projection image evaluation apparatus under the set exposure condition;
An exposure apparatus comprising:
前記投影像評価装置は前記像の最小線幅を求め、
前記制御部は、該求められた最小線幅が所定の許容範囲以上となるように、前記露光条件を変更することを特徴とする請求項17に記載の露光装置。
The projection image evaluation apparatus obtains a minimum line width of the image;
The exposure apparatus according to claim 17, wherein the control unit changes the exposure condition so that the determined minimum line width is equal to or greater than a predetermined allowable range.
請求項17又は18に記載の露光装置を用いて前記基板上の感光層を露光することと、
前記露光された感光層を現像することと、を含むデバイス製造方法。
Exposing the photosensitive layer on the substrate using the exposure apparatus according to claim 17 or 18,
Developing the exposed photosensitive layer.
JP2008283787A 2008-11-04 2008-11-04 Method and device for evaluating projected image, method and device for exposure, and method for managing the device for exposure Withdrawn JP2010114168A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2008283787A JP2010114168A (en) 2008-11-04 2008-11-04 Method and device for evaluating projected image, method and device for exposure, and method for managing the device for exposure

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2008283787A JP2010114168A (en) 2008-11-04 2008-11-04 Method and device for evaluating projected image, method and device for exposure, and method for managing the device for exposure

Publications (1)

Publication Number Publication Date
JP2010114168A true JP2010114168A (en) 2010-05-20

Family

ID=42302524

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008283787A Withdrawn JP2010114168A (en) 2008-11-04 2008-11-04 Method and device for evaluating projected image, method and device for exposure, and method for managing the device for exposure

Country Status (1)

Country Link
JP (1) JP2010114168A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN117031720A (en) * 2023-09-28 2023-11-10 微纳动力(北京)科技有限责任公司 Automatic integrated optical device and system

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN117031720A (en) * 2023-09-28 2023-11-10 微纳动力(北京)科技有限责任公司 Automatic integrated optical device and system
CN117031720B (en) * 2023-09-28 2023-12-29 微纳动力(北京)科技有限责任公司 Automatic integrated optical device and system

Similar Documents

Publication Publication Date Title
JP3093528B2 (en) Scanning exposure equipment
JP4352458B2 (en) Projection optical system adjustment method, prediction method, evaluation method, adjustment method, exposure method and exposure apparatus, exposure apparatus manufacturing method, program, and device manufacturing method
US9551938B2 (en) Light source optimizing method, exposure method, device manufacturing method, program, exposure apparatus, lithography system, light source evaluation method, and light source modulation method
JP3631094B2 (en) Projection exposure apparatus and device manufacturing method
JP4057847B2 (en) Lithographic projection apparatus calibration method, patterning apparatus, and device manufacturing method
JP2008171960A (en) Position detection device and exposure device
JP2010267966A (en) Optical apparatus, exposure method and apparatus, and device manufacturing method
JP5783376B2 (en) Focus test mask, focus measurement method, exposure apparatus, and exposure method
JPWO2005008754A1 (en) Flare measurement method, exposure method, and mask for flare measurement
JP2006148140A (en) Pattern generator using dual phase step elements, and method of using the same
JP2005093948A (en) Aligner and its adjustment method, exposure method, and device manufacturing method
CN113196177B (en) Metrology sensor, illumination system, and method of producing measured illumination having configurable illumination spot diameter
US20140218703A1 (en) Illumination method, illumination optical device, and exposure device
JP2009032747A (en) Scanning stepper and device manufacturing method
US20090040497A1 (en) Exposure apparatus, adjusting method, exposure method, and device fabrication method
JP2011221312A (en) Focus test mask, focus measurement method and exposure apparatus
JP2008021830A (en) Measuring method and apparatus, and exposure method and apparatus
JP2006313815A (en) Method and apparatus of simulating image formation performance, and exposure method and apparatus
JP2010114168A (en) Method and device for evaluating projected image, method and device for exposure, and method for managing the device for exposure
JP2012099685A (en) Evaluation method of light source, adjustment method of light source, exposure method, manufacturing method of device, exposure device, and lithography system
JP2006080444A (en) Measurement apparatus, test reticle, aligner, and device manufacturing method
JP2003318095A (en) Flame measuring method and flare measuring device, aligning method and aligner, and method for adjusting aligner
JP2008270502A (en) Exposure equipment, exposure method, and method of manufacturing device
JP2006080245A (en) Flare measuring method, exposure method, and mask for flare measurement
WO2012060099A1 (en) Light source adjustment method, exposure method, device manufacturing method, illumination optical system, and exposure device

Legal Events

Date Code Title Description
A300 Withdrawal of application because of no request for examination

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20120110