JP2009033073A - Production process of semiconductor integrated circuit device - Google Patents

Production process of semiconductor integrated circuit device Download PDF

Info

Publication number
JP2009033073A
JP2009033073A JP2007198233A JP2007198233A JP2009033073A JP 2009033073 A JP2009033073 A JP 2009033073A JP 2007198233 A JP2007198233 A JP 2007198233A JP 2007198233 A JP2007198233 A JP 2007198233A JP 2009033073 A JP2009033073 A JP 2009033073A
Authority
JP
Japan
Prior art keywords
processing chamber
integrated circuit
semiconductor integrated
circuit device
manufacturing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2007198233A
Other languages
Japanese (ja)
Other versions
JP5236225B2 (en
Inventor
Yosuke Inoue
陽介 井上
Kazuyuki Fujii
一行 藤井
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Technology Corp
Original Assignee
Renesas Technology Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Renesas Technology Corp filed Critical Renesas Technology Corp
Priority to JP2007198233A priority Critical patent/JP5236225B2/en
Publication of JP2009033073A publication Critical patent/JP2009033073A/en
Application granted granted Critical
Publication of JP5236225B2 publication Critical patent/JP5236225B2/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Abstract

<P>PROBLEM TO BE SOLVED: To provide end point detection technology in a remote plasma cleaning which is suited for manufacturing process of mass production of semiconductor integrated circuit device. <P>SOLUTION: A production process of semiconductor integrated circuit device comprises applying plasma to excite reaction gas to deposit a desired film in a reaction chamber 52, supplying a cleaning gas excited in a remote plasma excitation chamber to the reaction chamber to perform a remote plasma cleaning of the reaction chamber under non-plasma excitation atmosphere, and repeating these steps. A slight emission of light from the film-forming chamber under the non-plasma excitation atmosphere is monitored to detect an end point of the remote plasma cleaning. <P>COPYRIGHT: (C)2009,JPO&INPIT

Description

本発明は、半導体集積回路装置(または半導体装置)の製造方法におけるCVD技術に適用して有効な技術に関する。   The present invention relates to a technique effective when applied to a CVD technique in a method of manufacturing a semiconductor integrated circuit device (or a semiconductor device).

日本特開2006−086325号公報(特許文献1)には、プラズマCVD装置のリモート・プラズマ・クリーニングにおいて、CVDチャンバ内で成膜用の電極を用いて終点検出用のプラズマを励起して、そのプラズマからの発光をモニタしてクリーニングの終点を検出することが開示されている。   In Japanese Unexamined Patent Publication No. 2006-086325 (Patent Document 1), in remote plasma cleaning of a plasma CVD apparatus, plasma for end point detection is excited using a film-forming electrode in a CVD chamber, It is disclosed that the end point of cleaning is detected by monitoring light emission from plasma.

日本特開2002−057149号公報(特許文献2)または米国特許第7201174号公報(特許文献3)には、プラズマCVD装置のリモート・プラズマ・クリーニングにおいて、CVDチャンバ内で成膜用の電極とは別のプラズマ励起手段を用いて局所的なプラズマを励起して、そのプラズマからの発光をモニタしてクリーニングの終点を検出することが開示されている。   In Japanese Patent Laid-Open No. 2002-057149 (Patent Document 2) or US Pat. No. 7,201,174 (Patent Document 3), in the remote plasma cleaning of a plasma CVD apparatus, what is an electrode for film formation in a CVD chamber? It is disclosed to excite a local plasma using another plasma excitation means and monitor the light emission from the plasma to detect the end point of cleaning.

日本特開2006−287228号公報(特許文献4)または米国特許公開2006−0228473号公報(特許文献5)には、プラズマCVD装置のリモート・プラズマ・クリーニングにおいて、CVDチャンバ内で単色レーザ光を壁面に当て、その反射光を光学的にモニタしてクリーニングの終点を検出することが開示されている。   Japanese Patent Application Laid-Open No. 2006-287228 (Patent Document 4) or US Patent Publication No. 2006-0228473 (Patent Document 5) discloses that a monochromatic laser beam is placed on a wall surface in a CVD chamber in remote plasma cleaning of a plasma CVD apparatus. In other words, the end point of cleaning is detected by optically monitoring the reflected light.

日本特開平9−097785号公報(特許文献6)には、プラズマCVD装置のプラズマ・クリーニングにおいて、CVDチャンバ内の特定箇所からの発光をモニタしてクリーニングの終点を検出することが開示されている。   Japanese Laid-Open Patent Publication No. 9-097785 (Patent Document 6) discloses that in plasma cleaning of a plasma CVD apparatus, the end point of cleaning is detected by monitoring light emission from a specific location in the CVD chamber. .

日本特開平11−176815号公報(特許文献7)または米国特許第6207008号公報(特許文献8)には、プラズマ・エッチング装置のエッチング終点検出において、チャンバ内プラズマからはなれた部分からの発光をモニタしてエッチングの終点を検出することが開示されている。   Japanese Laid-Open Patent Publication No. 11-176815 (Patent Document 7) or US Pat. No. 6,207,008 (Patent Document 8) monitors light emission from a portion separated from plasma in a chamber when detecting an etching end point of a plasma etching apparatus. Thus, it is disclosed to detect the end point of etching.

日本特開2004−281673号公報(特許文献9)または米国特許公開2006−0207630号公報(特許文献10)には、プラズマCVD装置のインサイチュ・プラズマ・クリーニングにおいて、CVDチャンバ内での発光分光分析によってクリーニングの終点を検出することが開示されている。   Japanese Patent Application Laid-Open No. 2004-281673 (Patent Document 9) or US Patent Publication No. 2006-0207630 (Patent Document 10) discloses an in-situ plasma cleaning of a plasma CVD apparatus by emission spectroscopic analysis in a CVD chamber. It is disclosed to detect the end point of cleaning.

日本特開2005−033173号公報(特許文献11)または米国特許公開2004−0253828号公報(特許文献12)には、プラズマCVD装置のリモート・プラズマ・クリーニングにおいて、CVDチャンバ内で成膜用の電極を用いて微弱なプラズマを励起して、そのプラズマを電気的にモニタしてクリーニングの終点を検出することが開示されている。   Japanese Patent Laid-Open No. 2005-033173 (Patent Document 11) or US Patent Publication No. 2004-0253828 (Patent Document 12) discloses an electrode for film formation in a CVD chamber in remote plasma cleaning of a plasma CVD apparatus. Is used to excite a weak plasma and electrically monitor the plasma to detect the end point of cleaning.

特開2006−086325号公報JP 2006-086325 A 特開2002−057149号公報JP 2002-057149 A 米国特許第7201174号公報US Pat. No. 7,201,174 特開2006−287228号公報JP 2006-287228 A 米国特許公開2006−0228473号公報US Patent Publication No. 2006-0228473 特開平9−097785号公報Japanese Patent Laid-Open No. 9-097785 特開平11−176815号公報JP-A-11-176815 米国特許第6207008号公報US Pat. No. 6,207,008 特開2004−281673号公報JP 2004-281673 A 米国特許公開2006−0207630号公報US Patent Publication No. 2006-0207630 特開2005−033173号公報JP 2005-033173 A 米国特許公開2004−0253828号公報US Patent Publication No. 2004-0253828

一般に半導体集積回路装置または半導体装置の製造工程におけるプラズマCVD工程では、異物の低減や良好な成膜特性を確保するために、単位ウエハ(枚葉式では1枚)を処理するごとに処理室をクリーニング処理(処理室内に被処理ウエハがない状態で)することによって、先行するウエハに対する成膜時に処理室内にできた堆積膜を除去している。このクリーニング処理は、処理室内の電極その他の精密部品を損傷しないように、通常、処理室の外部でNF等のクリーニングガスをプラズマ励起等して生成したフッ素ラジカル等を処理室に導いて(一般に「リモート・プラズマ・クリーニング」という)、付着した堆積膜を気相反応で除去することにより行われている。このクリーニングのときは、処理室には成膜用の高周波電力が供給されていないので、クリーニングの終点を見るには、局所的にクリーニング雰囲気を励起して、その発光を見るか、局所的に励起したプラズマを電気的に計測することが考えられる。 In general, in a plasma CVD process in the manufacturing process of a semiconductor integrated circuit device or a semiconductor device, a processing chamber is provided each time a unit wafer (one wafer type) is processed in order to reduce foreign matter and ensure good film forming characteristics. By performing the cleaning process (with no wafer to be processed in the processing chamber), the deposited film formed in the processing chamber at the time of film formation on the preceding wafer is removed. In this cleaning process, in order not to damage the electrodes and other precision parts in the processing chamber, usually, fluorine radicals and the like generated by plasma excitation of a cleaning gas such as NF 3 outside the processing chamber are guided to the processing chamber ( This is generally performed by removing the deposited film deposited by a gas phase reaction. At the time of this cleaning, since the high frequency power for film formation is not supplied to the processing chamber, in order to see the end point of the cleaning, the cleaning atmosphere is excited locally and the light emission is observed or locally. It is conceivable to electrically measure the excited plasma.

しかし、実際に量産工程に適用すると以下のような問題があることが、本願発明者らによって明らかにされた。すなわち、成膜時と異なりプラズマ励起に適合した条件でないため、局所的にプラズマを励起すること自体が困難である。   However, it has been clarified by the present inventors that there are the following problems when actually applied to a mass production process. That is, unlike the film formation, the conditions are not suitable for plasma excitation, and it is difficult to excite plasma locally.

本発明の目的は、半導体集積回路装置の製造プロセスの量産に適合したリモート・プラズマ・クリーニングにおける終点検出技術を提供することにある。   An object of the present invention is to provide an end point detection technique in remote plasma cleaning suitable for mass production of a semiconductor integrated circuit device manufacturing process.

本発明の前記並びにその他の目的と新規な特徴は本明細書の記述及び添付図面から明らかになるであろう。   The above and other objects and novel features of the present invention will be apparent from the description of this specification and the accompanying drawings.

本願において開示される発明のうち代表的なものの概要を簡単に説明すれば下記の通りである。   The following is a brief description of an outline of typical inventions disclosed in the present application.

すなわち、本願発明は反応室においてプラズマを用いて反応ガスを励起して所望の膜を堆積するステップと同反応室にリモートプラズマ励起室で励起されたクリーニングガスを導入して非プラズマ励起雰囲気で同反応室をリモート・プラズマ・クリーニングするステップを繰り返す半導体集積回路装置(又は半導体装置)の製造方法において、プラズマ励起されていないウエハ処理室の発光をモニタすることにより、リモート・プラズマ・クリーニングの終点を検出するものである。   That is, in the present invention, the step of depositing a desired film by exciting the reaction gas using plasma in the reaction chamber introduces the cleaning gas excited in the remote plasma excitation chamber into the reaction chamber and the same in a non-plasma excitation atmosphere. In the method of manufacturing a semiconductor integrated circuit device (or semiconductor device) that repeats the step of remote plasma cleaning of the reaction chamber, the end point of the remote plasma cleaning is determined by monitoring the light emission of the wafer processing chamber that is not plasma-excited. It is to detect.

本願において開示される発明のうち代表的なものによって得られる効果を簡単に説明すれば下記のとおりである。   The effects obtained by the representative ones of the inventions disclosed in the present application will be briefly described as follows.

すなわち、従来困難と考えられていたプラズマ励起されていないウエハ処理室の微弱な発光をモニタすることにより、リモート・プラズマ・クリーニングの終点を検出することができる。   In other words, the end point of the remote plasma cleaning can be detected by monitoring the weak light emission in the wafer processing chamber which has not been plasma-excited, which has been considered difficult in the past.

〔実施の形態の概要〕
先ず、本願において開示される発明の代表的な実施の形態について概要を説明する。
[Outline of Embodiment]
First, an outline of a typical embodiment of the invention disclosed in the present application will be described.

1.以下の工程を含む半導体集積回路装置の製造方法:
(a)第1のプラズマ励起システムを有するプラズマCVD装置のウエハ処理室内に、第1のウエハを導入する工程;
(b)前記ウエハ処理室内に導入された前記第1のウエハに対して、前記第1のプラズマ励起システムによって、プラズマ励起することによってプラズマCVD処理を実行する工程;
(c)前記工程(b)の後、前記第1のウエハを前記ウエハ処理室内から外部に排出する工程;
(d)前記工程(c)の後、前記ウエハ処理室に対して、リモート・プラズマ・クリーニングを実行する工程;
(e)前記リモート・プラズマ・クリーニングが実行された前記ウエハ処理室内に、第2のウエハを導入する工程;
(f)前記ウエハ処理室内に導入された前記第2のウエハに対して、前記第1のプラズマ励起システムによって、プラズマ励起することによって前記プラズマCVD処理を実行する工程、
ここで、前記工程(d)は以下の下位工程を含む:
(d1)前記ウエハ処理室の外に設けられたリモート・プラズマ発生室において、第2のプラズマ励起システムによりクリーニングガスをプラズマ励起して、励起された前記クリーニングガスを前記ウエハ処理室内に移送する工程;
(d2)前記ウエハ処理室内がプラズマ励起されていない状態下で、前記ウエハ処理室内の発光を光検知器によりモニタすることによって、前記リモート・プラズマ・クリーニングの終点を検出する工程;
(d3)前記下位工程(d2)の結果に基づいて、前記リモート・プラズマ・クリーニングを終了させる工程。
1. A method of manufacturing a semiconductor integrated circuit device including the following steps:
(A) introducing a first wafer into a wafer processing chamber of a plasma CVD apparatus having a first plasma excitation system;
(B) performing a plasma CVD process on the first wafer introduced into the wafer processing chamber by performing plasma excitation with the first plasma excitation system;
(C) after the step (b), a step of discharging the first wafer from the wafer processing chamber to the outside;
(D) after the step (c), performing a remote plasma cleaning on the wafer processing chamber;
(E) introducing a second wafer into the wafer processing chamber where the remote plasma cleaning has been performed;
(F) performing the plasma CVD process by performing plasma excitation on the second wafer introduced into the wafer processing chamber by the first plasma excitation system;
Here, the step (d) includes the following substeps:
(D1) a step of plasma-exciting a cleaning gas by a second plasma excitation system in a remote plasma generation chamber provided outside the wafer processing chamber, and transferring the excited cleaning gas into the wafer processing chamber ;
(D2) detecting an end point of the remote plasma cleaning by monitoring light emission in the wafer processing chamber with a light detector in a state where the plasma is not excited in the wafer processing chamber;
(D3) A step of terminating the remote plasma cleaning based on the result of the substep (d2).

2.前記1項の半導体集積回路装置の製造方法において、前記光検知器は前記リモート・プラズマ発生室からの発光を実質的に検知しない方向に向けられている。   2. In the method of manufacturing a semiconductor integrated circuit device according to the item 1, the light detector is directed in a direction not substantially detecting light emitted from the remote plasma generation chamber.

3.前記1または2項の半導体集積回路装置の製造方法において、前記光検知器は水平よりも下方を向いている。   3. In the method for manufacturing a semiconductor integrated circuit device according to item 1 or 2, the photodetector is directed downward from the horizontal.

4.前記1から3項のいずれか一つの半導体集積回路装置の製造方法において、前記光検知器は水平よりも10度以上、下方を向いている。   4). In the method of manufacturing a semiconductor integrated circuit device according to any one of items 1 to 3, the photodetector is directed downward by 10 degrees or more from the horizontal.

5.前記1から3項のいずれか一つの半導体集積回路装置の製造方法において、前記光検知器は水平よりも15度以上、下方を向いている。   5). 4. In the method of manufacturing a semiconductor integrated circuit device according to any one of items 1 to 3, the photodetector is directed downward by 15 degrees or more from the horizontal.

6.前記1から3項のいずれか一つの半導体集積回路装置の製造方法において、前記光検知器は水平よりも20度以上、下方を向いている。   6). 4. In the method of manufacturing a semiconductor integrated circuit device according to any one of items 1 to 3, the photodetector is directed downward by 20 degrees or more from the horizontal.

7.前記1から6項のいずれか一つの半導体集積回路装置の製造方法において、前記ウエハ処理室と前記リモート・プラズマ発生室を連結する連結配管は屈曲している。   7. 7. In the method of manufacturing a semiconductor integrated circuit device according to any one of 1 to 6, the connecting pipe that connects the wafer processing chamber and the remote plasma generation chamber is bent.

8.前記1から7項のいずれか一つの前記ウエハ処理室と前記リモート・プラズマ発生室を連結する半導体集積回路装置の製造方法において、前記ウエハ処理室と前記リモート・プラズマ発生室を連結する前記連結配管の内面には反射防止膜が設けられている。   8). 8. The method of manufacturing a semiconductor integrated circuit device that connects the wafer processing chamber and the remote plasma generation chamber according to any one of 1 to 7, wherein the connection pipe connects the wafer processing chamber and the remote plasma generation chamber. An antireflection film is provided on the inner surface.

9.前記1から8項のいずれか一つの半導体集積回路装置の製造方法において、前記ウエハ処理室内には、前記リモート・プラズマ発生室からの発光が実質的に前記光検知器に入らないように、光遮蔽板が設けられている。   9. 9. The method of manufacturing a semiconductor integrated circuit device according to any one of 1 to 8, wherein light is emitted from the remote plasma generation chamber so that the light from the remote plasma generation chamber does not substantially enter the photodetector. A shielding plate is provided.

10.前記1から9項のいずれか一つの半導体集積回路装置の製造方法において、前記光検知器による発光のモニタは、前記前記ウエハ処理室のクリーニングが完了した状態の発光を基準として、行われる。   10. 10. In the method for manufacturing a semiconductor integrated circuit device according to any one of items 1 to 9, light emission monitoring by the photodetector is performed based on light emission in a state where cleaning of the wafer processing chamber is completed.

11.前記1から10項のいずれか一つの半導体集積回路装置の製造方法において、前記クリーニングガスは前記リモート・プラズマ発生室から連結配管により前記ウエハ処理室の上方から供給される。   11. 11. In the method of manufacturing a semiconductor integrated circuit device according to any one of items 1 to 10, the cleaning gas is supplied from above the wafer processing chamber through a connection pipe from the remote plasma generation chamber.

12.前記1から10項のいずれか一つの半導体集積回路装置の製造方法において、前記クリーニングガスは前記リモート・プラズマ発生室から連結配管により前記ウエハ処理室の側方から供給される。   12 11. In the method for manufacturing a semiconductor integrated circuit device according to any one of items 1 to 10, the cleaning gas is supplied from a side of the wafer processing chamber through a connection pipe from the remote plasma generation chamber.

13.前記1から12項のいずれか一つの半導体集積回路装置の製造方法において、前記クリーニングガスはガス遮蔽部材を介することなく、前記ウエハ処理室へ直接供給される。   13. 13. In the method of manufacturing a semiconductor integrated circuit device according to any one of items 1 to 12, the cleaning gas is directly supplied to the wafer processing chamber without passing through a gas shielding member.

14.前記11または12項の半導体集積回路装置の製造方法において、前記連結配管の長さは50センチ・メートル以下である。   14 In the method for manufacturing a semiconductor integrated circuit device according to the item 11 or 12, the length of the connection pipe is 50 centimeters or less.

15.以下の工程を含む半導体集積回路装置の製造方法:
(a)熱CVD装置のウエハ処理室内に、第1のウエハを導入する工程;
(b)前記ウエハ処理室内に導入された前記第1のウエハに対して、熱CVD処理を実行する工程;
(c)前記工程(b)の後、前記第1のウエハを前記ウエハ処理室内から外部に排出する工程;
(d)前記工程(c)の後、前記ウエハ処理室に対して、リモート・プラズマ・クリーニングを実行する工程;
(e)前記リモート・プラズマ・クリーニングが実行された前記ウエハ処理室内に、第2のウエハを導入する工程;
(f)前記ウエハ処理室内に導入された前記第2のウエハに対して、前記熱CVD処理を実行する工程、
ここで、前記工程(d)は以下の下位工程を含む:
(d1)前記ウエハ処理室の外に設けられたリモート・プラズマ発生室において、第2のプラズマ励起システムによりクリーニングガスをプラズマ励起して、励起された前記クリーニングガスを前記ウエハ処理室内に移送する工程;
(d2)前記ウエハ処理室内がプラズマ励起されていない状態下で、前記ウエハ処理室内の発光を光検知器によりモニタすることによって、前記リモート・プラズマ・クリーニングの終点を検出する工程;
(d3)前記下位工程(d2)の結果に基づいて、前記リモート・プラズマ・クリーニングを終了させる工程。
15. A method of manufacturing a semiconductor integrated circuit device including the following steps:
(A) introducing the first wafer into the wafer processing chamber of the thermal CVD apparatus;
(B) performing a thermal CVD process on the first wafer introduced into the wafer processing chamber;
(C) after the step (b), a step of discharging the first wafer from the wafer processing chamber to the outside;
(D) after the step (c), performing a remote plasma cleaning on the wafer processing chamber;
(E) introducing a second wafer into the wafer processing chamber where the remote plasma cleaning has been performed;
(F) performing the thermal CVD process on the second wafer introduced into the wafer processing chamber;
Here, the step (d) includes the following substeps:
(D1) A step of plasma-exciting a cleaning gas by a second plasma excitation system in a remote plasma generation chamber provided outside the wafer processing chamber and transferring the excited cleaning gas into the wafer processing chamber ;
(D2) detecting an end point of the remote plasma cleaning by monitoring light emission in the wafer processing chamber with a photodetector in a state where the wafer processing chamber is not plasma-excited;
(D3) A step of terminating the remote plasma cleaning based on the result of the substep (d2).

16.前記15項の半導体集積回路装置の製造方法において、前記光検知器は前記リモート・プラズマ発生室からの発光を実質的に検知しない方向に向けられている。   16. 16. The method for manufacturing a semiconductor integrated circuit device according to the item 15, wherein the photodetector is directed in a direction that does not substantially detect light emitted from the remote plasma generation chamber.

17.前記15または16項の半導体集積回路装置の製造方法において、前記光検知器は水平よりも下方を向いている。   17. In the method of manufacturing a semiconductor integrated circuit device according to the item 15 or 16, the photodetector is directed downward from the horizontal.

18.前記15から17項のいずれか一つの半導体集積回路装置の製造方法において、前記光検知器は水平よりも10度以上、下方を向いている。   18. 18. In the method of manufacturing a semiconductor integrated circuit device according to any one of 15 to 17, the photodetector is directed downward by 10 degrees or more from the horizontal.

19.前記15から17項のいずれか一つの半導体集積回路装置の製造方法において、前記光検知器は水平よりも15度以上、下方を向いている。   19. 18. In the method for manufacturing a semiconductor integrated circuit device according to any one of items 15 to 17, the photodetector is directed downward by 15 degrees or more from the horizontal.

20.前記15から17項のいずれか一つの半導体集積回路装置の製造方法において、前記光検知器は水平よりも20度以上、下方を向いている。   20. 18. In the method of manufacturing a semiconductor integrated circuit device according to any one of 15 to 17, the photodetector is directed downward by 20 degrees or more from the horizontal.

21.前記15から20項のいずれか一つの半導体集積回路装置の製造方法において、前記ウエハ処理室と前記リモート・プラズマ発生室を連結する連結配管は屈曲している。   21. 21. In the method for manufacturing a semiconductor integrated circuit device according to any one of 15 to 20, the connecting pipe that connects the wafer processing chamber and the remote plasma generation chamber is bent.

22.前記15から21項のいずれか一つの半導体集積回路装置の製造方法において、前記ウエハ処理室と前記リモート・プラズマ発生室を連結する連結配管の内面には反射防止膜が設けられている。   22. In the method for manufacturing a semiconductor integrated circuit device according to any one of the items 15 to 21, an antireflection film is provided on an inner surface of a connection pipe that connects the wafer processing chamber and the remote plasma generation chamber.

23.前記15から22項のいずれか一つの半導体集積回路装置の製造方法において、前記ウエハ処理室内には、前記リモート・プラズマ発生室からの発光が実質的に前記光検知器に入らないように、光遮蔽板が設けられている。   23. 23. In the method of manufacturing a semiconductor integrated circuit device according to any one of 15 to 22, the light is emitted from the remote plasma generation chamber so that the light from the remote plasma generation chamber does not substantially enter the photodetector. A shielding plate is provided.

24.前記15から23項のいずれか一つの半導体集積回路装置の製造方法において、前記光検知器による発光のモニタは、前記前記ウエハ処理室のクリーニングが完了した状態の発光を基準として、行われる。   24. 24. In the method for manufacturing a semiconductor integrated circuit device according to any one of items 15 to 23, the light emission monitoring by the photodetector is performed based on light emission in a state where the cleaning of the wafer processing chamber is completed.

25.前記15から24項のいずれか一つの半導体集積回路装置の製造方法において、前記クリーニングガスは前記リモート・プラズマ発生室から連結配管により前記ウエハ処理室の上方から供給される。   25. 25. In the method for manufacturing a semiconductor integrated circuit device according to any one of 15 to 24, the cleaning gas is supplied from above the wafer processing chamber through a connection pipe from the remote plasma generation chamber.

26.前記15から25項のいずれか一つの半導体集積回路装置の製造方法において、前記クリーニングガスは前記リモート・プラズマ発生室から連結配管により前記ウエハ処理室の側方から供給される。   26. 26. In the method for manufacturing a semiconductor integrated circuit device according to any one of items 15 to 25, the cleaning gas is supplied from the side of the wafer processing chamber through a connection pipe from the remote plasma generation chamber.

27.前記15から26項のいずれか一つの半導体集積回路装置の製造方法において、前記クリーニングガスはガス遮蔽部材を介することなく、前記ウエハ処理室へ直接供給される。   27. 27. In the method for manufacturing a semiconductor integrated circuit device according to any one of 15 to 26, the cleaning gas is directly supplied to the wafer processing chamber without passing through a gas shielding member.

28.前記25または26項の半導体集積回路装置の製造方法において、前記連結配管の長さは50センチ・メートル以下である。   28. 27. In the method for manufacturing a semiconductor integrated circuit device according to the item 25 or 26, the length of the connection pipe is 50 centimeters or less.

〔本願における記載形式・基本的用語・用法の説明〕
1.本願において、実施の態様の記載は、必要に応じて、便宜上複数の部分に分けて記載する場合もあるが、特にそうでない旨明示した場合を除き、これらは相互に独立別個のものではなく、単一の例の各部分、一方が他方の一部詳細または一部または全部の変形例等である。また、原則として、同様の部分は繰り返しを省略する。また、実施の態様における各構成要素は、特にそうでない旨明示した場合、理論的にその数に限定される場合および文脈から明らかにそうでない場合を除き、必須のものではない。
[Description format, basic terms, usage in this application]
1. In the present application, the description of the embodiment may be divided into a plurality of parts for convenience, if necessary, but these are not independent from each other unless otherwise specified. Each part of a single example, one part is the other part of the details, or part or all of the modifications. Moreover, as a general rule, the same part is not repeated. In addition, each component in the embodiment is not indispensable unless specifically stated otherwise, unless it is theoretically limited to the number, and obviously not in context.

2.同様に実施の態様等の記載において、材料、組成等について、「AからなるX」等といっても、特にそうでない旨明示した場合および文脈から明らかにそうでない場合を除き、A以外の要素を主要な構成要素のひとつとするものを排除するものではない。たとえば、成分についていえば、「Aを主要な成分として含むX」等の意味である。たとえば、「シリコン部材」等といっても、純粋なシリコンに限定されるものではなく、SiGe合金やその他シリコンを主要な成分とする多元合金、その他の添加物等を含む部材も含むものであることはいうまでもない。同様に、「酸化シリコン膜」と言っても、比較的純粋な非ドープ酸化シリコン(Undoped Silicon DioxideまたはNon-Doped Silicate Glass)だけでなく、FSG(Fluorosilicate Glass)、TEOSベース酸化シリコン(TEOS-based silicon oxide)、SiOC(Silicon Oxicarbide)またはカーボンドープ酸化シリコン(Carbon-doped Silicon oxide)またはOSG(Organosilicate glass)、PSG(Phosphorus Silicate Glass)、BPSG(Borophosphosilicate Glass)等の熱酸化膜、CVD酸化膜、SOG(Spin ON Glass)、ナノ・クラスタリング・シリカ(Nano-Clustering Silica:NSC)等の塗布系酸化シリコン、これらと同様な部材に空孔を導入したシリカ系Low-k絶縁膜(ポーラス系絶縁膜)、およびこれらを主要な構成要素とする他のシリコン系絶縁膜との複合膜等を含むことは言うまでもない。   2. Similarly, in the description of the embodiment, etc., regarding the material, composition, etc., “X consisting of A” etc. is an element other than A unless specifically stated otherwise and clearly not in context. It is not excluded that one of the main components. For example, as for the component, it means “X containing A as a main component”. For example, “silicon member” is not limited to pure silicon, but also includes SiGe alloys, other multi-component alloys containing silicon as a main component, and members containing other additives. Needless to say. Similarly, the term “silicon oxide film” refers to not only relatively pure undoped silicon oxide (Undoped Silicon Dioxide or Non-Doped Silicate Glass), but also FSG (Fluorosilicate Glass), TEOS-based silicon oxide (TEOS-based silicon oxide), SiOC (Silicon Oxicarbide) or Carbon-doped Silicon oxide (OSG) (Organosilicate glass), PSG (Phosphorus Silicate Glass), BPSG (Borophosphosilicate Glass) and other thermal oxide films, CVD oxide films, SOG (Spin ON Glass), nano-clustering silica (Nano-Clustering Silica: NSC), etc., coating system silicon oxide, silica-based low-k insulating film (porous insulating film) with pores introduced in the same material ), And a composite film with other silicon-based insulating films having these as main constituent elements.

3.同様に、図形、位置、属性等に関して、好適な例示をするが、特にそうでない旨明示した場合および文脈から明らかにそうでない場合を除き、厳密にそれに限定されるものではないことは言うまでもない。   3. Similarly, suitable examples of graphics, positions, attributes, and the like are given, but it is needless to say that the present invention is not strictly limited to those cases unless explicitly stated otherwise, and unless otherwise apparent from the context.

4.さらに、特定の数値、数量に言及したときも、特にそうでない旨明示した場合、理論的にその数に限定される場合および文脈から明らかにそうでない場合を除き、その特定の数値を超える数値であってもよいし、その特定の数値未満の数値でもよい。   4). In addition, when a specific number or quantity is mentioned, a numerical value exceeding that specific number will be used unless specifically stated otherwise, unless theoretically limited to that number, or unless otherwise clearly indicated by the context. There may be a numerical value less than the specific numerical value.

5.「ウエハ」というときは、通常は半導体集積回路装置(半導体装置、電子装置も同じ)をその上に形成する単結晶シリコンウエハを指すが、エピタキシャルウエハ、絶縁基板と半導体層等の複合ウエハ等も含むことは言うまでもない。   5). “Wafer” usually refers to a single crystal silicon wafer on which a semiconductor integrated circuit device (same as a semiconductor device or an electronic device) is formed, but also an epitaxial wafer, a composite wafer such as an insulating substrate and a semiconductor layer, etc. Needless to say.

〔実施の形態の詳細〕
実施の形態について更に詳述する。各図中において、同一または同様の部分は同一または類似の記号または参照番号で示し、説明は原則として繰り返さない。
[Details of the embodiment]
The embodiment will be further described in detail. In the drawings, the same or similar parts are denoted by the same or similar symbols or reference numerals, and description thereof will not be repeated in principle.

1.光検出器の向き調整による微弱発光の検出の説明(主に図1から3)
リモートプラズマ・クリーニングが広く行われる前は、成膜室すなわち反応室でクリーニング用のプラズマ(成膜用のプラズマ励起機構等による)の発光等を見てクリーニングの終点を検出していた。そのような場合は、高感度の光検知器で成膜室内を光検知器で観測すると、非常に強力な反応ガス(クリーニングガス)からの発光や反応室内壁(その他ウエハステージ)からの熱輻射等を見る結果となることを避けるため、通常、比較的低感度で観測していた。リモートプラズマ・クリーニングでは、成膜室ではプラズマ励起されないので、光検知器で反応室からの発光を観測することは意味がないと考えられていた。このようなことから、リモートプラズマ・クリーニングが広く行われるようになった後も、クリーニングの際に、直接にはプラズマ励起されていない反応室内を光学的に観測することは行われなかった。
1. Explanation of detection of weak light emission by adjusting the orientation of the photodetector (mainly FIGS. 1 to 3)
Before remote plasma cleaning was widely performed, the end point of cleaning was detected in the film formation chamber, that is, the reaction chamber, by looking at the emission of cleaning plasma (by a plasma excitation mechanism for film formation, etc.). In such a case, if the film formation chamber is observed with a high-sensitivity light detector, light emission from a very powerful reaction gas (cleaning gas) and heat radiation from the reaction chamber wall (other wafer stage) In order to avoid such a result, it was usually observed with relatively low sensitivity. In remote plasma cleaning, since plasma excitation is not performed in the film forming chamber, it was thought that it was meaningless to observe light emission from the reaction chamber with a photodetector. For this reason, even after remote plasma cleaning has become widely performed, optically observing a reaction chamber that is not directly plasma-excited during cleaning has not been performed.

しかし、本発明者らの注意深い観察によれば、クリーニング中の反応室の適切な位置に指向性を持った光検知器を向けると、微弱であるがクリーニング反応に関係する反応種からの発光を観測できる可能性があることが明らかとなった。また、従来使用されていたクリーニング・ガスの導入のためのガス拡散板等(ラジカル等をトラップする)を取り除けば、Fラジカル等の濃度が増加して、発光観測がしやすくなることがわかった。すなわち、得られる信号は熱輻射やリモートプラズマ源からの漏れ信号と同レベルであるが、ガス導入方法や観測方法を工夫すれば、終点検出に利用可能である。   However, according to the careful observations by the present inventors, when a light detector having directivity is directed to an appropriate position in the reaction chamber during cleaning, light emission from the reactive species related to the cleaning reaction is weak. It became clear that it could be observed. In addition, it was found that the concentration of F radicals and so on would be easier to observe if the gas diffuser plate (trapping radicals, etc.) used for cleaning and gas introduction was removed. . In other words, the signal obtained is at the same level as the heat radiation and the leak signal from the remote plasma source, but if the gas introduction method and observation method are devised, it can be used for end point detection.

以下図1から3に基づいて、本実施の形態のリモートプラズマ・クリーニング等のクリーニング工程中の非プラズマ励起空間からの発光の検出について説明する。   Hereinafter, detection of light emission from a non-plasma excitation space during a cleaning process such as remote plasma cleaning according to the present embodiment will be described with reference to FIGS.

図1は本実施の形態の半導体集積回路装置の製造方法において使用するプラズマCVD装置の正面模式断面図である。図1により、本実施の形態に使用するリモートプラズマクリーニング機構(リモートプラズマクリーニング用プラズマ励起システム65を含む)を有する誘導結合型(Inductively Coupled)プラズマCVD装置55(いわゆる高密度プラズマ炉に分類され、誘導結合型成膜用プラズマ励起システム53を有する)の構成を説明する。なお、本願発明は、熱CVD装置を用いたものでも適用できることは言うまでもない。   FIG. 1 is a schematic front sectional view of a plasma CVD apparatus used in the method for manufacturing a semiconductor integrated circuit device of the present embodiment. According to FIG. 1, an inductively coupled plasma CVD apparatus 55 (which is classified as a so-called high density plasma furnace) having a remote plasma cleaning mechanism (including a plasma excitation system 65 for remote plasma cleaning) used in the present embodiment, The configuration of the inductively coupled film-forming plasma excitation system 53 will be described. Needless to say, the present invention can be applied to a device using a thermal CVD apparatus.

図1において、まず、成膜時の各部の動作や働きを説明する。300φ単結晶シリコンウエハ等の被処理ウエハ1(第1のウエハ)は成膜処理室52内(たとえば高さ約550ミリメートルで下部は600ミリメートル径の円筒状、上部はハーフドーム状)に設けられた下部電極66上の静電チャック56(ウエハサセプタでもある)に置かれる。下部電極66はバイアス電源に接続されている。所定の真空度で反応ガス(通常、その他の添加ガスを含む)が成膜反応ガス供給口60から供給され、成膜用プラズマ励起システム53のRF電源からマッチング・ボックスを介してRF電力が励起コイルに供給されると、誘導結合によりプラズマが生成され、それによって成膜反応が進行する。成膜は終わると、処理済のウエハ1は炉外へ出される。そのまま、次のウエハ1(第2のウエハ)を導入して処理をすると、先のウエハと同質の膜を形成することは一般に困難である。すなわち、先のウエハを処理したと同様な炉内の条件すなわち、炉内付着膜の状態を先のウエハを処理したときと同様の状態(初期状態)に戻してやる必要がある。これをクリーニングと呼ぶ。ただし、以下の図6に示す例では、真空度が比較的高い関係か、最初の成膜処理前には若干初期堆積物が付いた状態のほうがプロセスが安定化するようであり、上記の説明はロットの2番目以降のウエハについて、より正確に当てはまる。   In FIG. 1, first, the operation and function of each part during film formation will be described. A wafer to be processed 1 (first wafer) such as a 300φ single crystal silicon wafer is provided in a film forming chamber 52 (for example, a cylindrical shape having a height of about 550 mm and a lower portion of 600 mm diameter, and an upper portion having a half dome shape). An electrostatic chuck 56 (also a wafer susceptor) on the lower electrode 66 is placed. The lower electrode 66 is connected to a bias power source. A reactive gas (usually including other additive gases) is supplied from a film formation reaction gas supply port 60 at a predetermined degree of vacuum, and RF power is excited from the RF power source of the film formation plasma excitation system 53 via a matching box. When supplied to the coil, plasma is generated by inductive coupling, thereby causing a film formation reaction. When the film formation is finished, the processed wafer 1 is taken out of the furnace. If the next wafer 1 (second wafer) is introduced and processed as it is, it is generally difficult to form a film having the same quality as the previous wafer. That is, it is necessary to return the conditions in the furnace similar to those in which the previous wafer was processed, that is, the state of the in-furnace deposited film to the same state (initial state) as in the previous wafer processing. This is called cleaning. However, in the example shown in FIG. 6 below, it seems that the process is more stable when the degree of vacuum is relatively high, or when the initial deposit is slightly attached before the first film forming process. Applies more accurately to the second and subsequent wafers in the lot.

クリーニングを行うには、まず、先の成膜雰囲気をウエハ処理室用真空排気系(一般にドライポンプまたはターボ分子ポンプをメインポンプとして処理室52の近傍に持っている)によって排気する。その後、リモート・プラズマ励起システムのリモート・プラズマ発生室51において、プラズマ励起によりクリーニングガス(たとえばNFなどのフッ素含有無機ガス等を含むガス、フッ素含有有機系ガスでもよい)のラジカルを発生させて、連結配管61(連結配管はラジカルのトラップを防ぐためできるだけ短いことが必要である。たとえば50センチ・メートル以下)を通して、それをウエハ処理室52(ウエハは収容されていない)に移送する。そうするとクリーニング反応が進行して、ウエハ処理室52が初期状態に戻る。このクリーニングの際、ウエハ処理室52を正確に初期状態に戻すためのクリーニング時間はその時々で変化する。したがって、毎回、ウエハ処理室内に付着した生成物がほぼ除去された時点を終点として検出して、クリーニングを終了する必要がある(後に示すように、必ずしも必須ではない)。これが、クリーニングの終点検出である。 To perform cleaning, first, the film forming atmosphere is evacuated by a vacuum exhaust system for a wafer processing chamber (generally having a dry pump or a turbo molecular pump as a main pump in the vicinity of the processing chamber 52). Thereafter, in the remote plasma generation chamber 51 of the remote plasma excitation system, radicals of a cleaning gas (for example, a gas containing a fluorine-containing inorganic gas such as NF 3 or a fluorine-containing organic gas) may be generated by plasma excitation. Through the connecting pipe 61 (the connecting pipe needs to be as short as possible to prevent trapping of radicals. For example, 50 centimeters or less), it is transferred to the wafer processing chamber 52 (no wafer is accommodated). Then, the cleaning reaction proceeds and the wafer processing chamber 52 returns to the initial state. During this cleaning, the cleaning time for accurately returning the wafer processing chamber 52 to the initial state varies from time to time. Therefore, it is necessary to detect the end point of the time when the product adhered to the wafer processing chamber is almost removed each time as the end point, and to end the cleaning (not necessarily required as will be described later). This is the end point detection of cleaning.

次にクリーニングの終点検出の方法を説明する。まず、図1および図2に基づいて、光検知器57の調整方法について説明する。図2はリモート・プラズマ・クリーニング中の観測された発光スペクトルを示す。光検知器57の向きが適切でないと、バックグランド輻射や漏れ光等のノイズに埋まって、有効なピークは観察されないので、図2のようなスペクトル・モードになるように、光検知器57の向きおよび感度を調整する。この種のプラズマ炉では、反応室52の上方にリモートプラズマ生成室51があるので、光検知器57の向きを若干下向きにすることが有効である。下方への傾き角は10度以上、望ましくは15度以上が望ましい。また、ウエハ・ステージ56が比較的高い温度に加熱されていないときは、20度以上が望ましい。   Next, a method for detecting the end point of cleaning will be described. First, a method for adjusting the photodetector 57 will be described with reference to FIGS. 1 and 2. FIG. 2 shows the observed emission spectrum during remote plasma cleaning. If the orientation of the photodetector 57 is not appropriate, it will be buried in noise such as background radiation and leaked light, and no effective peak will be observed, so that the spectrum mode of FIG. Adjust orientation and sensitivity. In this type of plasma furnace, since the remote plasma generation chamber 51 is above the reaction chamber 52, it is effective to make the direction of the photodetector 57 slightly downward. The downward inclination angle is 10 degrees or more, preferably 15 degrees or more. Further, when the wafer stage 56 is not heated to a relatively high temperature, 20 degrees or more is desirable.

図3は図1のプラズマCVD装置からの発光によるクリーニング終点検出の原理を示す測定図である。図3に基づいて、終点検出の原理を説明する。クリーニングの終点は、光検知器57、分光器58および発光モニタ59で観測窓63を透過してきたクリーニング・ガス成分54からの発光をモニタすることによって行われる。図3に示すように、118秒近辺で特定の波長のスペクトル強度が一定値に収束してきている。ここが、終点と考えられる。   FIG. 3 is a measurement diagram showing the principle of detection of the cleaning end point by light emission from the plasma CVD apparatus of FIG. The principle of end point detection will be described with reference to FIG. The end point of cleaning is performed by monitoring light emission from the cleaning gas component 54 that has passed through the observation window 63 with the light detector 57, the spectroscope 58, and the light emission monitor 59. As shown in FIG. 3, the spectral intensity of a specific wavelength has converged to a constant value around 118 seconds. This is considered the end point.

クリーニングを終了すると、次のウエハ1(第2のウエハ)をウエハ処理室52に導入して、先のウエハと等価な成膜処理を実行する。このようにして、ロット単位のウエハが全部処理完了するまで成膜・クリーニングの繰り返し、すなわち成膜・クリーニング循環プロセスを実行する。ロットの処理が完了したら、ロット前処理を実行し装置の状態を整える(図6または図15参照)。   When the cleaning is completed, the next wafer 1 (second wafer) is introduced into the wafer processing chamber 52, and a film forming process equivalent to the previous wafer is performed. In this manner, the film formation / cleaning process is repeated until all the wafers in the lot unit are processed, that is, the film formation / cleaning circulation process is executed. When the lot processing is completed, lot pre-processing is executed to adjust the state of the apparatus (see FIG. 6 or FIG. 15).

また、モニタシステム59においては、反応室52に堆積膜がない状態(クリーニング完了状態)のスペクトル強度を記憶しておき、それを基準として、観測値を評価するようにするとよい(以下の例で同じ)。   Further, in the monitor system 59, it is preferable to store the spectrum intensity in a state where there is no deposited film in the reaction chamber 52 (cleaning completed state) and to evaluate the observation value based on this (in the following example). the same).

2.連結配管屈曲による微弱発光の検出の説明(主に図4)
図4は本実施の形態の半導体集積回路装置の製造方法において使用する他のプラズマCVD装置の正面模式断面図である。この例は、反応室52の下部側方にリモートプラズマ生成室51との連結配管61があり、この連結配管61が屈曲している点に特徴がある。リモートプラズマ生成室51が反応室52の下部側方にある場合は、セクション1と相違して、光検知器57を斜め下方に向けて、リモートプラズマ生成室51からの漏れ光を避けることが困難である。したがって、この場合は連結配管61を屈曲させて、直接に漏れ光が光検知器57に入らないようにすることが有効である。この場合は、光検知器57を直接、連結配管61の出口に向けない他の方位で、図2のようなスペクトル・モードになるところを見つける必要がある。
2. Explanation of detection of weak light emission by connecting pipe bending (mainly Fig. 4)
FIG. 4 is a schematic front sectional view of another plasma CVD apparatus used in the method for manufacturing a semiconductor integrated circuit device of the present embodiment. This example is characterized in that there is a connection pipe 61 to the remote plasma generation chamber 51 on the lower side of the reaction chamber 52, and the connection pipe 61 is bent. When the remote plasma generation chamber 51 is located on the lower side of the reaction chamber 52, unlike the section 1, it is difficult to avoid light leaking from the remote plasma generation chamber 51 by turning the photodetector 57 obliquely downward. It is. Therefore, in this case, it is effective to bend the connecting pipe 61 so that the leaked light does not enter the photodetector 57 directly. In this case, it is necessary to find a place where the spectral mode as shown in FIG. 2 is obtained in another direction not directing the photodetector 57 directly toward the outlet of the connection pipe 61.

また、連結配管61の内壁に反射防止膜または吸光材層を形成すると有効である。反射防止膜または吸光材層としては、たとえばフッ素コーティング、熱可塑性ポリイミド等が使用可能である。   Further, it is effective to form an antireflection film or a light absorbing material layer on the inner wall of the connecting pipe 61. As the antireflection film or the light absorbing material layer, for example, fluorine coating, thermoplastic polyimide or the like can be used.

3.遮蔽板による微弱発光の検出の説明(主に図5)
図5は本実施の形態の半導体集積回路装置の製造方法において使用する更にその他のプラズマCVD装置の正面模式断面図である。この例は、反応室52の上方からの漏れ光を遮る遮蔽版62(たとえばアルミナセラミックス等)を設けたところに特徴がある。これは、セクション1,2のように、光検知器57調整しても、図2のようなスペクトル・モードになるところを見つけることができない場合に有効である。
3. Explanation of detection of weak light emission by a shielding plate (mainly FIG. 5)
FIG. 5 is a schematic front sectional view of still another plasma CVD apparatus used in the method of manufacturing a semiconductor integrated circuit device according to the present embodiment. This example is characterized in that a shielding plate 62 (for example, alumina ceramics) that blocks leakage light from above the reaction chamber 52 is provided. This is effective when it is not possible to find a place where the spectral mode as shown in FIG.

4.素子分離工程に適用したプロセスの説明(主に図6から10)
図6から図10により、STI(Shallow Trench Isolation)型の素子分離工程の素子分離溝埋め込み工程に適用したプロセスの説明を行う。この素子分離溝埋め込み工程はHDP-CVD法(High Density Plasma CVD)によって実施される。プラズマ炉としては、図1に説明した枚葉式のICP型の高密度プラズマCVD炉を用いる。この方式では一般に0.27Paから1.3Pa程度の真空領域が用いられる。反応ガスは一般にモノシランである。
4). Explanation of the process applied to the element isolation process (mainly FIGS. 6 to 10)
A process applied to the element isolation trench filling process of the STI (Shallow Trench Isolation) type element isolation process will be described with reference to FIGS. This element isolation groove filling step is performed by an HDP-CVD method (High Density Plasma CVD). As the plasma furnace, the single wafer ICP type high density plasma CVD furnace described in FIG. 1 is used. In this method, a vacuum region of about 0.27 Pa to 1.3 Pa is generally used. The reaction gas is generally monosilane.

このHDP-CVDの装置運用手順を図6により説明する。まず、最初に装置のクリーン度を所定のレベルまで引き上げるためプリクリーニング工程31(被処理ウエハがない状態で)を実行する。次に処理室52の内面やその他部分に酸化膜を堆積するプリコート工程32(被処理ウエハがない状態で)を実行する。続いて、ウエハ1(第1のウエハ)を処理室52のウエハ・ステージ54にセットした状態で成膜処理33を実行する。成膜が完了するとウエハ1を処理室52の外に排出する。その後、処理室52の中に被処理ウエハがない状態で、リモート・プラズマ・クリーニング工程34を実行する。その後、先と同様にウエハ1(第2のウエハ)を処理室52のウエハ・ステージ54にセットした状態で先と同じ成膜処理33を実行する。その後は、所定のロットに属するウエハ全体の処理が完了するまで、リモート・プラズマ・クリーニング工程34および成膜処理33を繰り返す(成膜・クリーニング循環工程)。所定のロットに属するウエハ全体の処理が完了すると、次のロットを処理する前に、プリクリーニング工程31およびプリコート工程32を実行して、成膜・クリーニング循環工程に入る。なお、条件によっては、プリクリーニング工程31およびプリコート工程32の実行順序を逆にしてもよい(図15参照)。   The operation procedure of the HDP-CVD apparatus will be described with reference to FIG. First, in order to raise the cleanliness of the apparatus to a predetermined level, a pre-cleaning step 31 (with no wafer to be processed) is executed. Next, a pre-coating process 32 (with no wafer to be processed) for depositing an oxide film on the inner surface of the processing chamber 52 and other parts is performed. Subsequently, the film forming process 33 is performed in a state where the wafer 1 (first wafer) is set on the wafer stage 54 of the processing chamber 52. When the film formation is completed, the wafer 1 is discharged out of the processing chamber 52. Thereafter, the remote plasma cleaning process 34 is performed in a state where there is no wafer to be processed in the processing chamber 52. Thereafter, the same film forming process 33 as before is performed in a state where the wafer 1 (second wafer) is set on the wafer stage 54 of the processing chamber 52 as in the previous case. Thereafter, the remote plasma cleaning process 34 and the film forming process 33 are repeated until the processing of the entire wafer belonging to the predetermined lot is completed (film forming / cleaning circulation process). When the processing of the entire wafer belonging to a predetermined lot is completed, the pre-cleaning step 31 and the pre-coating step 32 are executed before the next lot is processed, and the film forming / cleaning circulation step is started. Depending on the conditions, the execution order of the pre-cleaning step 31 and the pre-coating step 32 may be reversed (see FIG. 15).

図6の成膜工程33を図7から10により詳しく説明する。図7は素子分離溝形成工程のデバイス断面図である。窒化シリコン膜2を対ドライエッチングマスクとしてシリコン・ウエハ(基板)1に素子分離溝3が形成される。   6 will be described in detail with reference to FIGS. FIG. 7 is a device cross-sectional view of the element isolation groove forming step. An element isolation trench 3 is formed in a silicon wafer (substrate) 1 using the silicon nitride film 2 as a dry etching mask.

図8は素子分離溝埋め込み工程を示す。先の素子分離溝3がCVDシリコン酸化膜4により、埋め込まれている(CVDプロセス1;HDP-CVD-1)。   FIG. 8 shows an element isolation trench filling step. The previous element isolation trench 3 is filled with a CVD silicon oxide film 4 (CVD process 1; HDP-CVD-1).

図9はCMP工程完了時のデバイス断面図である。ここでは素子分離溝3外のCVDシリコン酸化膜4が除去されている。   FIG. 9 is a device cross-sectional view when the CMP process is completed. Here, the CVD silicon oxide film 4 outside the element isolation trench 3 is removed.

図10は窒化シリコン膜除去工程を示す。ここでは、ウエット・エッチングによって、窒化シリコン膜2が除去される。   FIG. 10 shows the silicon nitride film removing step. Here, the silicon nitride film 2 is removed by wet etching.

5.アルミニウム配線工程に適用したプロセスの説明(主に図11から14)
セクション4で説明したクリーニング終点検出手法およびHDP-CVDの装置運用手順(図6)は、ほぼ同様にアルミニウム配線工程のILD膜(Inter-Layer Dielectric)形成のためのHDP-CVD等に適用できる。
5). Explanation of the process applied to the aluminum wiring process (mainly FIGS. 11 to 14)
The cleaning end point detection method and HDP-CVD apparatus operation procedure described in section 4 (FIG. 6) can be applied to HDP-CVD for forming an ILD film (Inter-Layer Dielectric) in an aluminum wiring process in a similar manner.

図11から図14によりILD膜形成工程を説明する。図11はアルミニウム配線パターニング工程のデバイス断面図である。下層のILD膜19上に形成されたアルミニウム配線は中間のアルミニウム合金層5と上下のTiN等のバリア・メタル層6からなる。一般に、アルミニウム配線パターニングはレジスト膜を対エッチング・マスクとしてドライ・エッチングで行われる。   The ILD film forming process will be described with reference to FIGS. FIG. 11 is a device sectional view of the aluminum wiring patterning step. The aluminum wiring formed on the lower ILD film 19 includes an intermediate aluminum alloy layer 5 and upper and lower barrier metal layers 6 such as TiN. Generally, aluminum wiring patterning is performed by dry etching using a resist film as an etching mask.

図12はHDP-CVD膜14の成膜(CVDプロセス2;HDP-CVD-2)の完了の状態を示す。その上に、図13に示すようにTEOS(Tetraethyl-orthosilicate)を用いたプラズマCVDシリコン酸化膜、すなわちP-TEOS SIO2膜7が形成される(CVDプロセス3;P-TEOS-1)。その後、CMPによる平坦化処理が施される。更に、CMP処理の後に50から100nm程度の薄いP-TEOS SIO2膜等が形成されることもある(CVDプロセス4;P-TEOS-2)。なお、これらのCVDプロセスにも、先と同様なクリーニング終点検出手法および装置運用手順(図6)が適用できる。図14は層間CMPプロセス完了時のデバイス断面図である。 FIG. 12 shows a completed state of the HDP-CVD film 14 (CVD process 2; HDP-CVD-2). Further, as shown in FIG. 13, a plasma CVD silicon oxide film using TEOS (Tetraethyl-orthosilicate), that is, a P-TEOS SIO 2 film 7 is formed (CVD process 3; P-TEOS-1). Thereafter, a planarization process by CMP is performed. Furthermore, a thin P-TEOS SIO 2 film of about 50 to 100 nm may be formed after the CMP process (CVD process 4; P-TEOS-2). Note that the same cleaning end point detection method and apparatus operation procedure (FIG. 6) can also be applied to these CVD processes. FIG. 14 is a device cross-sectional view when the interlayer CMP process is completed.

P-TEOSプロセスは一般に図1に示した炉と類似するが若干形式の異なった枚葉プラズマ炉(高密度型ではない)を用いて行われる。用いられる圧力領域は一般に67Paから2000Paである。   The P-TEOS process is generally performed using a single wafer plasma furnace (not a high density type) that is similar to the furnace shown in FIG. The pressure range used is generally 67 Pa to 2000 Pa.

6.プリ・メタル工程に適用したプロセスの説明(主に図15から19)
図15から図19により、プリ・メタル絶縁膜形成工程のNSG膜(Non-Doped silicate glass film)すなわちノン・ドープ・シリコン酸化膜の形成を大気圧(Atmospheric)すなわち1.0X10Pa前後、または準大気圧(Sub-Atmospheric)下で(約2,700Paから80,000Pa)のオゾンおよびTEOS(Tetraethyl-orthosilicate)を用いた熱CVD(Thermal CVD)により実行する場合について説明する(いわゆるオゾンTEOSシリコン酸化膜)。この場合の真空排気系は一般に単一ポンプ構成でメカニカル・ドライポンプをメインポンプとしている。一般に、大気圧下のものをAP-CVD(Atmospheric CVD)と呼び、準大気圧のものをSA-CVD(Sub-Atmospheric CVD)と呼ぶ。前者には一般にバッチ炉が、後者には図1に説明したものに類似した(プラズマ炉ではないが)枚葉炉が使用される。以下の説明は枚葉炉の場合を具体的に説明する。
6). Explanation of the process applied to the pre-metal process (mainly FIGS. 15 to 19)
15 to 19, an NSG film (Non-Doped silicate glass film), that is, a non-doped silicon oxide film in the pre-metal insulating film forming process is formed at atmospheric pressure, that is, about 1.0 × 10 5 Pa, or An explanation will be given of a case where the process is performed by thermal CVD using ozone and TEOS (Tetraethyl-orthosilicate) under sub-atmospheric (about 2,700 Pa to 80,000 Pa) (so-called ozone TEOS silicon). Oxide film). In this case, the evacuation system generally has a single pump configuration and a mechanical dry pump as a main pump. In general, those under atmospheric pressure are called AP-CVD (Atmospheric CVD), and those under sub-atmospheric pressure are called SA-CVD (Sub-Atmospheric CVD). A batch furnace is generally used for the former, and a single-wafer furnace similar to that described in FIG. 1 (but not a plasma furnace) is used for the latter. The following description specifically explains the case of a single-wafer furnace.

図15に先の図6と同様な装置運用手順の一例を示す。先の図とは、プリコート41とプリクリーニング42の順序が逆であるが、成膜工程43とクリーニング工程44は詳細条件以外は、ほぼ同様である。前処理の順序等はプロセスや装置の特性によって、適宜変更すればよいので、説明の繰り返しは避ける。以下図16から図19により、プロセスの詳細を説明する。   FIG. 15 shows an example of an apparatus operation procedure similar to that of FIG. The order of the precoat 41 and the precleaning 42 is opposite to the previous figure, but the film forming process 43 and the cleaning process 44 are substantially the same except for the detailed conditions. The order of pre-processing and the like may be changed as appropriate depending on the characteristics of the process and the apparatus, and thus the description is not repeated. Details of the process will be described below with reference to FIGS.

図16はゲート電極パターン関係時のデバイス略断面図である。ゲート電極部分9とその周りの基板1の第1の主面(デバイス面)にソース又はドレイン領域8が形成されている。   FIG. 16 is a schematic cross-sectional view of a device related to a gate electrode pattern. A source or drain region 8 is formed on the gate electrode portion 9 and the first main surface (device surface) of the substrate 1 around it.

図17はNSG−CVD膜10を形成した後の断面構造である(CVDプロセス5;O3-TEOS-1)。図18はその上に同様の熱CVDによりBPSG膜11(Borophosphosilicate Glass Film)を形成したときのデバイス断面である(CVDプロセス6;O3-TEOS-2)。この場合、プロセスガスは一般にTMP(Trimethylphosphite),TEPO(Triethylphosphate),TMB(trimethylborate),TEB(Triethylborate)等が使用される。図19は更にその上に先と同様のP-TEOS SIO2膜12を形成(CVDプロセス7;P-TEOS-3)した後、プリ・メタル絶縁膜13に対するCMP完了時のデバイス断面を示す。 Figure 17 is a cross-sectional structure after forming the NSG-CVD film 10 (CVD process 5; O 3 -TEOS-1) . FIG. 18 is a device cross section when a BPSG film 11 (Borophosphosilicate Glass Film) is formed thereon by similar thermal CVD (CVD process 6; O 3 -TEOS-2). In this case, TMP (Trimethylphosphite), TEPO (Triethylphosphate), TMB (trimethylborate), TEB (Triethylborate) or the like is generally used as the process gas. FIG. 19 shows a device cross section when the CMP for the pre-metal insulating film 13 is completed after the same P-TEOS SIO 2 film 12 (CVD process 7; P-TEOS-3) is formed thereon.

7.対象デバイスの例示的な断面構造の説明(主に図20)
図20はセクション4から6に説明したプロセスおよび手法を適用して製造された4層アルミニウム配線を有するMOSまたはMIS型の半導体集積回路装置の一例を示す断面図である。アルミニウム配線間はTiN等からなるバリア・メタル層16で囲まれたタングステン・プラグ15によって接続されている。最上層の膜17はプラズマ・シリコン・ナイトライド等からなるファイナル・パッシベーション膜(CVDプロセス8;P-SiN-1)である。
7). Description of exemplary cross-sectional structure of target device (mainly FIG. 20)
FIG. 20 is a cross-sectional view showing an example of a MOS or MIS type semiconductor integrated circuit device having a four-layer aluminum wiring manufactured by applying the processes and techniques described in sections 4 to 6. The aluminum wirings are connected by a tungsten plug 15 surrounded by a barrier metal layer 16 made of TiN or the like. The uppermost film 17 is a final passivation film (CVD process 8; P-SiN-1) made of plasma, silicon, nitride or the like.

8.各CVDプロセスに対する終点検出等の適用
セクション1に説明したクリーニング終点検出手順は、CVDプロセス1から8に適用できる。その際の装置の前処理は、CVDプロセス1から2については、セクション4の図6に説明したものに相当するものとなり、CVDプロセス3から8については、セクション6の図15に説明したものに相当するものとなる。なお、この装置前処理は、ロット(たとえば25枚とか12枚等)ごとに行っているが、量産上のロット単位にかかわらず、適切な枚数ごと(変動数、不定数を含む)に実施するようにしてもよい。
8). Application of end point detection for each CVD process The cleaning end point detection procedure described in section 1 can be applied to CVD processes 1-8. In this case, the pretreatment of the apparatus is equivalent to that described in FIG. 6 in section 4 for the CVD processes 1 and 2, and the process described in FIG. 15 in section 6 for the CVD processes 3 to 8. It will be equivalent. This device pre-processing is performed for each lot (for example, 25 sheets or 12 sheets, etc.), but is performed for each appropriate number of sheets (including the number of fluctuations and an infinite number) regardless of the lot unit for mass production. You may do it.

また、プロセスの安定度が確保できる場合には、通常の反応室クリーニングを毎ウエハ処理ごとに行うことは必ずしも必須ではない。プロセスの安定度に基づいて、枚葉処理については、1枚ごと、2枚ごと、3枚ごと、4枚から12枚の適切な枚数ごと、またはロットごとに行うようにしてもよい。   Further, when the stability of the process can be ensured, it is not always essential to perform normal reaction chamber cleaning for each wafer process. Based on the stability of the process, the single wafer processing may be performed for each sheet, for every two sheets, for every three sheets, for every four to twelve sheets, or for every lot.

9.サマリ
以上本発明者によってなされた発明を実施形態に基づいて具体的に説明したが、本発明はそれに限定されるものではなく、その要旨を逸脱しない範囲において種々変更可能であることは言うまでもない。
9. Summary The invention made by the present inventor has been specifically described based on the embodiments. However, the present invention is not limited thereto, and it goes without saying that various changes can be made without departing from the scope of the invention.

例えば、前記実施の形態ではシリコン酸化膜のCVDプロセスを主体に説明したが、本発明はそれに限定されるものではなく、SiNその他の絶縁膜、タングステンその他のメタル膜、チタン・ナイトライドその他のメタル窒化物膜、酸化ルテニウムその他のメタル酸化膜等のCVDプロセスへも適用できることは言うまでもない。   For example, in the above-described embodiment, the description is mainly made on the CVD process of the silicon oxide film. However, the present invention is not limited to this, and SiN and other insulating films, tungsten and other metal films, titanium nitride, and other metals. Needless to say, the present invention can also be applied to a CVD process such as a nitride film, ruthenium oxide or other metal oxide film.

また、プラズマ炉の形式については、ICP型(Inductively Coupled Plasma furnace)の枚葉炉(Single Wafer Processing Furnace)を例にとり詳しく説明したが、本発明はそれに限定されるものではなく、サイクロトロン型や平行平板型のものにも適用できることは言うまでもない。また、非プラズマで成膜を行う熱CVD炉やバッチ方式のCVD炉にも適用できることは言うまでもない。   The plasma furnace type has been described in detail by taking an ICP type (Inductively Coupled Plasma furnace) single wafer processing furnace as an example. Needless to say, the present invention can be applied to a flat plate type. Needless to say, the present invention can also be applied to a thermal CVD furnace and a batch-type CVD furnace that perform film formation by non-plasma.

本実施の形態の半導体集積回路装置の製造方法において使用するプラズマCVD装置の正面模式断面図である。It is a front schematic cross section of the plasma CVD apparatus used in the manufacturing method of the semiconductor integrated circuit device of this Embodiment. 本実施の形態の半導体集積回路装置の製造方法において使用するプラズマCVD装置からの発光の分布の一例を示す測定図である。It is a measurement figure which shows an example of distribution of the light emission from the plasma CVD apparatus used in the manufacturing method of the semiconductor integrated circuit device of this Embodiment. 本実施の形態の半導体集積回路装置の製造方法において使用するプラズマCVD装置からの発光によるクリーニング終点検出の原理を示す測定図である。It is a measurement figure which shows the principle of the cleaning end point detection by light emission from the plasma CVD apparatus used in the manufacturing method of the semiconductor integrated circuit device of this Embodiment. 本実施の形態の半導体集積回路装置の製造方法において使用する他のプラズマCVD装置の正面模式断面図である。It is a front schematic cross section of the other plasma CVD apparatus used in the manufacturing method of the semiconductor integrated circuit device of this Embodiment. 本実施の形態の半導体集積回路装置の製造方法において使用する更にその他のプラズマCVD装置の正面模式断面図である。FIG. 6 is a schematic front sectional view of still another plasma CVD apparatus used in the method for manufacturing a semiconductor integrated circuit device of the present embodiment. 本発明の一実施の形態である半導体集積回路装置の製造方法に用いるプラズマCVDプロセスの一例を示す装置処理フロー図である。It is an apparatus processing flowchart which shows an example of the plasma CVD process used for the manufacturing method of the semiconductor integrated circuit device which is one embodiment of this invention. 本発明の一実施の形態である半導体集積回路装置の製造方法に用いるプラズマCVDプロセスの一例である素子分離工程の内の素子分離溝形成工程を表すデバイス断面図である。It is device sectional drawing showing the element isolation groove | channel formation process in the element isolation process which is an example of the plasma CVD process used for the manufacturing method of the semiconductor integrated circuit device which is one embodiment of this invention. 本発明の一実施の形態である半導体集積回路装置の製造方法に用いるプラズマCVDプロセスの一例である素子分離工程の内の素子分離溝埋め込み工程を表すデバイス断面図である。It is device sectional drawing showing the element isolation groove embedding process in the element isolation process which is an example of the plasma CVD process used for the manufacturing method of the semiconductor integrated circuit device which is one embodiment of this invention. 本発明の一実施の形態である半導体集積回路装置の製造方法に用いるプラズマCVDプロセスの一例である素子分離工程の内の素子分離CMP工程を表すデバイス断面図である。It is device sectional drawing showing the element isolation | separation CMP process in the element isolation process which is an example of the plasma CVD process used for the manufacturing method of the semiconductor integrated circuit device which is one embodiment of this invention. 本発明の一実施の形態である半導体集積回路装置の製造方法に用いるプラズマCVDプロセスの一例である素子分離工程の内の窒化シリコン素子分離パターニング膜除去工程を表すデバイス断面図である。It is device sectional drawing showing the silicon nitride element isolation | separation patterning film removal process in the element isolation process which is an example of the plasma CVD process used for the manufacturing method of the semiconductor integrated circuit device which is one embodiment of this invention. 本発明の一実施の形態である半導体集積回路装置の製造方法に用いるプラズマCVDプロセスの一例であるアルミニウム配線工程の内の配線パターン形成工程を表すデバイス断面図である。It is device sectional drawing showing the wiring pattern formation process in the aluminum wiring process which is an example of the plasma CVD process used for the manufacturing method of the semiconductor integrated circuit device which is one embodiment of this invention. 本発明の一実施の形態である半導体集積回路装置の製造方法に用いるプラズマCVDプロセスの一例であるアルミニウム配線工程の内の配線パターン埋め込み工程1を表すデバイス断面図である。It is device sectional drawing showing the wiring pattern embedding process 1 in the aluminum wiring process which is an example of the plasma CVD process used for the manufacturing method of the semiconductor integrated circuit device which is one embodiment of this invention. 本発明の一実施の形態である半導体集積回路装置の製造方法に用いるプラズマCVDプロセスの一例であるアルミニウム配線工程の内の配線パターン埋め込み工程2を表すデバイス断面図である。It is device sectional drawing showing the wiring pattern embedding process 2 in the aluminum wiring process which is an example of the plasma CVD process used for the manufacturing method of the semiconductor integrated circuit device which is one embodiment of this invention. 本発明の一実施の形態である半導体集積回路装置の製造方法に用いるプラズマCVDプロセスの一例であるアルミニウム配線工程の内の配線層間絶縁膜CMP工程を表すデバイス断面図である。It is device sectional drawing showing the wiring interlayer insulation film CMP process in the aluminum wiring process which is an example of the plasma CVD process used for the manufacturing method of the semiconductor integrated circuit device which is one embodiment of this invention. 本発明の一実施の形態である半導体集積回路装置の製造方法に用いる熱CVDプロセスの一例を示す装置処理フロー図である。It is an apparatus processing flowchart which shows an example of the thermal CVD process used for the manufacturing method of the semiconductor integrated circuit device which is one embodiment of this invention. 本発明の一実施の形態である半導体集積回路装置の製造方法に用いる熱CVDプロセスの一例であるゲート形成・プリメタル工程の内のゲート形成工程を表すデバイス断面図である。It is device sectional drawing showing the gate formation process in the gate formation and premetal process which is an example of the thermal CVD process used for the manufacturing method of the semiconductor integrated circuit device which is one embodiment of this invention. 本発明の一実施の形態である半導体集積回路装置の製造方法に用いる熱CVDプロセスの一例であるゲート形成・プリメタル工程の内のゲート上NSG膜形成工程を表すデバイス断面図である。It is device sectional drawing showing the NSG film formation process on a gate in the gate formation and premetal process which is an example of the thermal CVD process used for the manufacturing method of the semiconductor integrated circuit device which is one embodiment of this invention. 本発明の一実施の形態である半導体集積回路装置の製造方法に用いる熱CVDプロセスの一例であるゲート形成・プリメタル工程の内のゲート上BPSG膜形成工程を表すデバイス断面図である。It is device sectional drawing showing the BPSG film formation process on a gate in the gate formation and premetal process which is an example of the thermal CVD process used for the manufacturing method of the semiconductor integrated circuit device which is one embodiment of this invention. 本発明の一実施の形態である半導体集積回路装置の製造方法に用いる熱CVDプロセスの一例であるゲート形成・プリメタル工程の内のCMP工程を表すデバイス断面図である。It is device sectional drawing showing the CMP process of the gate formation and premetal process which is an example of the thermal CVD process used for the manufacturing method of the semiconductor integrated circuit device which is one embodiment of this invention. 本発明の一実施の形態である半導体集積回路装置の製造方法によって製造されたデバイスの一例を示すデバイス断面図である。It is device sectional drawing which shows an example of the device manufactured by the manufacturing method of the semiconductor integrated circuit device which is one embodiment of this invention.

符号の説明Explanation of symbols

1 ウエハ
51 リモート・プラズマ発生室
52 ウエハ処理室
53 第1のプラズマ励起システム
55 プラズマCVD装置
57 光検知器
65 第2のプラズマ励起システム
DESCRIPTION OF SYMBOLS 1 Wafer 51 Remote plasma generation chamber 52 Wafer processing chamber 53 1st plasma excitation system 55 Plasma CVD apparatus 57 Photo detector 65 2nd plasma excitation system

Claims (20)

以下の工程を含む半導体集積回路装置の製造方法:
(a)第1のプラズマ励起システムを有するプラズマCVD装置のウエハ処理室内に、第1のウエハを導入する工程;
(b)前記ウエハ処理室内に導入された前記第1のウエハに対して、前記第1のプラズマ励起システムによって、プラズマ励起することによってプラズマCVD処理を実行する工程;
(c)前記工程(b)の後、前記第1のウエハを前記ウエハ処理室内から外部に排出する工程;
(d)前記工程(c)の後、前記ウエハ処理室に対して、リモート・プラズマ・クリーニングを実行する工程;
(e)前記リモート・プラズマ・クリーニングが実行された前記ウエハ処理室内に、第2のウエハを導入する工程;
(f)前記ウエハ処理室内に導入された前記第2のウエハに対して、前記第1のプラズマ励起システムによって、プラズマ励起することによって前記プラズマCVD処理を実行する工程、
ここで、前記工程(d)は以下の下位工程を含む:
(d1)前記ウエハ処理室の外に設けられたリモート・プラズマ発生室において、第2のプラズマ励起システムによりクリーニングガスをプラズマ励起して、励起された前記クリーニングガスを前記ウエハ処理室内に移送する工程;
(d2)前記ウエハ処理室内がプラズマ励起されていない状態下で、前記ウエハ処理室内の発光を光検知器によりモニタすることによって、前記リモート・プラズマ・クリーニングの終点を検出する工程;
(d3)前記下位工程(d2)の結果に基づいて、前記リモート・プラズマ・クリーニングを終了させる工程。
A method of manufacturing a semiconductor integrated circuit device including the following steps:
(A) introducing a first wafer into a wafer processing chamber of a plasma CVD apparatus having a first plasma excitation system;
(B) performing a plasma CVD process on the first wafer introduced into the wafer processing chamber by performing plasma excitation with the first plasma excitation system;
(C) after the step (b), a step of discharging the first wafer from the wafer processing chamber to the outside;
(D) after the step (c), performing a remote plasma cleaning on the wafer processing chamber;
(E) introducing a second wafer into the wafer processing chamber where the remote plasma cleaning has been performed;
(F) performing the plasma CVD process by performing plasma excitation on the second wafer introduced into the wafer processing chamber by the first plasma excitation system;
Here, the step (d) includes the following substeps:
(D1) a step of plasma-exciting a cleaning gas by a second plasma excitation system in a remote plasma generation chamber provided outside the wafer processing chamber, and transferring the excited cleaning gas into the wafer processing chamber ;
(D2) detecting an end point of the remote plasma cleaning by monitoring light emission in the wafer processing chamber with a light detector in a state where the plasma is not excited in the wafer processing chamber;
(D3) A step of terminating the remote plasma cleaning based on the result of the substep (d2).
前記1項の半導体集積回路装置の製造方法において、前記光検知器は前記リモート・プラズマ発生室からの発光を実質的に検知しない方向に向けられている。   In the method of manufacturing a semiconductor integrated circuit device according to the item 1, the light detector is directed in a direction not substantially detecting light emitted from the remote plasma generation chamber. 前記2項の半導体集積回路装置の製造方法において、前記光検知器は水平よりも下方を向いている。   In the method of manufacturing a semiconductor integrated circuit device according to the item 2, the photodetector is directed downward from the horizontal. 前記2項の半導体集積回路装置の製造方法において、前記光検知器は水平よりも10度以上、下方を向いている。   In the method for manufacturing a semiconductor integrated circuit device according to the item 2, the photodetector is directed downward by 10 degrees or more from the horizontal. 前記2項の半導体集積回路装置の製造方法において、前記光検知器は水平よりも15度以上、下方を向いている。   In the method of manufacturing a semiconductor integrated circuit device according to the item 2, the light detector faces downward by 15 degrees or more from the horizontal. 前記2項の半導体集積回路装置の製造方法において、前記光検知器は水平よりも20度以上、下方を向いている。   In the method of manufacturing a semiconductor integrated circuit device according to the item 2, the photodetector is directed downward by 20 degrees or more from the horizontal. 前記1項の半導体集積回路装置の製造方法において、前記ウエハ処理室と前記リモート・プラズマ発生室を連結する連結配管は屈曲している。   In the method of manufacturing a semiconductor integrated circuit device according to the item 1, the connecting pipe connecting the wafer processing chamber and the remote plasma generating chamber is bent. 前記7項の半導体集積回路装置の製造方法において、前記連結配管の内面には反射防止膜が設けられている。   In the method for manufacturing a semiconductor integrated circuit device according to the item 7, an antireflection film is provided on an inner surface of the connection pipe. 前記1項の半導体集積回路装置の製造方法において、前記ウエハ処理室内には、前記リモート・プラズマ発生室からの発光が実質的に前記光検知器に入らないように、光遮蔽板が設けられている。   In the method for manufacturing a semiconductor integrated circuit device according to the item 1, a light shielding plate is provided in the wafer processing chamber so that light emitted from the remote plasma generation chamber does not substantially enter the photodetector. Yes. 前記1項の半導体集積回路装置の製造方法において、前記光検知器による発光のモニタは、前記前記ウエハ処理室のクリーニングが完了した状態の発光を基準として、行われる。   In the method of manufacturing a semiconductor integrated circuit device according to the item 1, the light emission monitoring by the photodetector is performed with reference to light emission in a state where the cleaning of the wafer processing chamber is completed. 以下の工程を含む半導体集積回路装置の製造方法:
(a)熱CVD装置のウエハ処理室内に、第1のウエハを導入する工程;
(b)前記ウエハ処理室内に導入された前記第1のウエハに対して、熱CVD処理を実行する工程;
(c)前記工程(b)の後、前記第1のウエハを前記ウエハ処理室内から外部に排出する工程;
(d)前記工程(c)の後、前記ウエハ処理室に対して、リモート・プラズマ・クリーニングを実行する工程;
(e)前記リモート・プラズマ・クリーニングが実行された前記ウエハ処理室内に、第2のウエハを導入する工程;
(f)前記ウエハ処理室内に導入された前記第2のウエハに対して、前記熱CVD処理を実行する工程、
ここで、前記工程(d)は以下の下位工程を含む:
(d1)前記ウエハ処理室の外に設けられたリモート・プラズマ発生室において、第2のプラズマ励起システムによりクリーニングガスをプラズマ励起して、励起された前記クリーニングガスを前記ウエハ処理室内に移送する工程;
(d2)前記ウエハ処理室内がプラズマ励起されていない状態下で、前記ウエハ処理室内の発光を光検知器によりモニタすることによって、前記リモート・プラズマ・クリーニングの終点を検出する工程;
(d3)前記下位工程(d2)の結果に基づいて、前記リモート・プラズマ・クリーニングを終了させる工程。
A method of manufacturing a semiconductor integrated circuit device including the following steps:
(A) introducing the first wafer into the wafer processing chamber of the thermal CVD apparatus;
(B) performing a thermal CVD process on the first wafer introduced into the wafer processing chamber;
(C) after the step (b), a step of discharging the first wafer from the wafer processing chamber to the outside;
(D) after the step (c), performing a remote plasma cleaning on the wafer processing chamber;
(E) introducing a second wafer into the wafer processing chamber where the remote plasma cleaning has been performed;
(F) performing the thermal CVD process on the second wafer introduced into the wafer processing chamber;
Here, the step (d) includes the following substeps:
(D1) a step of plasma-exciting a cleaning gas by a second plasma excitation system in a remote plasma generation chamber provided outside the wafer processing chamber, and transferring the excited cleaning gas into the wafer processing chamber ;
(D2) detecting an end point of the remote plasma cleaning by monitoring light emission in the wafer processing chamber with a light detector in a state where the plasma is not excited in the wafer processing chamber;
(D3) A step of terminating the remote plasma cleaning based on the result of the substep (d2).
前記11項の半導体集積回路装置の製造方法において、前記光検知器は前記リモート・プラズマ発生室からの発光を実質的に検知しない方向に向けられている。   12. In the method for manufacturing a semiconductor integrated circuit device according to the item 11, the light detector is directed in a direction that does not substantially detect light emission from the remote plasma generation chamber. 前記12項の半導体集積回路装置の製造方法において、前記光検知器は水平よりも下方を向いている。   In the method of manufacturing a semiconductor integrated circuit device according to the item 12, the light detector faces downward from the horizontal. 前記12項の半導体集積回路装置の製造方法において、前記光検知器は水平よりも10度以上、下方を向いている。   In the method of manufacturing a semiconductor integrated circuit device according to the item 12, the light detector faces downward by 10 degrees or more from the horizontal. 前記12項の半導体集積回路装置の製造方法において、前記光検知器は水平よりも15度以上、下方を向いている。   In the method of manufacturing a semiconductor integrated circuit device according to the item 12, the light detector faces downward by 15 degrees or more from the horizontal. 前記12項の半導体集積回路装置の製造方法において、前記光検知器は水平よりも20度以上、下方を向いている。   In the method of manufacturing a semiconductor integrated circuit device according to the item 12, the photodetector is directed downward by 20 degrees or more from the horizontal. 前記11項の半導体集積回路装置の製造方法において、前記ウエハ処理室と前記リモート・プラズマ発生室を連結する連結配管は屈曲している。   12. In the method for manufacturing a semiconductor integrated circuit device according to the item 11, the connection pipe connecting the wafer processing chamber and the remote plasma generation chamber is bent. 前記17項の半導体集積回路装置の製造方法において、前記連結配管の内面には反射防止膜が設けられている。   In the method for manufacturing a semiconductor integrated circuit device according to the item 17, an antireflection film is provided on an inner surface of the connection pipe. 前記11項の半導体集積回路装置の製造方法において、前記ウエハ処理室内には、前記リモート・プラズマ発生室からの発光が実質的に前記光検知器に入らないように、光遮蔽板が設けられている。   12. In the method of manufacturing a semiconductor integrated circuit device according to the item 11, a light shielding plate is provided in the wafer processing chamber so that light emitted from the remote plasma generation chamber does not substantially enter the photodetector. Yes. 前記11項の半導体集積回路装置の製造方法において、前記光検知器による発光のモニタは、前記前記ウエハ処理室のクリーニングが完了した状態の発光を基準として、行われる。   In the method of manufacturing a semiconductor integrated circuit device according to the item 11, the light emission monitoring by the photodetector is performed with reference to light emission in a state where the cleaning of the wafer processing chamber is completed.
JP2007198233A 2007-07-31 2007-07-31 Manufacturing method of semiconductor integrated circuit device Expired - Fee Related JP5236225B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2007198233A JP5236225B2 (en) 2007-07-31 2007-07-31 Manufacturing method of semiconductor integrated circuit device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2007198233A JP5236225B2 (en) 2007-07-31 2007-07-31 Manufacturing method of semiconductor integrated circuit device

Publications (2)

Publication Number Publication Date
JP2009033073A true JP2009033073A (en) 2009-02-12
JP5236225B2 JP5236225B2 (en) 2013-07-17

Family

ID=40403222

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007198233A Expired - Fee Related JP5236225B2 (en) 2007-07-31 2007-07-31 Manufacturing method of semiconductor integrated circuit device

Country Status (1)

Country Link
JP (1) JP5236225B2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017073535A (en) * 2015-10-06 2017-04-13 東京エレクトロン株式会社 Method for processing workpiece

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10223605A (en) * 1997-02-05 1998-08-21 Kawasaki Steel Corp Etching method
JP2000323467A (en) * 1999-05-11 2000-11-24 Nippon Asm Kk Semiconductor processing device equipped with remote plasma discharge chamber
JP2003086576A (en) * 2001-09-11 2003-03-20 Hitachi Kokusai Electric Inc Substrate-processing device
JP2003264186A (en) * 2002-03-11 2003-09-19 Asm Japan Kk Cleaning method of treatment chamber in cvd device
JP2005064037A (en) * 2003-08-12 2005-03-10 Shibaura Mechatronics Corp Plasma treatment apparatus and ashing method
JP2005516388A (en) * 2002-01-24 2005-06-02 アプライド マテリアルズ インコーポレイテッド Detection of processing end point in processing chamber
JP2006066552A (en) * 2004-08-25 2006-03-09 Renesas Technology Corp Frequency-measuring device, plasma processing device and plasma processing method
JP2006287228A (en) * 2005-03-31 2006-10-19 Asm Japan Kk Semiconductor processor capable of self-cleaning

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10223605A (en) * 1997-02-05 1998-08-21 Kawasaki Steel Corp Etching method
JP2000323467A (en) * 1999-05-11 2000-11-24 Nippon Asm Kk Semiconductor processing device equipped with remote plasma discharge chamber
JP2003086576A (en) * 2001-09-11 2003-03-20 Hitachi Kokusai Electric Inc Substrate-processing device
JP2005516388A (en) * 2002-01-24 2005-06-02 アプライド マテリアルズ インコーポレイテッド Detection of processing end point in processing chamber
JP2003264186A (en) * 2002-03-11 2003-09-19 Asm Japan Kk Cleaning method of treatment chamber in cvd device
JP2005064037A (en) * 2003-08-12 2005-03-10 Shibaura Mechatronics Corp Plasma treatment apparatus and ashing method
JP2006066552A (en) * 2004-08-25 2006-03-09 Renesas Technology Corp Frequency-measuring device, plasma processing device and plasma processing method
JP2006287228A (en) * 2005-03-31 2006-10-19 Asm Japan Kk Semiconductor processor capable of self-cleaning

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017073535A (en) * 2015-10-06 2017-04-13 東京エレクトロン株式会社 Method for processing workpiece
TWI709996B (en) * 2015-10-06 2020-11-11 日商東京威力科創股份有限公司 Processing method of processed body

Also Published As

Publication number Publication date
JP5236225B2 (en) 2013-07-17

Similar Documents

Publication Publication Date Title
JP5281766B2 (en) Manufacturing method of semiconductor integrated circuit device
US7595005B2 (en) Method and apparatus for ashing a substrate using carbon dioxide
US7279427B2 (en) Damage-free ashing process and system for post low-k etch
US7201174B2 (en) Processing apparatus and cleaning method
US7585686B2 (en) Method and apparatus for processing a wafer
US7815812B2 (en) Method for controlling a process for fabricating integrated devices
JP2005033173A (en) Method for manufacturing semiconductor integrated circuit device
US20080286697A1 (en) Method and apparatus for processing a wafer
JP2005236293A (en) Apparatus and method for cleaning cvd chamber
JP2006287228A (en) Semiconductor processor capable of self-cleaning
TWI283450B (en) Process endpoint detection in processing chambers
EP1174914A2 (en) Semiconductor device and semiconductor device manufacturing method
JP5236225B2 (en) Manufacturing method of semiconductor integrated circuit device
KR20080018810A (en) Detecting the endpoint of a cleaning process
KR20020001532A (en) Film forming method, semiconductor device and manufacturing method of the same
JP5525087B2 (en) Manufacturing method of semiconductor integrated circuit device
JP3601988B2 (en) Method of forming insulating film
JP2006086325A (en) End point detecting method of cleaning
US10636686B2 (en) Method monitoring chamber drift
TW202338322A (en) Methods and apparatus for processing a substrate
RU2523064C1 (en) Forming of multilevel copper interconnections of micro ic with application of tungsten rigid mask
TW202314807A (en) Fully self aligned via integration processes
JP2002164346A (en) Film-forming method, semiconductor device and its manufacturing method
TW202301438A (en) Spacer patterning process with flat top profile
KR100781048B1 (en) Method for manufacturing pre metal dielectric layer

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100517

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20100527

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110117

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120802

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120918

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130314

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130327

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20160405

Year of fee payment: 3

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees