JP2008283148A - Cleaning method for thin film forming apparatus, thin film forming method, and thin film forming apparatus - Google Patents

Cleaning method for thin film forming apparatus, thin film forming method, and thin film forming apparatus Download PDF

Info

Publication number
JP2008283148A
JP2008283148A JP2007128534A JP2007128534A JP2008283148A JP 2008283148 A JP2008283148 A JP 2008283148A JP 2007128534 A JP2007128534 A JP 2007128534A JP 2007128534 A JP2007128534 A JP 2007128534A JP 2008283148 A JP2008283148 A JP 2008283148A
Authority
JP
Japan
Prior art keywords
thin film
film forming
gas
forming apparatus
cleaning
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2007128534A
Other languages
Japanese (ja)
Inventor
Mitsuhiro Okada
充弘 岡田
Yamato Tonegawa
大和 戸根川
Satoshi Takagi
聡 高木
Masahiko Tomita
正彦 冨田
Toshiharu Nishimura
俊治 西村
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2007128534A priority Critical patent/JP2008283148A/en
Priority to TW097116367A priority patent/TWI424105B/en
Priority to US12/149,537 priority patent/US8080109B2/en
Priority to CN2008100964529A priority patent/CN101311336B/en
Priority to KR1020080043927A priority patent/KR101139078B1/en
Publication of JP2008283148A publication Critical patent/JP2008283148A/en
Pending legal-status Critical Current

Links

Images

Abstract

<P>PROBLEM TO BE SOLVED: To provide a thin film forming apparatus, a method for cleaning the thin film forming apparatus, and a thin film forming method, capable of removing fluorine having entered into materials in the inside of an apparatus. <P>SOLUTION: A film forming gas is supplied to the inside of a reaction tube 2 of a heat treatment device 1 to form a silicon nitride film on a semiconductor wafer, and a cleaning gas is supplied to the inside of the reaction tube 2 to remove a silicon nitride attached to the inside of the heat treatment device 1. Then, the temperature inside of the reaction tube 2 is increased to a predetermined temperature, and an exhausting gas is supplied into the inside of the reaction tube 2 having the increased temperature. In this way, the exhausting gas is activated to generate radicals. The generated radicals can remove the fluorine contained in a quartz of the reaction tube 2 from the quartz of the reaction tube 2. <P>COPYRIGHT: (C)2009,JPO&INPIT

Description

本発明は、薄膜形成装置の洗浄方法、薄膜形成方法及び薄膜形成装置に関する。   The present invention relates to a cleaning method for a thin film forming apparatus, a thin film forming method, and a thin film forming apparatus.

半導体装置の製造工程では、CVD(Chemical Vapor Deposition)等の処理により、被処理体、例えば、半導体ウエハに薄膜を形成することが広く行われている。このような薄膜形成処理では、例えば、以下のようにして半導体ウエハに薄膜が形成される。   In a manufacturing process of a semiconductor device, it is widely performed to form a thin film on an object to be processed, for example, a semiconductor wafer, by a process such as CVD (Chemical Vapor Deposition). In such a thin film forming process, for example, a thin film is formed on a semiconductor wafer as follows.

まず、熱処理装置の反応管内をヒータにより所定のロード温度に加熱し、複数枚の半導体ウエハを収容したウエハボートをロードする。次に、反応管内をヒータにより所定の処理温度に加熱するとともに、排気管から反応管内のガスを排気し、反応管内を所定の圧力に減圧する。反応管内が所定の温度及び圧力に維持されると、処理ガス導入管から反応管内に成膜用ガスを供給する。反応管内に成膜用ガスが供給されると、例えば、成膜用ガスが熱反応を起こし、熱反応により生成された反応生成物が半導体ウエハの表面に堆積して、半導体ウエハの表面に薄膜が形成される。   First, the inside of the reaction tube of the heat treatment apparatus is heated to a predetermined load temperature by a heater, and a wafer boat containing a plurality of semiconductor wafers is loaded. Next, the inside of the reaction tube is heated to a predetermined processing temperature by a heater, and the gas in the reaction tube is exhausted from the exhaust pipe to reduce the pressure in the reaction tube to a predetermined pressure. When the inside of the reaction tube is maintained at a predetermined temperature and pressure, a film forming gas is supplied from the processing gas introduction tube into the reaction tube. When the film-forming gas is supplied into the reaction tube, for example, the film-forming gas causes a thermal reaction, the reaction product generated by the thermal reaction is deposited on the surface of the semiconductor wafer, and a thin film is formed on the surface of the semiconductor wafer. Is formed.

ところで、薄膜形成処理によって生成される反応生成物は、半導体ウエハの表面だけでなく、例えば、反応管の内壁や各種の治具等の熱処理装置の内部にも堆積(付着)してしまう。このような付着物が熱処理装置内に付着した状態で薄膜形成処理を引き続き行うと、反応管を構成する石英と付着物との熱膨張率の違いにより応力が発生し、この応力によって石英や付着物が割れてしまう。このように、石英や付着物が割れたものがパーティクルとなり、生産性を低下させる原因となる。   By the way, the reaction product generated by the thin film forming process is deposited (attached) not only on the surface of the semiconductor wafer but also inside the heat treatment apparatus such as the inner wall of the reaction tube and various jigs. If the thin film formation process is continued with such deposits attached in the heat treatment apparatus, stress is generated due to the difference in thermal expansion coefficient between the quartz constituting the reaction tube and the deposits. The kimono will break. As described above, the quartz and the cracked deposits become particles, which causes a decrease in productivity.

このため、ヒータにより所定の温度に加熱した反応管内にクリーニングガスとしてフッ素を含むガス、例えば、フッ化水素と、フッ素との混合ガスを供給して、反応管の内壁等の熱処理装置内に付着した反応生成物を除去(ドライエッチング)する熱処理装置の洗浄方法が提案されている(例えば、特許文献1)。
特開平3−293726号公報
For this reason, a gas containing fluorine as a cleaning gas, for example, a mixed gas of hydrogen fluoride and fluorine, is supplied into a reaction tube heated to a predetermined temperature by a heater and adhered to a heat treatment apparatus such as an inner wall of the reaction tube. A cleaning method for a heat treatment apparatus that removes (removes dry) the reaction product has been proposed (for example, Patent Document 1).
JP-A-3-293726

ところで、このような熱処理装置の洗浄方法では、クリーニングガス中に含まれるフッ素が、装置内部、例えば、反応管の材料である石英中に入り込み、洗浄後の薄膜形成処理中に石英から放出されるおそれがある。このように放出されたフッ素が薄膜に混入すると、製造される半導体装置の歩留りが低下してしまう。   By the way, in such a cleaning method of the heat treatment apparatus, fluorine contained in the cleaning gas enters the apparatus, for example, quartz which is a material of the reaction tube, and is released from the quartz during the thin film forming process after the cleaning. There is a fear. When the released fluorine is mixed into the thin film, the yield of the manufactured semiconductor device is lowered.

本発明は、上記問題に鑑みてなされたものであり、装置内部の材料に入り込んだフッ素を除去することができる薄膜形成装置、薄膜形成装置の洗浄方法及び薄膜形成方法を提供することを目的とする。
また、本発明は、薄膜中へのフッ素の混入を抑制することができる薄膜形成装置、薄膜形成装置の洗浄方法及び薄膜形成方法を提供することを目的とする。
The present invention has been made in view of the above problems, and has an object to provide a thin film forming apparatus, a thin film forming apparatus cleaning method, and a thin film forming method capable of removing fluorine that has entered the material inside the apparatus. To do.
Another object of the present invention is to provide a thin film forming apparatus, a cleaning method for the thin film forming apparatus, and a thin film forming method capable of suppressing the mixing of fluorine into the thin film.

上記目的を達成するため、本発明の第1の観点にかかる薄膜形成装置の洗浄方法は、
被処理体を収容する反応室内に処理ガスを供給して被処理体に薄膜を形成する薄膜形成装置の洗浄方法であって、
前記反応室内に活性化可能な排気用ガスを供給して、当該反応室内をパージするパージ工程を備え、
前記パージ工程では、前記排気用ガスを活性化させて、そのラジカルを生成し、生成したラジカルにより、装置内部の材料中に含まれるフッ素を前記材料中から除去する、ことを特徴とする。
In order to achieve the above object, a method for cleaning a thin film forming apparatus according to the first aspect of the present invention comprises:
A cleaning method of a thin film forming apparatus for forming a thin film on a target object by supplying a processing gas into a reaction chamber containing the target object,
A purge step of purging the reaction chamber by supplying an exhaust gas that can be activated into the reaction chamber;
In the purge step, the exhaust gas is activated to generate radicals, and fluorine contained in the material inside the apparatus is removed from the material by the generated radicals.

前記被処理体への薄膜形成により装置内部に付着した付着物を除去可能なクリーニングガスを前記反応室内に供給して、前記付着物を除去する付着物除去工程をさらに備えることが好ましい。この場合、前記付着物除去工程により前記付着物を除去した後、前記パージ工程を行う。   It is preferable to further include a deposit removing step of supplying a cleaning gas capable of removing deposits attached to the inside of the apparatus by forming a thin film on the object to be processed into the reaction chamber to remove the deposits. In this case, the purge step is performed after the deposit is removed by the deposit removal step.

前記クリーニングガスにフッ素を含むガスを用いることが好ましい。
前記排気用ガスに、酸素と水素とを含むガスを用いることが好ましい。
前記パージ工程では、例えば、前記排気用ガスを所定の温度に昇温した反応室内に供給して活性化される。
前記パージ工程では、前記反応室内を600℃〜1050℃に昇温することが好ましい。
前記装置内部の材料が石英であることが好ましい。
It is preferable to use a gas containing fluorine as the cleaning gas.
It is preferable to use a gas containing oxygen and hydrogen as the exhaust gas.
In the purge step, for example, the exhaust gas is supplied into the reaction chamber heated to a predetermined temperature and activated.
In the purge step, it is preferable to raise the temperature in the reaction chamber to 600 ° C. to 1050 ° C.
The material inside the device is preferably quartz.

本発明の第2の観点にかかる薄膜形成方法は、
本発明の第1の観点にかかる薄膜形成装置の洗浄方法により薄膜形成装置を洗浄する洗浄工程と、
被処理体を収容する反応室内を所定の温度に昇温し、当該昇温した反応室内に処理ガスを供給して被処理体に薄膜を形成する成膜工程と、
を備える、ことを特徴とする。
The thin film forming method according to the second aspect of the present invention is:
A cleaning step of cleaning the thin film forming apparatus by the cleaning method of the thin film forming apparatus according to the first aspect of the present invention;
A film forming step of heating the reaction chamber containing the object to be processed to a predetermined temperature, supplying a processing gas into the heated reaction chamber, and forming a thin film on the object to be processed;
It is characterized by comprising.

本発明の第3の観点にかかる薄膜形成装置は、
被処理体を収容する反応室内に処理ガスを供給して被処理体に薄膜を形成する薄膜形成装置であって、
前記反応室内に、活性化可能な排気用ガスを供給する排気用ガス供給手段と、
前記排気用ガスを活性化させる活性化手段と、
前記活性化手段を制御して前記排気用ガスを活性化させて、そのラジカルを生成し、生成したラジカルにより、装置内部の材料中に含まれるフッ素を前記材料中から除去するフッ素除去手段と、
を備える、ことを特徴とする。
A thin film forming apparatus according to a third aspect of the present invention is:
A thin film forming apparatus that forms a thin film on a target object by supplying a processing gas into a reaction chamber that houses the target object,
An exhaust gas supply means for supplying an activatable exhaust gas into the reaction chamber;
Activating means for activating the exhaust gas;
Fluorine removing means for controlling the activating means to activate the exhaust gas, generating radicals thereof, and removing fluorine contained in the material inside the apparatus from the materials by the generated radicals;
It is characterized by comprising.

前記被処理体への薄膜形成により装置内部に付着した付着物を除去可能なクリーニングガスを、前記反応室内に供給するクリーニングガス供給手段と、
前記クリーニングガス供給手段を制御して、装置内部に付着した付着物を除去する付着物除去手段と、をさらに備えることが好ましい。この場合、前記フッ素除去手段は、前記付着物除去手段により装置内部に付着した付着物が除去された後、前記活性化手段を制御して前記材料中からフッ素を除去する。
Cleaning gas supply means for supplying a cleaning gas capable of removing deposits attached to the inside of the apparatus by forming a thin film on the object to be processed into the reaction chamber;
It is preferable that the apparatus further includes a deposit removing unit that controls the cleaning gas supply unit to remove deposits attached to the inside of the apparatus. In this case, the fluorine removing unit removes the fluorine from the material by controlling the activating unit after the deposits adhered to the inside of the apparatus are removed by the deposit removing unit.

前記クリーニングガスは、例えば、フッ素を含むガスである。
前記排気用ガスは、例えば、酸素と水素とを含むガスである。
前記活性化手段は、例えば、前記反応室内を600℃〜1050℃に昇温する加熱手段である。
前記活性化手段は、例えば、プラズマ発生手段、光分解手段、または、触媒活性化手段である。
The cleaning gas is, for example, a gas containing fluorine.
The exhaust gas is, for example, a gas containing oxygen and hydrogen.
The activation means is, for example, a heating means for raising the temperature in the reaction chamber to 600 ° C. to 1050 ° C.
The activation means is, for example, a plasma generation means, a photolysis means, or a catalyst activation means.

本発明の第4の観点にかかるプログラムは、
被処理体を収容する反応室内に処理ガスを供給して被処理体に薄膜を形成する薄膜形成装置として機能させるためのプログラムであって、
コンピュータを、
前記反応室内に、活性化可能な排気用ガスを供給する排気用ガス供給手段、
前記排気用ガスを活性化させる活性化手段、
前記活性化手段を制御して前記排気用ガスを活性化させて、そのラジカルを生成し、生成したラジカルにより、装置内部の材料中に含まれるフッ素を前記材料中から除去するフッ素除去手段、
として機能させることを特徴とする。
The program according to the fourth aspect of the present invention is:
A program for supplying a processing gas into a reaction chamber containing a target object to function as a thin film forming apparatus for forming a thin film on the target object,
Computer
An exhaust gas supply means for supplying an activatable exhaust gas into the reaction chamber;
Activating means for activating the exhaust gas;
A fluorine removing means for controlling the activating means to activate the exhaust gas to generate radicals thereof, and to remove fluorine contained in the material inside the apparatus from the materials by the generated radicals;
It is made to function as.

本発明によれば、装置内部の材料に入り込んだフッ素を除去することができる。   According to the present invention, fluorine that has entered the material inside the apparatus can be removed.

以下、本発明の薄膜形成装置、薄膜形成装置の洗浄方法及び薄膜形成方法について説明する。本実施の形態では、薄膜形成装置として、図1に示すバッチ式縦型熱処理装置1の場合を例に本発明を説明する。   Hereinafter, the thin film forming apparatus, the thin film forming apparatus cleaning method, and the thin film forming method of the present invention will be described. In the present embodiment, the present invention will be described taking the case of the batch type vertical heat treatment apparatus 1 shown in FIG. 1 as an example of the thin film forming apparatus.

図1に示すように、薄膜形成装置としての熱処理装置1は、反応室を形成する反応管2を備えている。   As shown in FIG. 1, a heat treatment apparatus 1 as a thin film forming apparatus includes a reaction tube 2 that forms a reaction chamber.

反応管2は、例えば、長手方向が垂直方向に向けられた略円筒状に形成されている。反応管2は、耐熱及び耐腐食性に優れた材料、例えば、石英により形成されている。反応管2の上端には、上端側に向かって縮径するように略円錐状に形成された頂部3が設けられている。頂部3の中央には反応管2内のガスを排気するための排気口4が設けられ、排気口4には排気管5が気密に接続されている。排気管5には、図示しないバルブ、後述する真空ポンプ127などの圧力調整機構が設けられ、反応管2内を所望の圧力(真空度)に制御する。   The reaction tube 2 is formed in, for example, a substantially cylindrical shape whose longitudinal direction is directed in the vertical direction. The reaction tube 2 is made of a material excellent in heat resistance and corrosion resistance, for example, quartz. At the upper end of the reaction tube 2 is provided a top portion 3 formed in a substantially conical shape so as to reduce in diameter toward the upper end side. An exhaust port 4 for exhausting the gas in the reaction tube 2 is provided at the center of the top 3, and an exhaust tube 5 is connected to the exhaust port 4 in an airtight manner. The exhaust pipe 5 is provided with a pressure adjusting mechanism such as a valve (not shown) and a vacuum pump 127 described later, and controls the inside of the reaction pipe 2 to a desired pressure (degree of vacuum).

反応管2の下方には、蓋体6が配置されている。蓋体6は、耐熱及び耐腐食性に優れた材料、例えば、石英により形成されている。また、蓋体6は、後述するボートエレベータ128により上下動可能に構成されている。そして、ボートエレベータ128により蓋体6が上昇すると、反応管2の下方側(炉口部分)が閉鎖され、ボートエレベータ128により蓋体6が下降すると、反応管2の下方側(炉口部分)が開口される。   A lid 6 is disposed below the reaction tube 2. The lid 6 is made of a material excellent in heat resistance and corrosion resistance, for example, quartz. The lid 6 is configured to be movable up and down by a boat elevator 128 described later. When the lid 6 is raised by the boat elevator 128, the lower side (furnace port portion) of the reaction tube 2 is closed, and when the lid 6 is lowered by the boat elevator 128, the lower side (furnace port portion) of the reaction tube 2. Is opened.

蓋体6の上部には、保温筒7が設けられている。保温筒7は、反応管2の炉口部分からの放熱による反応管2内の温度低下を防止する抵抗発熱体からなる平面状のヒータ8と、このヒータ8を蓋体6の上面から所定の高さに支持する筒状の支持体9とから主に構成されている。   A heat insulating cylinder 7 is provided on the top of the lid 6. The heat retaining cylinder 7 includes a planar heater 8 made of a resistance heating element that prevents a temperature drop in the reaction tube 2 due to heat radiation from the furnace port portion of the reaction tube 2, and the heater 8 from a top surface of the lid 6 to a predetermined amount. It is mainly comprised from the cylindrical support body 9 supported to height.

また、保温筒7の上方には、回転テーブル10が設けられている。回転テーブル10は、被処理体、例えば、半導体ウエハWを収容するウエハボート11を回転可能に載置する載置台として機能する。具体的には、回転テーブル10の下部には回転支柱12が設けられ、回転支柱12はヒータ8の中央部を貫通して回転テーブル10を回転させる回転機構13に接続されている。回転機構13は図示しないモータと、蓋体6の下面側から上面側に気密状態で貫通導入された回転軸14を備える回転導入部15とから主に構成されている。回転軸14は回転テーブル10の回転支柱12に連結され、モータの回転力を回転支柱12を介して回転テーブル10に伝える。このため、回転機構13のモータにより回転軸14が回転すると、回転軸14の回転力が回転支柱12に伝えられて回転テーブル10が回転する。   A rotary table 10 is provided above the heat insulating cylinder 7. The turntable 10 functions as a mounting table for rotatably mounting an object to be processed, for example, a wafer boat 11 that accommodates a semiconductor wafer W. Specifically, a rotary column 12 is provided at the lower part of the rotary table 10, and the rotary column 12 is connected to a rotary mechanism 13 that rotates through the central portion of the heater 8 and rotates the rotary table 10. The rotation mechanism 13 is mainly composed of a motor (not shown) and a rotation introduction portion 15 including a rotation shaft 14 that is penetrated and introduced in an airtight manner from the lower surface side to the upper surface side of the lid body 6. The rotary shaft 14 is connected to the rotary column 12 of the rotary table 10 and transmits the rotational force of the motor to the rotary table 10 via the rotary column 12. For this reason, when the rotating shaft 14 is rotated by the motor of the rotating mechanism 13, the rotating force of the rotating shaft 14 is transmitted to the rotating column 12 and the rotating table 10 rotates.

ウエハボート11は、半導体ウエハWが垂直方向に所定の間隔をおいて複数枚収容可能に構成されている。ウエハボート11は、例えば、石英により形成されている。ウエハボート11は、回転テーブル10上に載置されている。このため、回転テーブル10を回転させるとウエハボート11が回転し、この回転により、ウエハボート11内に収容された半導体ウエハWが回転する。   The wafer boat 11 is configured to accommodate a plurality of semiconductor wafers W at a predetermined interval in the vertical direction. The wafer boat 11 is made of, for example, quartz. The wafer boat 11 is placed on the turntable 10. For this reason, when the turntable 10 is rotated, the wafer boat 11 is rotated, and the semiconductor wafer W accommodated in the wafer boat 11 is rotated by this rotation.

また、反応管2の周囲には、反応管2を取り囲むように、例えば、抵抗発熱体からなる昇温用ヒータ16が設けられている。この昇温用ヒータ16により反応管2の内部が所定の温度に加熱され、この結果、半導体ウエハWが所定の温度に加熱される。   Further, around the reaction tube 2, for example, a temperature raising heater 16 made of a resistance heating element is provided so as to surround the reaction tube 2. The inside of the reaction tube 2 is heated to a predetermined temperature by the temperature raising heater 16, and as a result, the semiconductor wafer W is heated to a predetermined temperature.

反応管2の下端近傍の側面には、複数の処理ガス導入管17が接続されている。処理ガス導入管17は、反応管2の下端近傍の側壁に挿通され、処理ガスを反応管2内に導入する。   A plurality of process gas introduction pipes 17 are connected to the side surface near the lower end of the reaction tube 2. The processing gas introduction pipe 17 is inserted into the side wall near the lower end of the reaction tube 2 and introduces the processing gas into the reaction tube 2.

反応管2内に供給する処理ガスとしては、半導体ウエハWに薄膜を形成するための成膜用ガスと、熱処理装置1の内部に付着した付着物(反応生成物等)を除去(クリーニング)するためのクリーニングガスと、クリーニングにより熱処理装置1の内部の材料(石英)中に入り込んだ(拡散された)フッ素を反応管2外に除去(排気)するための排気用ガスと、がある。   As the processing gas supplied into the reaction tube 2, a film forming gas for forming a thin film on the semiconductor wafer W and deposits (reaction products and the like) adhering to the inside of the heat treatment apparatus 1 are removed (cleaned). There is a cleaning gas for exhausting and an exhaust gas for removing (exhausting) the fluorine that has entered (diffused) into the material (quartz) inside the heat treatment apparatus 1 by cleaning out of the reaction tube 2.

本発明の成膜用ガスとしては、薄膜を形成可能なガスであって、薄膜形成により装置内部に付着する付着物がクリーニングガスにより除去可能なガスが用いられる。例えば、半導体ウエハWにシリコン窒化膜を形成する場合には、成膜用ガスとして、ジクロロシラン(DCS:SiHCl)とアンモニア(NH)や、ヘキサクロロジシラン(HCD:SiCl)とアンモニア(NH)等が用いられる。本実施の形態では、成膜用ガスとして、ジクロロシランとアンモニアとが用いられている。 As the film forming gas of the present invention, a gas capable of forming a thin film, and a gas capable of removing deposits adhering to the inside of the apparatus by forming the thin film with a cleaning gas is used. For example, when a silicon nitride film is formed on the semiconductor wafer W, dichlorosilane (DCS: SiH 2 Cl 2 ) and ammonia (NH 3 ) or hexachlorodisilane (HCD: Si 2 Cl 6 ) are used as film forming gases. And ammonia (NH 3 ) are used. In the present embodiment, dichlorosilane and ammonia are used as the film forming gas.

本発明のクリーニングガスとしては、薄膜形成により装置内部に付着する付着物が除去可能なガスが用いられる。例えば、半導体ウエハWにシリコン窒化膜を形成した場合には、クリーニングガスとして、例えば、フッ素(F)とフッ化水素(HF)とを含むガスや、フッ素と水素(H)とを含むガスが用いられる。本実施の形態では、クリーニングガスとして、フッ素と水素と希釈ガスとしての窒素(N)とが用いられている。 As the cleaning gas of the present invention, a gas capable of removing deposits adhering to the inside of the apparatus by forming a thin film is used. For example, when a silicon nitride film is formed on the semiconductor wafer W, the cleaning gas contains, for example, a gas containing fluorine (F 2 ) and hydrogen fluoride (HF), or fluorine and hydrogen (H 2 ). Gas is used. In the present embodiment, fluorine, hydrogen, and nitrogen (N 2 ) as a dilution gas are used as the cleaning gas.

本発明の排気用ガスとしては、活性化可能なガスであって、活性化されるとラジカルを生成し、この生成したラジカルにより熱処理装置1の内部の材料、例えば、石英中に入り込んだフッ素を除去(排気)可能なガスが用いられる。本実施の形態では、排気用ガスとして、酸素(O)と水素(H)と希釈ガスとしての窒素(N)とが用いられている。 The exhaust gas of the present invention is an activatable gas that generates radicals when activated, and the generated radicals are used to convert materials inside the heat treatment apparatus 1 such as fluorine that has entered quartz. A gas that can be removed (exhaust) is used. In the present embodiment, oxygen (O 2 ), hydrogen (H 2 ), and nitrogen (N 2 ) as a diluent gas are used as the exhaust gas.

なお、図1では処理ガス導入管17を1つだけ描いているが、本実施の形態では、ガスの種類ごとに、処理ガス導入管17が反応管2の下端近傍の側面に挿通されている。これらの処理ガス導入管17は、処理ガスの種類に応じたガス供給源に接続され、ガス供給源からの所望量の処理ガスを反応管2内に供給する。   In FIG. 1, only one processing gas introduction pipe 17 is drawn, but in this embodiment, the processing gas introduction pipe 17 is inserted into the side surface near the lower end of the reaction pipe 2 for each type of gas. . These processing gas introduction pipes 17 are connected to a gas supply source corresponding to the type of the processing gas, and supply a desired amount of processing gas from the gas supply source into the reaction tube 2.

また、図1に示すように、反応管2の下端近傍の側面には、パージガス供給管18が挿通されている。パージガス供給管18は、図示しないパージガス供給源に接続され、パージガス供給源からの所望量のパージガス、例えば、窒素ガスを反応管2内に供給する。   Further, as shown in FIG. 1, a purge gas supply pipe 18 is inserted into a side surface near the lower end of the reaction tube 2. The purge gas supply pipe 18 is connected to a purge gas supply source (not shown), and supplies a desired amount of purge gas from the purge gas supply source, for example, nitrogen gas, into the reaction pipe 2.

また、熱処理装置1は、装置各部の制御を行う制御部100を備えている。図2に制御部100の構成を示す。図2に示すように、制御部100には、操作パネル121、温度センサ(群)122、圧力計(群)123、ヒータコントローラ124、MFC制御部125、バルブ制御部126、真空ポンプ127、ボートエレベータ128等が接続されている。   Moreover, the heat processing apparatus 1 is provided with the control part 100 which controls each part of an apparatus. FIG. 2 shows the configuration of the control unit 100. As shown in FIG. 2, the control unit 100 includes an operation panel 121, a temperature sensor (group) 122, a pressure gauge (group) 123, a heater controller 124, an MFC control unit 125, a valve control unit 126, a vacuum pump 127, a boat An elevator 128 or the like is connected.

操作パネル121は、表示画面と操作ボタンとを備え、オペレータの操作指示を制御部100に伝え、また、制御部100からの様々な情報を表示画面に表示する。   The operation panel 121 includes a display screen and operation buttons, transmits an operation instruction of the operator to the control unit 100, and displays various information from the control unit 100 on the display screen.

温度センサ(群)122は、反応管2内、排気管5内、処理ガス導入管17内等の各部の温度を測定し、その測定値を制御部100に通知する。
圧力計(群)123は、反応管2内、排気管5内、処理ガス導入管17内等の各部の圧力を測定し、測定値を制御部100に通知する。
The temperature sensor (group) 122 measures the temperature of each part in the reaction tube 2, the exhaust pipe 5, the processing gas introduction pipe 17, etc., and notifies the control unit 100 of the measured values.
The pressure gauge (group) 123 measures the pressure of each part in the reaction tube 2, the exhaust pipe 5, the processing gas introduction pipe 17 and the like, and notifies the control unit 100 of the measured value.

ヒータコントローラ124は、ヒータ8、及び、昇温用ヒータ16を個別に制御するためのものであり、制御部100からの指示に応答して、これらに通電してこれらを加熱し、また、これらの消費電力を個別に測定して、制御部100に通知する。   The heater controller 124 is for individually controlling the heater 8 and the heater 16 for raising temperature. In response to an instruction from the control unit 100, the heater controller 124 energizes them to heat them. Are measured individually and notified to the control unit 100.

MFC制御部125は、処理ガス導入管17、及び、パージガス供給管18に設けられた図示しないMFCを制御して、これらに流れるガスの流量を制御部100から指示された量に制御するとともに、実際に流れたガスの流量を測定して、制御部100に通知する。   The MFC control unit 125 controls the MFC (not shown) provided in the processing gas introduction pipe 17 and the purge gas supply pipe 18 to control the flow rate of the gas flowing through these to the amount instructed by the control unit 100, The flow rate of the gas that actually flows is measured and notified to the control unit 100.

バルブ制御部126は、各管に配置されたバルブの開度を制御部100から指示された値に制御する。
真空ポンプ127は、排気管5に接続され、反応管2内のガスを排気する。
The valve control unit 126 controls the opening degree of the valve disposed in each pipe to a value instructed by the control unit 100.
The vacuum pump 127 is connected to the exhaust pipe 5 and exhausts the gas in the reaction pipe 2.

ボートエレベータ128は、蓋体6を上昇させることにより、回転テーブル10上に載置されたウエハボート11(半導体ウエハW)を反応管2内にロードし、蓋体6を下降させることにより、回転テーブル10上に載置されたウエハボート11(半導体ウエハW)を反応管2内からアンロードする。   The boat elevator 128 lifts the lid 6, loads the wafer boat 11 (semiconductor wafer W) placed on the rotary table 10 into the reaction tube 2, and rotates the lid 6 to lower the lid 6. The wafer boat 11 (semiconductor wafer W) placed on the table 10 is unloaded from the reaction tube 2.

制御部100は、レシピ記憶部111と、ROM112と、RAM113と、I/Oポート114と、CPU115と、これらを相互に接続するバス116とから構成されている。   The control unit 100 includes a recipe storage unit 111, a ROM 112, a RAM 113, an I / O port 114, a CPU 115, and a bus 116 that interconnects them.

レシピ記憶部111には、セットアップ用レシピと複数のプロセス用レシピとが記憶されている。熱処理装置1の製造当初は、レシピ記憶部111には、セットアップ用レシピのみが格納される。セットアップ用レシピは、各熱処理装置に応じた熱モデル等を生成する際に実行されるものである。プロセス用レシピは、ユーザが実際に行う熱処理(プロセス)毎に用意されるレシピであり、例えば、反応管2への半導体ウエハWのロードから、処理済みの半導体ウエハWをアンロードするまでの、各部の温度の変化、反応管2内の圧力変化、処理ガスの供給の開始及び停止のタイミングと供給量などを規定する。   The recipe storage unit 111 stores a setup recipe and a plurality of process recipes. At the beginning of manufacturing the heat treatment apparatus 1, only the recipe for setup is stored in the recipe storage unit 111. The setup recipe is executed when generating a thermal model or the like corresponding to each heat treatment apparatus. The process recipe is a recipe prepared for each heat treatment (process) actually performed by the user. For example, from loading of the semiconductor wafer W to the reaction tube 2 to unloading the processed semiconductor wafer W, The change in temperature of each part, the change in pressure in the reaction tube 2, the start and stop timing of the supply of the processing gas, the supply amount, etc. are defined.

ROM112は、EEPROM、フラッシュメモリ、ハードディスクなどから構成され、CPU115の動作プログラム等を記憶する記録媒体である。
RAM113は、CPU115のワークエリアなどとして機能する。
I/Oポート114は、操作パネル121、温度センサ122、圧力計123、ヒータコントローラ124、MFC制御部125、バルブ制御部126、真空ポンプ127、ボートエレベータ128等に接続され、データや信号の入出力を制御する。
The ROM 112 is a recording medium that includes an EEPROM, a flash memory, a hard disk, and the like, and stores an operation program of the CPU 115 and the like.
The RAM 113 functions as a work area for the CPU 115.
The I / O port 114 is connected to the operation panel 121, temperature sensor 122, pressure gauge 123, heater controller 124, MFC control unit 125, valve control unit 126, vacuum pump 127, boat elevator 128, etc. Control the output.

CPU(Central Processing Unit)115は、制御部100の中枢を構成し、ROM112に記憶された制御プログラムを実行し、操作パネル121からの指示に従って、レシピ記憶部111に記憶されているレシピ(プロセス用レシピ)に沿って、熱処理装置1の動作を制御する。すなわち、CPU115は、温度センサ122、圧力計123、MFC制御部125等に反応管2内、処理ガス導入管17内、及び、排気管5内の各部の温度、圧力、流量等を測定させ、この測定データに基づいて、ヒータコントローラ124、MFC制御部125、バルブ制御部126、真空ポンプ127等に制御信号等を出力し、上記各部がプロセス用レシピに従うように制御する。
バス116は、各部の間で情報を伝達する。
A CPU (Central Processing Unit) 115 constitutes the center of the control unit 100, executes a control program stored in the ROM 112, and stores recipes (for process) stored in the recipe storage unit 111 in accordance with instructions from the operation panel 121. The operation of the heat treatment apparatus 1 is controlled along the recipe. That is, the CPU 115 causes the temperature sensor 122, the pressure gauge 123, the MFC control unit 125, and the like to measure the temperature, pressure, flow rate, and the like of each part in the reaction tube 2, the processing gas introduction tube 17, and the exhaust pipe 5, Based on the measurement data, control signals and the like are output to the heater controller 124, the MFC control unit 125, the valve control unit 126, the vacuum pump 127, and the like, and the above-described units are controlled to follow the process recipe.
The bus 116 transmits information between the units.

次に、以上のように構成された熱処理装置1を用いて、本発明の薄膜形成装置の洗浄方法、薄膜形成方法について説明する。図3に本実施の形態の薄膜形成方法を説明するためのレシピを示す。   Next, the cleaning method and the thin film forming method of the thin film forming apparatus of the present invention will be described using the heat treatment apparatus 1 configured as described above. FIG. 3 shows a recipe for explaining the thin film forming method of the present embodiment.

なお、本実施の形態では、反応管2内にDCS(SiHCl)及びアンモニア(NH)を供給して、半導体ウエハW上に所定厚のシリコン窒化膜を形成するとともに、熱処理装置1の内部に付着した窒化珪素(付着物)を除去する場合を例に本発明を説明する。以下の説明において、熱処理装置1を構成する各部の動作は、制御部100(CPU115)により制御されている。また、各処理における反応管2内の温度、圧力、ガスの流量等は、前述のように、制御部100(CPU115)がヒータコントローラ124(ヒータ8、昇温用ヒータ16)、MFC制御部125、バルブ制御部126、真空ポンプ127等を制御することにより、図3に示すレシピに従った条件になる。 In the present embodiment, DCS (SiH 2 Cl 2 ) and ammonia (NH 3 ) are supplied into the reaction tube 2 to form a silicon nitride film having a predetermined thickness on the semiconductor wafer W, and the heat treatment apparatus 1 The present invention will be described by taking as an example the case of removing silicon nitride (adhered matter) adhering to the inside. In the following description, the operation of each part constituting the heat treatment apparatus 1 is controlled by the control unit 100 (CPU 115). Further, as described above, the controller 100 (CPU 115) is controlled by the heater controller 124 (heater 8 and the temperature raising heater 16) and the MFC controller 125 for the temperature, pressure, gas flow rate, etc. in the reaction tube 2 in each process. By controlling the valve control unit 126, the vacuum pump 127, etc., the conditions according to the recipe shown in FIG.

まず、反応管2内を所定の温度、例えば、図3(a)に示すように、例えば、400℃に設定する。また、図3(c)に示すように、パージガス供給管18から反応管2内に所定量の窒素を供給し、被処理体としての半導体ウエハWが収容されているウエハボート11を蓋体6上に載置する。そして、ボートエレベータ128により蓋体6を上昇させ、半導体ウエハW(ウエハボート11)を反応管2内にロードする(ロード工程)。   First, the inside of the reaction tube 2 is set to a predetermined temperature, for example, 400 ° C. as shown in FIG. Further, as shown in FIG. 3C, a predetermined amount of nitrogen is supplied from the purge gas supply pipe 18 into the reaction tube 2, and the wafer boat 11 in which the semiconductor wafer W as the object to be processed is accommodated is covered with the lid body 6. Place on top. Then, the lid 6 is raised by the boat elevator 128, and the semiconductor wafer W (wafer boat 11) is loaded into the reaction tube 2 (loading step).

次に、図3(c)に示すように、パージガス供給管18から反応管2内に所定量の窒素を供給するとともに、反応管2内を所定の温度、例えば、図3(a)に示すように、760℃に設定する。また、反応管2内のガスを排出し、反応管2を所定の圧力、例えば、図3(b)に示すように、26.5Pa(0.2Torr)に減圧する。そして、反応管2内をこの温度及び圧力で安定させる(安定化工程)。   Next, as shown in FIG. 3 (c), a predetermined amount of nitrogen is supplied from the purge gas supply pipe 18 into the reaction tube 2, and the reaction tube 2 is given a predetermined temperature, for example, as shown in FIG. 3 (a). Set to 760 ° C. Further, the gas in the reaction tube 2 is discharged, and the reaction tube 2 is depressurized to a predetermined pressure, for example, 26.5 Pa (0.2 Torr) as shown in FIG. And the inside of the reaction tube 2 is stabilized at this temperature and pressure (stabilization step).

反応管2内が所定の圧力及び温度で安定すると、パージガス供給管18からの窒素の供給を停止する。続いて、処理ガス導入管17から成膜用ガスを反応管2内に導入する。本実施の形態では、図3(d)に示すように、アンモニアを1slm供給し、図3(e)に示すように、DCSを0.1slm供給し、図3(c)に示すように、窒素を0.25slm供給する。反応管2内に導入された成膜用ガスが反応管2内で加熱され、半導体ウエハWの表面にシリコン窒化膜が形成される(成膜工程)。   When the inside of the reaction tube 2 is stabilized at a predetermined pressure and temperature, the supply of nitrogen from the purge gas supply tube 18 is stopped. Subsequently, a film forming gas is introduced into the reaction tube 2 from the processing gas introduction tube 17. In this embodiment, as shown in FIG. 3 (d), ammonia is supplied at 1 slm, as shown in FIG. 3 (e), DCS is supplied at 0.1 slm, and as shown in FIG. 3 (c), Nitrogen is supplied at 0.25 slm. The film forming gas introduced into the reaction tube 2 is heated in the reaction tube 2 to form a silicon nitride film on the surface of the semiconductor wafer W (film forming step).

半導体ウエハWの表面に所定厚のシリコン窒化膜が形成されると、処理ガス導入管17からの成膜用ガスの導入を停止する。そして、反応管2内のガスを排出するとともに、図3(c)に示すように、パージガス供給管18から所定量の窒素を供給して、反応管2内のガスを排気管5に排出する(パージ工程)。なお、反応管2内のガスを確実に排出するために、反応管2内のガスの排出及び窒素ガスの供給を複数回繰り返すことが好ましい。   When a silicon nitride film having a predetermined thickness is formed on the surface of the semiconductor wafer W, the introduction of the deposition gas from the processing gas introduction pipe 17 is stopped. Then, the gas in the reaction tube 2 is discharged, and as shown in FIG. 3C, a predetermined amount of nitrogen is supplied from the purge gas supply tube 18, and the gas in the reaction tube 2 is discharged to the exhaust tube 5. (Purge process). In addition, in order to discharge | emit the gas in the reaction tube 2 reliably, it is preferable to repeat discharge | emission of the gas in the reaction tube 2, and supply of nitrogen gas in multiple times.

続いて、図3(c)に示すように、パージガス供給管18から反応管2内に所定量の窒素を供給して、図3(b)に示すように、反応管2内の圧力を常圧に戻す。また、反応管2内を所定の温度、例えば、図3(a)に示すように、400℃に設定する。そして、ボートエレベータ128により蓋体6を下降させることにより、半導体ウエハW(ウエハボート11)を反応管2内からアンロードする(アンロード工程)。これにより、成膜処理が終了する。   Subsequently, as shown in FIG. 3 (c), a predetermined amount of nitrogen is supplied into the reaction tube 2 from the purge gas supply pipe 18, and the pressure in the reaction tube 2 is kept constant as shown in FIG. 3 (b). Return to pressure. Further, the inside of the reaction tube 2 is set to a predetermined temperature, for example, 400 ° C. as shown in FIG. Then, the lid 6 is lowered by the boat elevator 128 to unload the semiconductor wafer W (wafer boat 11) from the reaction tube 2 (unload process). Thereby, the film forming process is completed.

以上のような成膜処理を、例えば、複数回行うと、成膜処理によって生成される窒化珪素が、半導体ウエハWの表面だけでなく、反応管2の内壁等にも堆積(付着)する。このため、成膜処理を所定回数行った後、洗浄処理(本発明の薄膜形成装置の洗浄方法)を実行する。   When the film forming process as described above is performed a plurality of times, for example, silicon nitride generated by the film forming process is deposited (attached) not only on the surface of the semiconductor wafer W but also on the inner wall of the reaction tube 2 and the like. For this reason, after performing the film forming process a predetermined number of times, a cleaning process (a cleaning method for a thin film forming apparatus of the present invention) is executed.

まず、反応管2内を所定の温度、例えば、図3(a)に示すように、例えば、400℃に設定する。また、図3(c)に示すように、パージガス供給管18から反応管2内に所定量の窒素を供給し、半導体ウエハWが収容されていない空のウエハボート11を蓋体6上に載置する。そして、ボートエレベータ128により蓋体6を上昇させ、半導体ウエハW(ウエハボート11)を反応管2内にロードする(ロード工程)。   First, the inside of the reaction tube 2 is set to a predetermined temperature, for example, 400 ° C. as shown in FIG. Further, as shown in FIG. 3C, a predetermined amount of nitrogen is supplied from the purge gas supply pipe 18 into the reaction pipe 2, and an empty wafer boat 11 in which no semiconductor wafer W is accommodated is placed on the lid 6. Put. Then, the lid 6 is raised by the boat elevator 128, and the semiconductor wafer W (wafer boat 11) is loaded into the reaction tube 2 (loading step).

次に、図3(c)に示すように、パージガス供給管18から反応管2内に所定量の窒素を供給するとともに、反応管2内を所定の温度、例えば、図3(a)に示すように、400℃に設定する。また、反応管2内のガスを排出し、反応管2を所定の圧力、例えば、図3(b)に示すように、13300Pa(100Torr)に減圧する。そして、反応管2内をこの温度及び圧力で安定させる(安定化工程)。   Next, as shown in FIG. 3 (c), a predetermined amount of nitrogen is supplied from the purge gas supply pipe 18 into the reaction tube 2, and the reaction tube 2 is given a predetermined temperature, for example, as shown in FIG. 3 (a). Set to 400 ° C. Further, the gas in the reaction tube 2 is discharged, and the reaction tube 2 is depressurized to a predetermined pressure, for example, 13300 Pa (100 Torr) as shown in FIG. And the inside of the reaction tube 2 is stabilized at this temperature and pressure (stabilization step).

反応管2内が所定の圧力及び温度で安定すると、パージガス供給管18からの窒素の供給を停止する。続いて、処理ガス導入管17からクリーニングガスを反応管2内に導入する。本実施の形態では、図3(f)に示すように、フッ素(F)を2slm供給し、図3(g)に示すように、水素(H)を2slm供給し、図3(c)に示すように、希釈ガスとしての窒素を8slm供給する。 When the inside of the reaction tube 2 is stabilized at a predetermined pressure and temperature, the supply of nitrogen from the purge gas supply tube 18 is stopped. Subsequently, a cleaning gas is introduced into the reaction tube 2 from the processing gas introduction tube 17. In the present embodiment, 2 slm of fluorine (F 2 ) is supplied as shown in FIG. 3 (f), 2 slm of hydrogen (H 2 ) is supplied as shown in FIG. 3 (g), and FIG. ), 8 slm of nitrogen as a dilution gas is supplied.

反応管2内に供給されたクリーニングガスが反応管2内で加熱され、クリーニングガス中のフッ素が活性化する。活性化されたフッ素は、熱処理装置1の内部に付着した付着物(窒化珪素)に接触し、窒化珪素がエッチングされる。これにより、熱処理装置1の内部に付着した付着物が除去される(クリーニング工程)。   The cleaning gas supplied into the reaction tube 2 is heated in the reaction tube 2 and the fluorine in the cleaning gas is activated. The activated fluorine comes into contact with deposits (silicon nitride) adhered to the inside of the heat treatment apparatus 1, and the silicon nitride is etched. Thereby, the deposit | attachment adhering to the inside of the heat processing apparatus 1 is removed (cleaning process).

熱処理装置1の内部に付着した付着物が除去されると、処理ガス導入管17からのクリーニングガスの供給を停止する。そして、図3(c)に示すように、パージガス供給管18から所定量の窒素を供給して、反応管2内のガスを排出し、反応管2を所定の圧力、例えば、図3(b)に示すように、46.55Pa(0.35Torr)に減圧する。また、反応管2内を所定の温度、例えば、図3(a)に示すように、850℃に設定する。そして、反応管2の温度及び圧力操作を、反応管2が所定の圧力及び温度で安定するまで行う(安定化工程)。   When the deposits adhering to the inside of the heat treatment apparatus 1 are removed, the supply of the cleaning gas from the processing gas introduction pipe 17 is stopped. Then, as shown in FIG. 3 (c), a predetermined amount of nitrogen is supplied from the purge gas supply pipe 18, the gas in the reaction pipe 2 is discharged, and the reaction pipe 2 is set to a predetermined pressure, for example, FIG. ), The pressure is reduced to 46.55 Pa (0.35 Torr). Further, the inside of the reaction tube 2 is set to a predetermined temperature, for example, 850 ° C. as shown in FIG. Then, the temperature and pressure operation of the reaction tube 2 is performed until the reaction tube 2 is stabilized at a predetermined pressure and temperature (stabilization step).

ここで、反応管2内の温度は、400℃〜1050℃にすることが好ましい。400℃より低温であると、後述するラジカルパージ工程で、反応管2の石英中に入り込んだフッ素の放出が行われにくくなるおそれがあるためである。また、1050℃より高温であると、反応管2を形成する石英の軟化点を超えてしまうためである。反応管2内の温度は、600℃〜1050℃にすることがさらに好ましく、800℃〜1050℃にすることが最も好ましい。かかる範囲にすることにより、ラジカルパージ工程におけるフッ素の放出が促進されるためである。   Here, the temperature in the reaction tube 2 is preferably 400 ° C to 1050 ° C. This is because if the temperature is lower than 400 ° C., it may be difficult to release fluorine that has entered the quartz of the reaction tube 2 in the radical purge step described later. Further, when the temperature is higher than 1050 ° C., the softening point of quartz forming the reaction tube 2 is exceeded. The temperature in the reaction tube 2 is more preferably 600 ° C to 1050 ° C, and most preferably 800 ° C to 1050 ° C. This is because, within this range, the release of fluorine in the radical purge step is promoted.

反応管2内の圧力は、931Pa(7Torr)以下にすることが好ましい。931Paより高圧にすると、後述するラジカルパージ工程でのフッ素の放出が行われにくくなるおそれがあるためである。反応管2内の圧力は、532Pa(4Torr)以下にすることがさらに好ましく、133Pa(1Torr)以下にすることが最も好ましい。このように、反応管2内の圧力を低圧にすることにより、ラジカルパージ工程におけるフッ素の放出が促進されるためである。   The pressure in the reaction tube 2 is preferably 931 Pa (7 Torr) or less. This is because if the pressure is higher than 931 Pa, it may be difficult to release fluorine in the radical purging process described later. The pressure in the reaction tube 2 is more preferably 532 Pa (4 Torr) or less, and most preferably 133 Pa (1 Torr) or less. This is because the release of fluorine in the radical purge step is promoted by reducing the pressure in the reaction tube 2 in this way.

反応管2内が所定の圧力及び温度で安定すると、パージガス供給管18からの窒素の供給を停止する。次に、処理ガス導入管17から排気用ガスを反応管2内に導入する。本実施の形態では、図3(h)に示すように、酸素(O)を1.7slm供給し、図3(g)に示すように、水素(H)を1slm供給し、図3(c)に示すように、窒素(N)を0.05slm供給する。所定時間経過後、反応管2内のガスを排出する。そして、この排気用ガスの供給及び反応管2内のガスの排出を複数回繰り返す(ラジカルパージ工程)。 When the inside of the reaction tube 2 is stabilized at a predetermined pressure and temperature, the supply of nitrogen from the purge gas supply tube 18 is stopped. Next, exhaust gas is introduced into the reaction tube 2 from the processing gas introduction tube 17. In this embodiment, oxygen (O 2 ) is supplied at 1.7 slm as shown in FIG. 3 (h), and hydrogen (H 2 ) is supplied at 1 slm as shown in FIG. 3 (g). As shown in (c), 0.05 slm of nitrogen (N 2 ) is supplied. After a predetermined time has elapsed, the gas in the reaction tube 2 is discharged. Then, the supply of the exhaust gas and the discharge of the gas in the reaction tube 2 are repeated a plurality of times (radical purge process).

反応管2内に排気用ガスが供給されると、反応管2内の熱により排気用ガスが励起(活性化)してラジカル(酸素活性種、水酸基活性種など)を生成する。この生成されたラジカルにより、反応管2の石英中に含まれるフッ素が、反応管2の石英中から放出(拡散)される。ここで、反応管2の石英中に含まれるフッ素には、クリーニング時に表面に吸着したフッ素を含む。このため、装置内部の材料、例えば、反応管2の石英中に含まれるフッ素を除去し、成膜処理中における反応管2からのフッ素の放出を抑制することができる。この結果、この後の成膜処理により形成されるシリコン窒化膜中のフッ素の量(濃度)を低減することができる。   When exhaust gas is supplied into the reaction tube 2, the exhaust gas is excited (activated) by heat in the reaction tube 2 to generate radicals (oxygen active species, hydroxyl active species, etc.). Due to the generated radicals, fluorine contained in the quartz of the reaction tube 2 is released (diffused) from the quartz of the reaction tube 2. Here, the fluorine contained in the quartz of the reaction tube 2 includes fluorine adsorbed on the surface during cleaning. For this reason, the fluorine contained in the material inside the apparatus, for example, quartz contained in the reaction tube 2 can be removed, and release of fluorine from the reaction tube 2 during the film forming process can be suppressed. As a result, the amount (concentration) of fluorine in the silicon nitride film formed by the subsequent film formation process can be reduced.

ラジカルパージ工程が終了すると、処理ガス導入管17からの排気用ガスの供給を停止する。続いて、図3(c)に示すように、パージガス供給管18から反応管2内に所定量の窒素を供給して、図3(b)に示すように、反応管2内の圧力を常圧に戻す。また、反応管2内を所定の温度、例えば、図3(a)に示すように、400℃に設定する。そして、反応管2内をこの温度及び圧力で安定させる(安定化工程)。   When the radical purge step is completed, the supply of the exhaust gas from the processing gas introduction pipe 17 is stopped. Subsequently, as shown in FIG. 3 (c), a predetermined amount of nitrogen is supplied into the reaction tube 2 from the purge gas supply pipe 18, and the pressure in the reaction tube 2 is kept constant as shown in FIG. 3 (b). Return to pressure. Further, the inside of the reaction tube 2 is set to a predetermined temperature, for example, 400 ° C. as shown in FIG. And the inside of the reaction tube 2 is stabilized at this temperature and pressure (stabilization step).

次に、ボートエレベータ128により蓋体6を下降させることにより、ウエハボート11を反応管2内からアンロードする(アンロード工程)。これにより、洗浄処理が終了する。そして、半導体ウエハWが収容されたウエハボート11を蓋体6上に載置することにより、再び、半導体ウエハW上にシリコン窒化膜を形成する成膜処理を行うことが可能になる。   Next, the lid 6 is lowered by the boat elevator 128 to unload the wafer boat 11 from the reaction tube 2 (unload process). This completes the cleaning process. Then, by placing the wafer boat 11 containing the semiconductor wafers W on the lid 6, it becomes possible to perform a film forming process for forming a silicon nitride film on the semiconductor wafers W again.

このように、所定回数の成膜処理の後、洗浄処理(クリーニング処理及びパージ処理)を行う薄膜形成方法を実行することにより、洗浄処理後に、半導体ウエハWにシリコン窒化膜を形成しても、形成されたシリコン窒化膜中のフッ素濃度を抑制することができる。   In this way, by performing a thin film forming method that performs a cleaning process (cleaning process and purge process) after a predetermined number of film formation processes, even if a silicon nitride film is formed on the semiconductor wafer W after the cleaning process, The fluorine concentration in the formed silicon nitride film can be suppressed.

次に、本実施の形態の効果を確認するため、上記実施の形態と同一の条件で、洗浄処理(クリーニング処理及びパージ処理)を行った後、反応管2内に半導体ウエハWを入れて成膜処理を行い、半導体ウエハW上に形成されたシリコン窒化膜中のフッ素の濃度を測定した(実施例1)。また、図4に示すように、排気用ガスの流量比、総流量を変更した場合、ラジカルパージの時間(パージ時間)を変更した場合についても同様に、洗浄処理、成膜処理を行い、半導体ウエハW上に形成されたシリコン窒化膜中のフッ素の濃度を測定した(実施例2、3)。なお、比較のため、上記実施の形態と同一の条件で、クリーニング処理を行った後、一般的な窒素ガスを用いた窒素パージを行った場合についても、同様に、半導体ウエハW上に形成されたシリコン窒化膜中のフッ素の濃度を測定した(比較例1)。これらの結果を図5に示す。   Next, in order to confirm the effect of the present embodiment, a cleaning process (cleaning process and purge process) is performed under the same conditions as in the above-described embodiment, and then a semiconductor wafer W is placed in the reaction tube 2 and formed. Film treatment was performed, and the fluorine concentration in the silicon nitride film formed on the semiconductor wafer W was measured (Example 1). In addition, as shown in FIG. 4, when the exhaust gas flow rate ratio and total flow rate are changed, the radical purging time (purge time) is changed, and the cleaning process and the film forming process are performed in the same manner. The fluorine concentration in the silicon nitride film formed on the wafer W was measured (Examples 2 and 3). For comparison, a case where a nitrogen purge using a general nitrogen gas is performed after performing a cleaning process under the same conditions as in the above embodiment is similarly formed on the semiconductor wafer W. The fluorine concentration in the silicon nitride film was measured (Comparative Example 1). These results are shown in FIG.

図5に示すように、ラジカルパージを行うことにより、一般的な窒素パージを行ったときよりも、形成されるシリコン窒化膜に含まれるフッ素の濃度を大幅に減少させることが確認できた。このため、ラジカルパージを行うことにより、反応管2等の石英に入り込んだフッ素を除去していることが確認でき、成膜処理中におけるフッ素の放出を抑制できることが確認できた。従って、洗浄処理後に、半導体ウエハWにシリコン窒化膜を形成しても、形成されたシリコン窒化膜中のフッ素の濃度を抑制することができる。   As shown in FIG. 5, it was confirmed that the concentration of fluorine contained in the formed silicon nitride film was significantly reduced by performing radical purging as compared to when performing general nitrogen purging. For this reason, it was confirmed that the fluorine purged into the quartz of the reaction tube 2 and the like was removed by performing radical purge, and it was confirmed that the release of fluorine during the film forming process could be suppressed. Therefore, even if a silicon nitride film is formed on the semiconductor wafer W after the cleaning process, the concentration of fluorine in the formed silicon nitride film can be suppressed.

以上説明したように、本実施の形態によれば、ラジカルパージを行うことにより、反応管2等の石英に入り込んだフッ素を除去していることができる。このため、形成されたシリコン窒化膜中のフッ素の濃度を抑制することができる。   As described above, according to the present embodiment, fluorine that has entered quartz in the reaction tube 2 or the like can be removed by performing radical purge. For this reason, the concentration of fluorine in the formed silicon nitride film can be suppressed.

なお、本発明は、上記の実施の形態に限られず、種々の変形、応用が可能である。以下、本発明に適用可能な他の実施の形態について説明する。   In addition, this invention is not restricted to said embodiment, A various deformation | transformation and application are possible. Hereinafter, other embodiments applicable to the present invention will be described.

上記実施の形態では、排気用ガスに酸素と水素と窒素との混合ガスを用いた場合を例に本発明を説明したが、例えば、酸素と水素との混合ガスを用いてもよい。排気用ガスとしては、活性化可能であって、活性化してラジカルを生成し、この生成したラジカルにより熱処理装置1の内部の材料、例えば、石英に入り込んだフッ素を除去可能なガスであればよい。このような排気用ガスとしては、酸素と水素とを含むガスであることが好ましい。   In the above embodiment, the present invention has been described by taking the case of using a mixed gas of oxygen, hydrogen, and nitrogen as the exhaust gas. However, for example, a mixed gas of oxygen and hydrogen may be used. The exhaust gas may be any gas that can be activated, can be activated to generate radicals, and can remove the material inside the heat treatment apparatus 1, for example, fluorine that has entered quartz, by the generated radicals. . Such an exhaust gas is preferably a gas containing oxygen and hydrogen.

上記実施の形態では、加熱した反応管2内に排気用ガスを供給し、排気用ガスを活性化させる場合を例に本発明を説明したが、例えば、排気用ガスを導入する処理ガス導入管17に活性化手段を設け、活性化手段により活性化された排気用ガスを反応管2内に供給してもよい。この場合、ラジカルパージ工程における反応管2内の温度を低くすることができる。活性化手段としては、加熱手段の他、プラズマ発生手段、光分解手段、触媒活性化手段等がある。   In the above embodiment, the present invention has been described by taking as an example the case where the exhaust gas is supplied into the heated reaction tube 2 and the exhaust gas is activated. For example, the processing gas introduction tube for introducing the exhaust gas is used. 17 may be provided with an activating means, and the exhaust gas activated by the activating means may be supplied into the reaction tube 2. In this case, the temperature in the reaction tube 2 in the radical purge step can be lowered. As the activation means, there are a plasma generation means, a photolysis means, a catalyst activation means and the like in addition to the heating means.

上記実施の形態では、シリコン窒化膜を形成する場合を例に本発明を説明したが、被処理体に形成する薄膜は、シリコン窒化膜に限定されるものではなく、例えば、ポリシリコン膜であってもよい。また、成膜用ガスは、成膜により反応管2の内壁等に付着する付着物がクリーニングガスにより除去可能であって、薄膜を形成可能なガスであればよく、例えば、シリコン窒化膜を形成する場合には、ヘキサクロロジシラン(HCD)とアンモニアとの混合ガスであってもよい。   In the above embodiment, the present invention has been described by taking the case of forming a silicon nitride film as an example. However, the thin film formed on the object to be processed is not limited to the silicon nitride film, and is, for example, a polysilicon film. May be. The deposition gas may be any gas that can remove deposits attached to the inner wall of the reaction tube 2 or the like by the cleaning gas and can form a thin film. For example, a silicon nitride film is formed. In this case, a mixed gas of hexachlorodisilane (HCD) and ammonia may be used.

上記実施の形態では、クリーニングガスにフッ素と水素と窒素との混合ガスを用いた場合を例に本発明を説明したが、クリーニングガスは、成膜処理により付着した付着物を除去可能なガスであればよい。また、クリーニングガスに希釈ガスとしての窒素ガスを含んでいなくてもよい。なお、本発明が、熱処理装置1の内部の材料、例えば、石英に入り込んだフッ素を除去するものであることから、クリーニングガスとしてフッ素を含むガスを用いることが好ましい。この場合、本発明の効果が最も発揮されるためである。   In the above embodiment, the present invention has been described by taking an example of the case where a mixed gas of fluorine, hydrogen, and nitrogen is used as the cleaning gas. However, the cleaning gas is a gas that can remove deposits attached by the film formation process. I just need it. Further, the cleaning gas may not contain nitrogen gas as a dilution gas. Since the present invention removes the material inside the heat treatment apparatus 1, such as fluorine that has entered quartz, it is preferable to use a gas containing fluorine as the cleaning gas. In this case, it is because the effect of this invention is exhibited most.

上記実施の形態では、複数回の成膜処理を行った後に、クリーニング処理及びパージ処理を行った場合を例に本発明を説明したが、本発明はこれに限定されるものではなく、例えば、成膜処理後にクリーニング処理及びパージ処理を毎回行ってもよい。   In the above embodiment, the present invention has been described by taking as an example the case where the cleaning process and the purge process are performed after performing the film forming process a plurality of times, but the present invention is not limited thereto. The cleaning process and the purge process may be performed every time after the film formation process.

上記実施の形態では、クリーニング処理を行った後にパージ処理を行った場合を例に本発明を説明したが、パージ処理は、任意に行うことが可能であり、例えば、成膜処理を行う前にパージ処理を行ってもよい。   In the above embodiment, the present invention has been described by taking the case where the purge process is performed after the cleaning process as an example. However, the purge process can be arbitrarily performed, for example, before the film formation process is performed. A purge process may be performed.

上記実施の形態では、ガスの種類毎に処理ガス導入管17を設けた場合を例に本発明を説明したが、例えば、成膜用ガス導入管、クリーニングガス導入管、及び、塩素パージ用ガス供給管から構成されるように、処理ガスの種類毎に処理ガス導入管17を設けてもよい。また、複数本から同種類のガスが導入されるように、反応管2の下端近傍の側面に、多数の処理ガス導入管17を挿通してもよい。この場合、反応管2内に処理ガスをより均一に導入することができる。   In the above embodiment, the present invention has been described by taking as an example the case where the processing gas introduction pipe 17 is provided for each type of gas. For example, the film formation gas introduction pipe, the cleaning gas introduction pipe, and the chlorine purge gas You may provide the process gas introduction pipe | tube 17 for every kind of process gas so that it may be comprised from a supply pipe | tube. In addition, a large number of process gas introduction pipes 17 may be inserted into the side surface near the lower end of the reaction tube 2 so that the same type of gas is introduced from a plurality of tubes. In this case, the processing gas can be introduced into the reaction tube 2 more uniformly.

上記実施の形態では、熱処理装置として、単管構造のバッチ式熱処理装置の場合を例に本発明を説明したが、例えば、反応管2が内管と外管とから構成された二重管構造のバッチ式縦型熱処理装置に本発明を適用することも可能である。また、枚葉式の熱処理装置に本発明を適用することも可能である。   In the above embodiment, the present invention has been described by taking the case of a batch type heat treatment apparatus having a single tube structure as the heat treatment apparatus. For example, a double tube structure in which the reaction tube 2 is composed of an inner tube and an outer tube. It is also possible to apply the present invention to the batch type vertical heat treatment apparatus. In addition, the present invention can be applied to a single wafer heat treatment apparatus.

本発明の実施の形態にかかる制御部100は、専用のシステムによらず、通常のコンピュータシステムを用いて実現可能である。例えば、汎用コンピュータに、上述の処理を実行するためのプログラムを格納した記録媒体(フレキシブルディスク、CD−ROMなど)から当該プログラムをインストールすることにより、上述の処理を実行する制御部100を構成することができる。   The control unit 100 according to the embodiment of the present invention can be realized using a normal computer system, not a dedicated system. For example, the control unit 100 that executes the above-described processing is configured by installing the program from a recording medium (such as a flexible disk or a CD-ROM) that stores the program for executing the above-described processing in a general-purpose computer. be able to.

そして、これらのプログラムを供給するための手段は任意である。上述のように所定の記録媒体を介して供給できる他、例えば、通信回線、通信ネットワーク、通信システムなどを介して供給してもよい。この場合、例えば、通信ネットワークの掲示板(BBS)に当該プログラムを掲示し、これをネットワークを介して搬送波に重畳して提供してもよい。そして、このように提供されたプログラムを起動し、OSの制御下で、他のアプリケーションプログラムと同様に実行することにより、上述の処理を実行することができる。   The means for supplying these programs is arbitrary. In addition to being able to be supplied via a predetermined recording medium as described above, for example, it may be supplied via a communication line, a communication network, a communication system, or the like. In this case, for example, the program may be posted on a bulletin board (BBS) of a communication network and provided by superimposing it on a carrier wave via the network. Then, the above-described processing can be executed by starting the program thus provided and executing it in the same manner as other application programs under the control of the OS.

本発明の実施の形態の熱処理装置を示す図である。It is a figure which shows the heat processing apparatus of embodiment of this invention. 図1の制御部の構成を示す図である。It is a figure which shows the structure of the control part of FIG. 本発明の実施の形態の薄膜形成方法を説明するレシピを示す図である。It is a figure which shows the recipe explaining the thin film formation method of embodiment of this invention. 各実施例の排気用ガス中のH/O、総流量、パージ時間を示す表である。H 2 / O 2 in the exhaust gas in each example is a table showing the total flow, the purge time. 図4に示す実施例におけるシリコン窒化膜中に含まれるフッ素の濃度を示すグラフである。It is a graph which shows the density | concentration of the fluorine contained in the silicon nitride film in the Example shown in FIG.

符号の説明Explanation of symbols

1 熱処理装置
2 反応管
3 頂部
4 排気口
5 排気管
6 蓋体
7 保温筒
8 ヒータ
9 支持体
10 回転テーブル
11 ウエハボート
12 回転支柱
13 回転機構
14 回転軸
15 回転導入部
16 昇温用ヒータ
17 処理ガス導入管
18 パージガス供給管
100 制御部
111 レシピ記憶部
112 ROM
113 RAM
114 I/Oポート
115 CPU
116 バス
121 操作パネル
122 温度センサ
123 圧力計
124 ヒータコントローラ
125 MFC制御部
126 バルブ制御部
127 真空ポンプ
128 ボートエレベータ
W 半導体ウエハ
DESCRIPTION OF SYMBOLS 1 Heat processing apparatus 2 Reaction tube 3 Top part 4 Exhaust port 5 Exhaust pipe 6 Cover body 7 Heat insulation cylinder 8 Heater 9 Support body 10 Rotary table 11 Wafer boat 12 Rotation support | pillar 13 Rotation mechanism 14 Rotation shaft 15 Rotation introduction part 16 Heating heater 17 Process gas introduction pipe 18 Purge gas supply pipe 100 Control unit 111 Recipe storage unit 112 ROM
113 RAM
114 I / O port 115 CPU
116 Bus 121 Operation Panel 122 Temperature Sensor 123 Pressure Gauge 124 Heater Controller 125 MFC Control Unit 126 Valve Control Unit 127 Vacuum Pump 128 Boat Elevator W Semiconductor Wafer

Claims (15)

被処理体を収容する反応室内に処理ガスを供給して被処理体に薄膜を形成する薄膜形成装置の洗浄方法であって、
前記反応室内に活性化可能な排気用ガスを供給して、当該反応室内をパージするパージ工程を備え、
前記パージ工程では、前記排気用ガスを活性化させて、そのラジカルを生成し、生成したラジカルにより、装置内部の材料中に含まれるフッ素を前記材料中から除去する、ことを特徴とする薄膜形成装置の洗浄方法。
A cleaning method of a thin film forming apparatus for forming a thin film on a target object by supplying a processing gas into a reaction chamber containing the target object,
A purge step of purging the reaction chamber by supplying an exhaust gas that can be activated into the reaction chamber;
In the purge step, the exhaust gas is activated to generate radicals, and fluorine contained in the material inside the apparatus is removed from the material by the generated radicals. How to clean the device.
前記被処理体への薄膜形成により装置内部に付着した付着物を除去可能なクリーニングガスを前記反応室内に供給して、前記付着物を除去する付着物除去工程をさらに備え、
前記付着物除去工程により前記付着物を除去した後、前記パージ工程を行う、ことを特徴とする請求項1に記載の薄膜形成装置の洗浄方法。
A cleaning gas capable of removing deposits attached to the inside of the apparatus by forming a thin film on the object to be processed is supplied to the reaction chamber, and further includes a deposit removal step for removing the deposits.
The thin film forming apparatus cleaning method according to claim 1, wherein the purge step is performed after the deposit is removed by the deposit removing step.
前記クリーニングガスにフッ素を含むガスを用いる、ことを特徴とする請求項2に記載の薄膜形成装置の洗浄方法。   The thin film forming apparatus cleaning method according to claim 2, wherein a gas containing fluorine is used as the cleaning gas. 前記排気用ガスに、酸素と水素とを含むガスを用いる、ことを特徴とする請求項1乃至3のいずれか1項に記載の薄膜形成装置の洗浄方法。   The thin film forming apparatus cleaning method according to any one of claims 1 to 3, wherein a gas containing oxygen and hydrogen is used as the exhaust gas. 前記パージ工程では、前記排気用ガスを所定の温度に昇温した反応室内に供給して活性化させる、ことを特徴とする請求項1乃至4のいずれか1項に記載の薄膜形成装置の洗浄方法。   5. The cleaning of a thin film forming apparatus according to claim 1, wherein in the purge step, the exhaust gas is supplied into a reaction chamber heated to a predetermined temperature and activated. Method. 前記パージ工程では、前記反応室内を600℃〜1050℃に昇温する、ことを特徴とする請求項5に記載の薄膜形成装置の洗浄方法。   6. The thin film forming apparatus cleaning method according to claim 5, wherein, in the purge step, the temperature in the reaction chamber is raised to 600 to 1050.degree. 前記装置内部の材料が石英である、ことを特徴とする請求項1乃至6のいずれか1項に記載の薄膜形成装置の洗浄方法。   The thin film forming apparatus cleaning method according to claim 1, wherein the material inside the apparatus is quartz. 請求項1乃至7のいずれか1項に記載の薄膜形成装置の洗浄方法により薄膜形成装置を洗浄する洗浄工程と、
被処理体を収容する反応室内を所定の温度に昇温し、当該昇温した反応室内に処理ガスを供給して被処理体に薄膜を形成する成膜工程と、
を備える、ことを特徴とする薄膜形成方法。
A cleaning step of cleaning the thin film forming apparatus by the cleaning method of the thin film forming apparatus according to any one of claims 1 to 7,
A film forming step of heating the reaction chamber containing the object to be processed to a predetermined temperature, supplying a processing gas into the heated reaction chamber, and forming a thin film on the object to be processed;
A thin film forming method characterized by comprising:
被処理体を収容する反応室内に処理ガスを供給して被処理体に薄膜を形成する薄膜形成装置であって、
前記反応室内に、活性化可能な排気用ガスを供給する排気用ガス供給手段と、
前記排気用ガスを活性化させる活性化手段と、
前記活性化手段を制御して前記排気用ガスを活性化させて、そのラジカルを生成し、生成したラジカルにより、装置内部の材料中に含まれるフッ素を前記材料中から除去するフッ素除去手段と、
を備える、ことを特徴とする薄膜形成装置。
A thin film forming apparatus that forms a thin film on a target object by supplying a processing gas into a reaction chamber that houses the target object,
An exhaust gas supply means for supplying an activatable exhaust gas into the reaction chamber;
Activating means for activating the exhaust gas;
Fluorine removing means for controlling the activating means to activate the exhaust gas, generating radicals thereof, and removing fluorine contained in the material inside the apparatus from the materials by the generated radicals;
A thin film forming apparatus comprising:
前記被処理体への薄膜形成により装置内部に付着した付着物を除去可能なクリーニングガスを、前記反応室内に供給するクリーニングガス供給手段と、
前記クリーニングガス供給手段を制御して、装置内部に付着した付着物を除去する付着物除去手段と、をさらに備え、
前記フッ素除去手段は、前記付着物除去手段により装置内部に付着した付着物が除去された後、前記活性化手段を制御して前記材料中からフッ素を除去する、ことを特徴とする請求項9に記載の薄膜形成装置。
Cleaning gas supply means for supplying a cleaning gas capable of removing deposits attached to the inside of the apparatus by forming a thin film on the object to be processed into the reaction chamber;
A deposit removing means for controlling the cleaning gas supply means to remove deposits adhering to the inside of the apparatus;
10. The fluorine removing means controls the activating means to remove fluorine from the material after the deposit attached to the inside of the apparatus is removed by the deposit removing means. The thin film forming apparatus described in 1.
前記クリーニングガスはフッ素を含むガスである、ことを特徴とする請求項10に記載の薄膜形成装置。   The thin film forming apparatus according to claim 10, wherein the cleaning gas is a gas containing fluorine. 前記排気用ガスは、酸素と水素とを含むガスである、ことを特徴とする請求項9乃至11のいずれか1項に記載の薄膜形成装置。   The thin film forming apparatus according to claim 9, wherein the exhaust gas is a gas containing oxygen and hydrogen. 前記活性化手段は、前記反応室内を600℃〜1050℃に昇温する加熱手段である、ことを特徴とする請求項9乃至12のいずれか1項に記載の薄膜形成装置。   13. The thin film forming apparatus according to claim 9, wherein the activating unit is a heating unit configured to raise the temperature in the reaction chamber to 600 ° C. to 1050 ° C. 13. 前記活性化手段は、プラズマ発生手段、光分解手段、または、触媒活性化手段である、ことを特徴とする請求項9乃至12のいずれか1項に記載の薄膜形成装置。   13. The thin film forming apparatus according to claim 9, wherein the activating means is a plasma generating means, a photolysis means, or a catalyst activating means. 被処理体を収容する反応室内に処理ガスを供給して被処理体に薄膜を形成する薄膜形成装置として機能させるためのプログラムであって、
コンピュータを、
前記反応室内に、活性化可能な排気用ガスを供給する排気用ガス供給手段、
前記排気用ガスを活性化させる活性化手段、
前記活性化手段を制御して前記排気用ガスを活性化させて、そのラジカルを生成し、生成したラジカルにより、装置内部の材料中に含まれるフッ素を前記材料中から除去するフッ素除去手段、
として機能させるためのプログラム。
A program for supplying a processing gas into a reaction chamber containing a target object to function as a thin film forming apparatus for forming a thin film on the target object,
Computer
An exhaust gas supply means for supplying an activatable exhaust gas into the reaction chamber;
Activating means for activating the exhaust gas;
A fluorine removing means for controlling the activating means to activate the exhaust gas to generate radicals thereof, and to remove fluorine contained in the material inside the apparatus from the materials by the generated radicals;
Program to function as.
JP2007128534A 2007-05-14 2007-05-14 Cleaning method for thin film forming apparatus, thin film forming method, and thin film forming apparatus Pending JP2008283148A (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2007128534A JP2008283148A (en) 2007-05-14 2007-05-14 Cleaning method for thin film forming apparatus, thin film forming method, and thin film forming apparatus
TW097116367A TWI424105B (en) 2007-05-14 2008-05-02 Film formation apparatus and method for using the same
US12/149,537 US8080109B2 (en) 2007-05-14 2008-05-02 Film formation apparatus and method for using the same
CN2008100964529A CN101311336B (en) 2007-05-14 2008-05-09 Film formation apparatus and method for using the same
KR1020080043927A KR101139078B1 (en) 2007-05-14 2008-05-13 Film formation apparatus and method for using the same, and computer readable medium

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2007128534A JP2008283148A (en) 2007-05-14 2007-05-14 Cleaning method for thin film forming apparatus, thin film forming method, and thin film forming apparatus

Publications (1)

Publication Number Publication Date
JP2008283148A true JP2008283148A (en) 2008-11-20

Family

ID=40143680

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007128534A Pending JP2008283148A (en) 2007-05-14 2007-05-14 Cleaning method for thin film forming apparatus, thin film forming method, and thin film forming apparatus

Country Status (1)

Country Link
JP (1) JP2008283148A (en)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101814431A (en) * 2009-02-19 2010-08-25 东京毅力科创株式会社 Method for using apparatus configured to form germanium-containing film
JP2010219308A (en) * 2009-03-17 2010-09-30 Hitachi Kokusai Electric Inc Manufacturing method of semiconductor device and substrate processing device
JP2012238885A (en) * 2012-07-30 2012-12-06 Tokyo Electron Ltd Thermal treatment apparatus operation method
JP2015192063A (en) * 2014-03-28 2015-11-02 東京エレクトロン株式会社 Cleaning method of amorphous silicon film formation device, formation method of amorphous silicon film and amorphous silicon film formation device
US9388496B2 (en) 2014-01-28 2016-07-12 Tokyo Electron Limited Method for depositing a film on a substrate, and film deposition apparatus
US10413946B2 (en) 2015-07-09 2019-09-17 Samsung Electronics Co., Ltd. Furnace-type semiconductor apparatus, method of cleaning the same, and method of forming thin film using the same
CN113451110A (en) * 2020-03-24 2021-09-28 株式会社国际电气 Method for manufacturing semiconductor device, substrate processing apparatus, and recording medium

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09249976A (en) * 1996-02-16 1997-09-22 Novellus Syst Inc Substrate treating device and chemical vapor deposition device cleaning method
JP2003077839A (en) * 2001-08-30 2003-03-14 Toshiba Corp Purging method of semiconductor-manufacturing apparatus and manufacturing method of semiconductor device
JP2004311929A (en) * 2003-03-25 2004-11-04 Tokyo Electron Ltd Cleaning method for thin film forming apparatus, thin film forming method, and thin film forming apparatus
WO2006082724A1 (en) * 2005-02-02 2006-08-10 Tokyo Electron Limited Method for cleaning and method for plasma treatment

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09249976A (en) * 1996-02-16 1997-09-22 Novellus Syst Inc Substrate treating device and chemical vapor deposition device cleaning method
JP2003077839A (en) * 2001-08-30 2003-03-14 Toshiba Corp Purging method of semiconductor-manufacturing apparatus and manufacturing method of semiconductor device
JP2004311929A (en) * 2003-03-25 2004-11-04 Tokyo Electron Ltd Cleaning method for thin film forming apparatus, thin film forming method, and thin film forming apparatus
WO2006082724A1 (en) * 2005-02-02 2006-08-10 Tokyo Electron Limited Method for cleaning and method for plasma treatment

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101814431A (en) * 2009-02-19 2010-08-25 东京毅力科创株式会社 Method for using apparatus configured to form germanium-containing film
JP2010192757A (en) * 2009-02-19 2010-09-02 Tokyo Electron Ltd Method of operating heat treatment device
JP2010219308A (en) * 2009-03-17 2010-09-30 Hitachi Kokusai Electric Inc Manufacturing method of semiconductor device and substrate processing device
JP2012238885A (en) * 2012-07-30 2012-12-06 Tokyo Electron Ltd Thermal treatment apparatus operation method
US9388496B2 (en) 2014-01-28 2016-07-12 Tokyo Electron Limited Method for depositing a film on a substrate, and film deposition apparatus
JP2015192063A (en) * 2014-03-28 2015-11-02 東京エレクトロン株式会社 Cleaning method of amorphous silicon film formation device, formation method of amorphous silicon film and amorphous silicon film formation device
US10413946B2 (en) 2015-07-09 2019-09-17 Samsung Electronics Co., Ltd. Furnace-type semiconductor apparatus, method of cleaning the same, and method of forming thin film using the same
CN113451110A (en) * 2020-03-24 2021-09-28 株式会社国际电气 Method for manufacturing semiconductor device, substrate processing apparatus, and recording medium
US20210301396A1 (en) * 2020-03-24 2021-09-30 Kokusai Electric Corporation Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium

Similar Documents

Publication Publication Date Title
JP4974815B2 (en) Thin film forming apparatus cleaning method, thin film forming method, and thin film forming apparatus
JP4607637B2 (en) Silicon nitride film forming method, silicon nitride film forming apparatus and program
JP5008957B2 (en) Silicon nitride film forming method, forming apparatus, forming apparatus processing method, and program
JP5113705B2 (en) Thin film forming apparatus cleaning method, thin film forming method, thin film forming apparatus, and program
JP5524132B2 (en) Thin film forming apparatus cleaning method, thin film forming method, and thin film forming apparatus
JP4675127B2 (en) Thin film forming apparatus, thin film forming apparatus cleaning method and program
JP4640800B2 (en) Processing method of processing object, processing apparatus, thin film forming method, thin film forming apparatus, and program
JP4916257B2 (en) Oxide film forming method, oxide film forming apparatus and program
JP4990594B2 (en) Gas supply apparatus, gas supply method, thin film forming apparatus cleaning method, thin film forming method, and thin film forming apparatus
JP2006351689A (en) Forming method of silicon oxide film, forming apparatus of silicon oxide film, and program
JP5554469B2 (en) Thin film forming apparatus cleaning method, thin film forming method, and thin film forming apparatus
KR101139078B1 (en) Film formation apparatus and method for using the same, and computer readable medium
JP4918453B2 (en) Gas supply apparatus and thin film forming apparatus
JP2009283587A (en) Formation method and formation device of silicon nitrocarbide film
JP4786495B2 (en) Thin film forming apparatus cleaning method, thin film forming method, thin film forming apparatus, and program
JP2008283148A (en) Cleaning method for thin film forming apparatus, thin film forming method, and thin film forming apparatus
JP2014127627A (en) Cleaning method of thin film deposition apparatus, thin film deposition method, thin film deposition apparatus, and program
JP2006114780A (en) Thin film formation device, washing method thereof and program
JP5700538B2 (en) Thin film forming apparatus cleaning method, thin film forming method, and thin film forming apparatus
JP2005317920A (en) Thin film deposition system, cleaning method thereof and program
JP5571233B2 (en) Thin film forming apparatus cleaning method, thin film forming method, and thin film forming apparatus
JP5918423B2 (en) Thin film forming apparatus cleaning method, thin film forming method, and thin film forming apparatus
JP2010050270A (en) Method for cleaning thin-film forming device, thin-film forming method, thin-film forming device, and program
JP4312198B2 (en) Thin film forming apparatus cleaning method, thin film forming apparatus, and program
JP5546994B2 (en) Processing method of processing object, processing apparatus, thin film forming method, thin film forming apparatus, and program

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100122

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100603

A131 Notification of reasons for refusal

Effective date: 20120522

Free format text: JAPANESE INTERMEDIATE CODE: A131

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120712

A02 Decision of refusal

Effective date: 20121002

Free format text: JAPANESE INTERMEDIATE CODE: A02