JP2008257166A - Resist material and patterning process using the same - Google Patents

Resist material and patterning process using the same Download PDF

Info

Publication number
JP2008257166A
JP2008257166A JP2007240475A JP2007240475A JP2008257166A JP 2008257166 A JP2008257166 A JP 2008257166A JP 2007240475 A JP2007240475 A JP 2007240475A JP 2007240475 A JP2007240475 A JP 2007240475A JP 2008257166 A JP2008257166 A JP 2008257166A
Authority
JP
Japan
Prior art keywords
group
resist
resist material
carbon atoms
bis
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2007240475A
Other languages
Japanese (ja)
Other versions
JP4900603B2 (en
Inventor
Jun Hatakeyama
畠山  潤
Wataru Kusaki
渉 草木
Takao Yoshihara
隆夫 吉原
Yuji Harada
裕次 原田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Shin Etsu Chemical Co Ltd
Original Assignee
Shin Etsu Chemical Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Shin Etsu Chemical Co Ltd filed Critical Shin Etsu Chemical Co Ltd
Priority to JP2007240475A priority Critical patent/JP4900603B2/en
Publication of JP2008257166A publication Critical patent/JP2008257166A/en
Application granted granted Critical
Publication of JP4900603B2 publication Critical patent/JP4900603B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Abstract

<P>PROBLEM TO BE SOLVED: To provide a resist material to be used for immersion lithography of microfabrication in the manufacturing process of a semiconductor device, or the like, and a resist pattern forming process using the same. <P>SOLUTION: The resist material comprises a high molecular compound used as a base resin, whose alkali solubility is improved by an acid and a high molecular compound prepared by copolymerizing a repeating unit having a naphthyl group and a repeating unit having a fluorine atom as a polymer additive. A photoresist film formed using the resist material is surface-hydrophilized so that the occurrence of blob defects on the resist film after development can be prevented. Mixing with a resist protective film for immersion exposure can be prevented to prevent degradation of pattern profile, and faulty patterning, induced by liquid droplets remaining on the film surface, can also be suppressed. Thus, when the resist material is used, cost in immersion lithography is reduced and a fine pattern with few defects can be formed with high accuracy. <P>COPYRIGHT: (C)2009,JPO&INPIT

Description

本発明は、半導体素子等の製造工程における微細加工、例えば波長193nmのArFエキシマレーザーを光源とし、投影レンズとウエハーの間に水を挿入する液浸フォトリソグラフィーで用いるレジスト材料、及びこれを用いたレジストパターンの形成方法に関するものである。   The present invention uses a resist material used in immersion photolithography in which fine processing in a manufacturing process of a semiconductor element or the like, for example, an ArF excimer laser having a wavelength of 193 nm is used as a light source, and water is inserted between a projection lens and a wafer, and the same. The present invention relates to a resist pattern forming method.

近年、LSIの高集積化と高速度化に伴い、パターンルールの微細化が求められている中、現在汎用技術として用いられている光露光では、光源の波長に由来する本質的な解像度の限界に近づきつつある。   In recent years, with the higher integration and higher speed of LSIs, there is a demand for finer pattern rules. In light exposure currently used as a general-purpose technology, the intrinsic resolution limit derived from the wavelength of the light source Is approaching.

これまでレジストパターン形成の際に使用する露光光として、水銀灯のg線(436nm)もしくはi線(365nm)を光源とする光露光が広く用いられた。そして、更なる微細化のための手段として、露光波長を短波長化する方法が有効とされ、64Mビット(加工寸法が0.25μm以下)DRAM(ダイナミック・ランダム・アクセス・メモリー)以降の量産プロセスには、露光光源としてi線(365nm)に代わって短波長のKrFエキシマレーザー(248nm)が利用された。   Conventionally, light exposure using a g-ray (436 nm) or i-line (365 nm) of a mercury lamp as a light source has been widely used as exposure light used in forming a resist pattern. As a means for further miniaturization, a method of shortening the exposure wavelength is effective, and a mass production process after 64 Mbit (process size is 0.25 μm or less) DRAM (Dynamic Random Access Memory). In this case, a KrF excimer laser (248 nm) having a short wavelength was used as an exposure light source instead of i-line (365 nm).

しかし、更に微細な加工技術(加工寸法が0.2μm以下)を必要とする集積度256M及び1G以上のDRAMの製造には、より短波長の光源が必要とされ、10年ほど前からArFエキシマレーザー(193nm)を用いたフォトリソグラフィーが本格的に検討されてきた。   However, in order to manufacture DRAMs with a density of 256M and 1G or more that require finer processing technology (processing dimensions of 0.2 μm or less), a light source with a shorter wavelength is required, and an ArF excimer has been used for about 10 years. Photolithography using a laser (193 nm) has been studied in earnest.

当初ArFリソグラフィーは180nmノードのデバイス作製から適用されるはずであったが、KrFエキシマリソグラフィーは130nmノードデバイス量産まで延命され、ArFリソグラフィーの本格適用は90nmノードからである。更に、NAを0.9にまで高めたレンズと組み合わせて65nmノードデバイスの検討が行われている。   At first, ArF lithography was supposed to be applied from the device fabrication of the 180 nm node, but KrF excimer lithography was extended to 130 nm node device mass production, and full-scale application of ArF lithography is from the 90 nm node. Further, a 65 nm node device is being studied in combination with a lens whose NA is increased to 0.9.

次の45nmノードデバイスには露光波長の短波長化が推し進められ、波長157nmのF2リソグラフィーが候補に挙がった。しかしながら、投影レンズに高価なCaF2単結晶を大量に用いることによるスキャナーのコストアップ、ソフトペリクルの耐久性が極めて低いためのハードペリクル導入に伴う光学系の変更、レジストのエッチング耐性低下等の種々の問題により、F2リソグラフィーの先送りと、ArF液浸リソグラフィーの早期導入が提唱された(非特許文献1:Proc. SPIE Vol. 4690 xxix参照)。 For the next 45 nm node device, the exposure wavelength has been shortened, and F 2 lithography with a wavelength of 157 nm was nominated. However, various factors such as an increase in the cost of the scanner by using a large amount of expensive CaF 2 single crystal for the projection lens, a change in the optical system due to the introduction of a hard pellicle because the durability of the soft pellicle is extremely low, and a reduction in resist etching resistance Due to the above problems, F 2 lithography was postponed and early introduction of ArF immersion lithography was proposed (see Non-Patent Document 1: Proc. SPIE Vol. 4690 xxix).

ArF液浸リソグラフィーにおいて、投影レンズとウエハーの間に水を含浸させることが提案されている。193nmにおける水の屈折率は1.44であり、NA1.0以上のレンズを使ってもパターン形成が可能で、理論上はNAを1.35にまで上げることができる。NAの向上分だけ解像力が向上し、NA1.2以上のレンズと強い超解像技術の組み合わせで45nmノードの可能性が示されている(非特許文献2:Proc. SPIE Vol. 5040 p724参照)。   In ArF immersion lithography, it has been proposed to impregnate water between the projection lens and the wafer. The refractive index of water at 193 nm is 1.44, and it is possible to form a pattern using a lens having an NA of 1.0 or more. Theoretically, the NA can be increased to 1.35. The resolution is improved by the improvement of NA, and the possibility of a 45 nm node is shown by a combination of a lens of NA 1.2 or higher and strong super-resolution technology (see Non-Patent Document 2: Proc. SPIE Vol. 5040 p724). .

ここで、レジスト膜の上に水が存在することによる様々な問題が指摘された。即ち、レジスト組成物中の光酸発生剤や、光照射により発生した酸、クエンチャーとしてレジスト膜に添加されているアミン化合物が接触している水に溶出してしまうこと(リーチング)によるパターン形状変化、フォトレジスト膜の水膨潤によるパターン倒れ等が挙げられる。
特に、レジスト組成物の水への溶出については、当初は露光装置の投影レンズへの汚染防止の観点から検討が開始され、複数の露光装置メーカーより溶出量規格が提案された。
この問題を解決する方法として、レジスト膜と水との間にパーフルオロアルキル化合物からなる保護膜を設けることが有効であることが提案されている(非特許文献3:2nd Immersion Work Shop, July 11, 2003, Resist and Cover Material Investigation for Immersion Lithography参照)。
これらの保護膜を形成することで、フォトレジスト膜と水との直接的な接触を避けることができるため、フォトレジスト組成物の水への溶出を抑えることが可能となる。
Here, various problems due to the presence of water on the resist film have been pointed out. In other words, the photo acid generator in the resist composition, the acid generated by light irradiation, and the amine compound added to the resist film as a quencher elutes in the water in contact (leaching), resulting in a pattern shape Examples thereof include changes and pattern collapse due to water swelling of the photoresist film.
In particular, with regard to elution of the resist composition into water, studies were initially started from the viewpoint of preventing contamination of the projection lens of the exposure apparatus, and a plurality of exposure apparatus manufacturers proposed elution amount standards.
As a method for solving this problem, it has been proposed that it is effective to provide a protective film made of a perfluoroalkyl compound between a resist film and water (Non-Patent Document 3: 2nd Immersion Work Shop, July 11). , 2003, Resist and Cover Material Investigation for Immersion Lithography).
By forming these protective films, direct contact between the photoresist film and water can be avoided, so that elution of the photoresist composition into water can be suppressed.

しかし、上記パーフルオロアルキル化合物からなる保護膜は、塗布膜厚を制御するための希釈液にはフロン等が用いられており、周知の通りフロンは現在環境保全の観点からその使用が問題となっている。また、フォトレジスト膜の現像前に、この保護膜をフロンで剥離しなければならないため、従来装置に保護膜専用の塗布、及び剥離用ユニットを増設しなければならないことや、フロン系溶剤のコストがかさむこと等実用面での問題が大きかった。   However, in the protective film made of the perfluoroalkyl compound, chlorofluorocarbon or the like is used as a diluting liquid for controlling the coating film thickness. As is well known, chlorofluorocarbon is currently problematic for use from the viewpoint of environmental protection. ing. In addition, since this protective film must be peeled off with chlorofluorocarbon before developing the photoresist film, it is necessary to add a dedicated coating and peeling unit for the protective film to the conventional device, and the cost of chlorofluorocarbon solvents. There were many problems in practical use, such as being voluminous.

これら溶剤剥離型の保護膜使用に伴う実用面での欠点を軽減する手段として、アルカリ現像液可溶型の保護膜が提案されている(特許文献1:特開2005−264131号公報参照)。   As means for reducing practical disadvantages associated with the use of these solvent-peeling protective films, alkali developer-soluble protective films have been proposed (see Japanese Patent Application Laid-Open No. 2005-264131).

このようなアルカリ現像液可溶型の保護膜は、フォトレジスト膜の現像工程で同時に溶解除去ができるため、保護膜剥離工程の追加や専用の剥離ユニットを必要としないという点で画期的であるといえる。   Such an alkaline developer-soluble protective film can be dissolved and removed at the same time in the development process of the photoresist film, which is revolutionary in that it does not require an additional protective film peeling process or a special peeling unit. It can be said that there is.

一方、現在までに上市されているArF液浸露光装置は、いずれもレジスト膜の塗布された基板全体を水中に浸漬するのではなく、投影レンズとウエハーとの間に部分的に水を保持し、ウエハーの載ったステージを300〜550mm毎秒の速度で走査しながら露光する方式となっている。このように高速な走査により、投影レンズとウエハーとの間に水を保持していることができず、走査後のフォトレジスト表面又は保護膜表面に液滴を残してしまうという問題が生じている。このように液滴を残すとパターン形成不良を誘発すると考えられている。   On the other hand, all ArF immersion exposure apparatuses marketed to date do not immerse the entire substrate coated with a resist film in water, but hold water partially between the projection lens and the wafer. In this method, exposure is performed while scanning the stage on which the wafer is placed at a speed of 300 to 550 mm per second. Thus, due to high-speed scanning, water cannot be held between the projection lens and the wafer, and there is a problem that droplets remain on the surface of the photoresist or protective film after scanning. . It is believed that leaving the droplets in this way induces pattern formation defects.

露光走査後のフォトレジスト表面又は保護膜表面への液滴残りを解消するためには、これらの塗布膜上での水の動き易さを改善する必要がある。液浸露光による欠陥数を減少させるためには、フォトレジスト膜又は保護膜上の水滴を移動させた時の後退接触角を高くすることが有効であることが示されている(非特許文献4:2nd International Symposium on Immersion Lithography, 12−15/Sept.,2005, Defectivity data taken with a full−field immersion exposure tool, Nakano et., al.参照)。後退接触角の測定方法としては、基板を傾ける転落法と水を吸い込む吸引法が挙げられるが、転落法が一般的に用いられている。   In order to eliminate residual droplets on the photoresist surface or the protective film surface after exposure scanning, it is necessary to improve the ease of movement of water on these coating films. In order to reduce the number of defects due to immersion exposure, it has been shown that it is effective to increase the receding contact angle when water droplets on the photoresist film or the protective film are moved (Non-Patent Document 4). : 2nd International Symposium on Immersion Lithography, 12-15 / Sept., 2005, Defective data take with the full-field immersion exp., Et al. As a method for measuring the receding contact angle, there are a falling method of tilting the substrate and a suction method of sucking water, and the falling method is generally used.

現像後のレジスト膜上に発生するブロッブと呼ばれる残渣欠陥が問題となっている。これは、現像後のリンス時に析出した保護膜あるいはレジスト材料がレジスト膜上に再付着したものと考えられており、現像後のレジスト膜の疎水性が高い場合に顕著に発生する。保護膜を用いた液浸リソグラフィー用のレジストにおいて、保護膜とレジスト膜との混合によって、現像後のレジスト膜表面に疎水性の高い保護膜が残存し、レジスト膜上にブロッブ欠陥が発生する。現像後に保護膜が残らないように、保護膜とレジスト膜とのミキシングを防いでやる必要がある。   Residual defects called blobs occurring on the resist film after development have been a problem. This is considered that the protective film or resist material deposited at the time of rinsing after development is redeposited on the resist film, and is remarkably generated when the resist film after development has high hydrophobicity. In a resist for immersion lithography using a protective film, a protective film with high hydrophobicity remains on the resist film surface after development due to mixing of the protective film and the resist film, and a blob defect occurs on the resist film. It is necessary to prevent mixing between the protective film and the resist film so that the protective film does not remain after development.

現像後の親水性を向上させるアルカリ溶解性基としては、フェノール性水酸基を有するヒドロキシスチレンを共重合したKrFレジストが実績として挙げられる。フェノール基は波長193nmに極めて強い吸収を持つために用いることができないが、代わりに特開2002−107933号公報(特許文献2)で示されているように、ナフトール基を用いることができる。
ここで、マスクブランクス用のフォトレジスト材料において、長時間の真空中露光において感度が変化することが問題となっている。また、塗布後数ヶ月ほどの長期の安定性が求められている。
Examples of the alkali-soluble group that improves the hydrophilicity after development include a KrF resist obtained by copolymerizing hydroxystyrene having a phenolic hydroxyl group. A phenol group cannot be used because it has extremely strong absorption at a wavelength of 193 nm. Instead, a naphthol group can be used as disclosed in JP-A-2002-107933 (Patent Document 2).
Here, in the photoresist material for mask blanks, it has been a problem that the sensitivity changes during long-time exposure in vacuum. In addition, long-term stability of about several months after application is required.

真空中の安定性の向上に対しては、酸不安定基のアセタールと3級エステルを組み合わせることによる改善方法が示されている(特許文献3:特開2006−48029号公報参照)。一方、レジスト材料の塗布後長期間の放置でアミン成分がレジスト膜表面に吸着することによって感度や形状が変動すると考えられ、塗布後の安定性向上のためにはフォトレジスト材料を塗布したマスクブランクスを保管するケース自体にアミン除去フィルターを装着する方法や、レジスト膜表面に保護膜を形成する方法、レジスト膜の表面改質によってアミン吸着を防止する方法が考えられる。   For improving the stability in vacuum, an improvement method by combining an acetal of an acid labile group and a tertiary ester has been shown (see Patent Document 3: JP-A-2006-48029). On the other hand, it is considered that the sensitivity and shape fluctuate due to the amine component adsorbing on the resist film surface after standing for a long time after application of the resist material. To improve the stability after application, mask blanks coated with a photoresist material are considered. A method of attaching an amine removing filter to the case itself for storing the material, a method of forming a protective film on the resist film surface, and a method of preventing amine adsorption by surface modification of the resist film are conceivable.

波長13.5nmの真空紫外線(EUV)リソグラフィーにおける露光中フォトレジスト膜から発生するアウトガスが光学系の反射ミラーや反射型マスクに吸着して、反射率が低下する問題が指摘されている。フォトレジストベースポリマーの酸不安定基の脱保護反応における活性化エネルギーを上げたり、酸不安定基をバルキーなものに変えて脱保護後の酸不安定基の膜からの蒸散を防いだり、酸発生剤(PAG)をポリマー化したりする検討が行われているが、未だ十分ではない。   It has been pointed out that outgas generated from a photoresist film during exposure in vacuum ultraviolet (EUV) lithography with a wavelength of 13.5 nm is adsorbed to a reflection mirror or a reflective mask of an optical system, resulting in a decrease in reflectance. Increase the activation energy in the deprotection reaction of acid labile groups in photoresist-based polymers, or change acid labile groups to bulky to prevent evaporation of acid labile groups from the film after deprotection, Although studies have been made to polymerize the generator (PAG), it is still not sufficient.

基板がSi基板等の反射が高い基板の場合、ArFエキシマレーザー等のリソグラフィーを行うと、入射光と基板からの反射光が重なり合って定在波が発生する。垂直入射光の場合、低在波の発生によりパターン側壁にλ/2n(λ:露光波長、n膜の屈折率)の周期的な凹凸が発生し、段差上等でレジスト層の膜厚が変動すると寸法が変動する。低在波を抑えるためにレジスト上層(ARCOR法、特開昭62−62520、特開昭62−62521、特開昭60−38821号公報:特許文献4〜6)、あるいは下層(BARC法、特開昭62−159143号公報:特許文献7)に反射防止膜を設ける。レジスト下層の反射防止膜は定在波低減に非常に効果的であるが、イオン打ち込み(イオンプランテーション)工程等では現像後のレジストパターンのスペース部分に覗いた基板上にイオンを打ち込むために、レジストスペースパターン上にレジスト下層反射防止膜が存在すると、下層反射防止膜上で打ち込まれたイオンが停止してしまい、基板にイオンをドーピングすることができない。   When the substrate is a highly reflective substrate such as a Si substrate, when a lithography such as an ArF excimer laser is performed, the incident light and the reflected light from the substrate overlap to generate a standing wave. In the case of vertical incident light, the occurrence of a low standing wave causes periodic irregularities of λ / 2n (λ: exposure wavelength, refractive index of the n film) on the pattern sidewall, and the film thickness of the resist layer fluctuates on the level difference etc. Then the dimensions change. In order to suppress low standing waves, a resist upper layer (ARCOR method, JP-A-62-62520, JP-A-62-62521, JP-A-60-38821: Patent Documents 4 to 6), or lower layer (BARC method, special Japanese Laid-Open Patent Publication No. 62-159143: Patent Document 7) is provided with an antireflection film. The anti-reflective coating under the resist is very effective in reducing the standing wave. However, in the ion implantation process, the resist is used to implant ions onto the substrate viewed through the space portion of the resist pattern after development. When the resist lower layer antireflection film exists on the space pattern, ions implanted on the lower layer antireflection film stop, and the substrate cannot be doped with ions.

一方、レジスト上層反射防止膜プロセスは基盤上に直接レジスト層を塗布することが可能であるためにイオン打ち込み工程に用いることができる。レジスト上層反射防止膜は、基板と投影レンズの間が大気又は窒素等のドライ露光の場合はレジスト層の屈折率の平方根の低屈折率材料の膜を所定の膜厚で設けることによって定在波を打ち消すことができる。但し、この様な理想的な低屈折率の材料がないため、レジスト上層反射防止膜は下層反射防止膜ほどの定在波低減効果はない。低在波を抑える最も古典的な手法としては、レジスト層に吸収剤を添加する方法である。この方法は、レジスト上層あるいは下層の反射防止膜を必要としないために最もシンプルかつ安価なプロセスであり好適である。但しこの方法では吸光剤がレジスト膜の深さ方向に対して均一に分布するために、吸収の影響で基板面に近いほど光エネルギーが弱くなるために、現像後のレジストパターンがテーパー形状になったり、膜減り形状になったりしてパターン形状が劣化する問題点がある。   On the other hand, the resist upper layer antireflection film process can be used for the ion implantation process because the resist layer can be applied directly on the substrate. The resist anti-reflection film is a standing wave by providing a film of a low refractive index material with a predetermined thickness at the square root of the refractive index of the resist layer in the case of dry exposure such as air or nitrogen between the substrate and the projection lens. Can be countered. However, since there is no material with such an ideal low refractive index, the resist upper layer antireflection film is not as effective in reducing the standing wave as the lower layer antireflection film. The most classic method for suppressing low standing waves is to add an absorbent to the resist layer. This method is the simplest and cheapest process because it does not require an anti-reflection film on the upper layer or the lower layer of the resist, and is suitable. However, in this method, since the light absorber is distributed uniformly in the depth direction of the resist film, the light energy becomes weaker closer to the substrate surface due to the absorption, so that the resist pattern after development has a tapered shape. There is a problem that the pattern shape deteriorates due to a reduced film shape.

レジスト上層反射防止膜に吸収を持たせることによって、反射防止効果が高まることは半導体・集積回路技術第45回シンポジウム講演論文集p62(非特許文献5)に述べられている。これによると、光吸収のあるレジスト上層反射防止膜を使えばレジスト層の平方根よりも大きな値でも十分な反射防止効果を達成することができる。そこで、芳香族系ポリマーを使って屈折率を下げ、吸収を持たせた反射防止膜が提案されている(非特許文献6:Proc. SPIE Vol. 6153−28 New 193−nm top antireflective coatings for superior swing reduction(2006))。   It has been described in the semiconductor / integrated circuit technology 45th symposium lecture collection p62 (Non-patent Document 5) that the resist antireflection film has an absorption to enhance the antireflection effect. According to this, if a resist upper layer antireflection film having light absorption is used, a sufficient antireflection effect can be achieved even with a value larger than the square root of the resist layer. Therefore, an antireflection film in which the refractive index is lowered by using an aromatic polymer to provide absorption has been proposed (Non-Patent Document 6: Proc. SPIE Vol. 6153-28 New 193-nm top anti-reflective coatings for superior. swing reduction (2006)).

特開2005−264131号公報JP 2005-264131 A 特開2002−107933号公報JP 2002-107933 A 特開2006−48029号公報JP 2006-48029 A 特開昭62−62520号公報JP-A-62-62520 特開昭62−62521号公報JP-A-62-62521 特開昭60−38821号公報JP 60-38821 A 特開昭62−159143号公報JP 62-159143 A Proc. SPIE Vol. 4690 xxixProc. SPIE Vol. 4690 xxix Proc. SPIE Vol. 5040 p724Proc. SPIE Vol. 5040 p724 2nd Immersion Work Shop, July 11, 2003, Resist and Cover Material Investigation for Immersion Lithography2nd Immersion Work Shop, July 11, 2003, Resist and Cover Material Investing for Immersion Lithography 2nd International Symposium on Immersion Lithography, 12−15/Sept.,2005, Defectivity data taken with a full−field immersion exposure tool, Nakano et., al.2nd International Symposium on Immersion Lithography, 12-15 / Sept. , 2005, Defectivity data take with a full-field immersion exposure tool, Nakano et. , Al. 半導体・集積回路技術第45回シンポジウム講演論文集p62Proceedings of the 45th Symposium on Semiconductor and Integrated Circuit Technology p62 Proc. SPIE Vol. 6153−28 New 193−nm top antireflective coatings for superior swing reduction(2006)Proc. SPIE Vol. 6153-28 New 193-nm top antireflective coatings for superior swing reduction (2006)

本発明はこのような問題点に鑑みてなされたもので、ナフトール基を有するポリマー型のフッ素系界面活性剤を添加することによって、塗布後のフォトレジスト表面にナフチル基を有する層を形成し、これによってその上に保護膜層を形成した時のレジスト膜と保護膜とのミキシング層の発生を抑え、露光現像後のレジスト表面の親水性を高めることによってブロッブ欠陥の発生を抑えることができるレジスト材料、特に塗布後の長期安定性と真空中の露光安定性に優れたマスクブランクスレジスト材料、真空中のアウトガスの発生を低減したEUVレジスト材料及びこのような材料を用いたパターン形成方法を提供することを目的とする。   The present invention has been made in view of such problems, and by adding a polymer type fluorosurfactant having a naphthol group, a layer having a naphthyl group is formed on the coated photoresist surface, Resist that can suppress the generation of blob defects by suppressing the generation of the mixing layer of the resist film and the protective film when the protective film layer is formed thereon, and increasing the hydrophilicity of the resist surface after exposure and development There are provided a material, particularly a mask blank resist material excellent in long-term stability after application and exposure stability in vacuum, an EUV resist material in which generation of outgas in vacuum is reduced, and a pattern forming method using such a material. For the purpose.

本発明は、上記課題を解決するためになされたもので、酸によってアルカリ溶解性が向上するベース樹脂となる高分子化合物と、高分子添加剤としてナフチル基を有する繰り返し単位と少なくとも1個のフッ素原子を有する繰り返し単位とを共重合した高分子化合物とを含むことを特徴とするレジスト材料を提供するものである(請求項1)。   The present invention has been made in order to solve the above-described problems, and includes a polymer compound serving as a base resin whose alkali solubility is improved by an acid, a repeating unit having a naphthyl group as a polymer additive, and at least one fluorine. The present invention provides a resist material comprising a polymer compound copolymerized with a repeating unit having an atom (claim 1).

また、ナフチル基を有する繰り返し単位と少なくとも1個のフッ素原子を有する繰り返し単位とを共重合した高分子化合物が、下記一般式(1)で示されるものであることを特徴とするレジスト材料(請求項2)が提供される。

Figure 2008257166

(式中、R1、R3、R6はそれぞれ独立に水素原子又はメチル基を示す。R2、X2はそれぞれ独立に単結合、−O−、−C(=O)−O−R8−又は−C(=O)−NH−R8−であり、R8は単結合、又は炭素数1〜4の直鎖状又は分岐状のアルキレン基であり、エステル基又はエーテル基を有していてもよく、更にR2は、エチレントリイル基であってもよい。nは1又は2であり、n=1の場合、X1は単結合、−O−、−C(=O)−O−R8−又は−C(=O)−NH−R8−であり、R8は単結合、又は炭素数1〜4の直鎖状又は分岐状のアルキレン基であり、エステル基又はエーテル基を有していてもよい。n=2の場合、X1は−C(=O)−O−R81=又は−C(=O)−NH−R81=であり、R81は炭素数1〜10の直鎖状、分岐状又は環状のアルキレン基から水素原子が1個脱離した基であり、エステル基又はエーテル基を有していてもよい。R4は炭素数1〜12の直鎖状、分岐状又は環状のアルキレン基であり、R5は水素原子、フッ素原子、メチル基、トリフルオロメチル基又はジフルオロメチル基、又はR4と結合してこれらが結合する炭素原子と共に炭素数3〜10の環(但し、芳香環は除く)を形成してもよく、環の中にエーテル基、フッ素で置換されたアルキレン基又はトリフルオロメチル基を有していてもよい。R7は炭素数1〜20の直鎖状、分岐状又は環状のアルキル基であり、少なくとも1個のフッ素原子で置換されていて、エーテル基、エステル基又はスルホンアミド基を有していてもよい。Rは水素原子、ハロゲン原子、又は炭素数1〜6の直鎖状、分岐状又は環状のアルキル基、アルコキシ基又はフッ素化されたアルキル基であり、Yは水素原子、又は酸不安定基であり、mは0〜2の整数である。0<a<1.0、0≦(b−1)<1.0、0≦(b−2)<1.0、0<(b−1)+(b−2)<1.0、0.5≦a+(b−1)+(b−2)≦1.0である。) A resist material characterized in that a polymer compound obtained by copolymerizing a repeating unit having a naphthyl group and a repeating unit having at least one fluorine atom is represented by the following general formula (1): Item 2) is provided.
Figure 2008257166

(In the formula, R 1 , R 3 and R 6 each independently represent a hydrogen atom or a methyl group. R 2 and X 2 each independently represent a single bond, —O—, —C (═O) —O—R. 8 — or —C (═O) —NH—R 8 —, wherein R 8 is a single bond or a linear or branched alkylene group having 1 to 4 carbon atoms, and has an ester group or an ether group. R 2 may be an ethylenetriyl group, n is 1 or 2, and when n = 1, X 1 is a single bond, —O—, —C (═O ) —O—R 8 — or —C (═O) —NH—R 8 —, wherein R 8 is a single bond or a linear or branched alkylene group having 1 to 4 carbon atoms, and an ester group or in the case of good .n = 2 also have an ether group, X 1 is -C (= O) -O-R 81 = or -C (= O) -NH-R 81 is =, R 81 Is straight from 1 to 10 carbon atoms A group in which one hydrogen atom is removed from a chain, branched or cyclic alkylene group, and may have an ester group or an ether group, R 4 is a straight chain or branched chain having 1 to 12 carbon atoms. A cyclic or cyclic alkylene group, and R 5 is a hydrogen atom, a fluorine atom, a methyl group, a trifluoromethyl group, a difluoromethyl group, or a carbon atom having 3 to 10 carbon atoms together with the carbon atom to which R 4 is bonded. ring (provided that the aromatic rings are excluded) may be formed, good .R 7 have an ether group, an alkylene group or a trifluoromethyl group substituted by fluorine in the ring is 1 carbon atoms 20 linear, branched or cyclic alkyl groups, which are substituted with at least one fluorine atom, and may have an ether group, an ester group or a sulfonamide group, where R is a hydrogen atom, Halogen atom or carbon number -6 linear, branched or cyclic alkyl groups, alkoxy groups or fluorinated alkyl groups, Y is a hydrogen atom or an acid labile group, and m is an integer of 0-2. 0 <a <1.0, 0 ≦ (b−1) <1.0, 0 ≦ (b−2) <1.0, 0 <(b−1) + (b−2) <1.0, 0.5 ≦ a + (b−1) + (b−2) ≦ 1.0.)

これらのレジスト材料を用いて形成したフォトレジスト膜は、レジスト膜表面にナフトール基が配向されているためにその上に保護膜を形成した時のミキシング層が形成されない。また、現像後のレジスト表面の親水性が高いためにブロッブ欠陥の発生を抑えることができる。   In a photoresist film formed using these resist materials, since a naphthol group is oriented on the resist film surface, a mixing layer is not formed when a protective film is formed thereon. In addition, since the hydrophilicity of the resist surface after development is high, the occurrence of blob defects can be suppressed.

この場合、前記レジスト材料が、化学増幅ポジ型レジスト材料であり(請求項3)、ポジ型レジスト材料の場合、ベース樹脂が、酸不安定基を有する繰り返し単位、及びヒドロキシ基及び/又はラクトン環の密着性基を有する繰り返し単位を含むものであることが好ましい(請求項4)。   In this case, the resist material is a chemically amplified positive resist material (Claim 3). In the case of a positive resist material, the base resin includes a repeating unit having an acid labile group, and a hydroxy group and / or a lactone ring. It is preferable that it contains the repeating unit which has the adhesive group of (Claim 4).

このような化学増幅ポジ型レジスト材料であれば、ベース樹脂がヒドロキシ基及び/又はラクトン環の密着性基を有する繰り返し単位を含むことで、基板との高い密着性を実現できる。更に、ベース樹脂が酸不安定基を有する繰り返し単位を有することで、露光時に酸発生剤が発生する酸により酸不安定基を脱離させて、レジスト露光部を現像液に溶解させるように変換することにより、極めて高精度なパターンを得ることができる。   With such a chemically amplified positive resist material, the base resin includes a repeating unit having a hydroxy group and / or an adhesive group of a lactone ring, whereby high adhesion to the substrate can be realized. Furthermore, since the base resin has repeating units having acid labile groups, the acid labile groups are eliminated by the acid generated by the acid generator during exposure, so that the resist exposed area is dissolved in the developer. By doing so, a very highly accurate pattern can be obtained.

また、前記レジスト材料が、更に、有機溶剤、酸発生剤、塩基性化合物、溶解制御剤及び界面活性剤のいずれか1つ以上を含有するものであることが好ましい(請求項5)。
また、前記レジスト材料を化学増幅ネガ型レジスト材料として構成することができ(請求項6)、この場合、有機溶剤、酸発生剤、架橋剤及び界面活性剤のいずれか1つ以上を含有させることができる(請求項7)。
Moreover, it is preferable that the resist material further contains any one or more of an organic solvent, an acid generator, a basic compound, a dissolution control agent, and a surfactant.
Further, the resist material can be configured as a chemically amplified negative resist material (Claim 6), and in this case, any one or more of an organic solvent, an acid generator, a crosslinking agent, and a surfactant are contained. (Claim 7).

このように、更に有機溶剤を配合することによって、例えば、レジスト材料の基板等への塗布性を向上させることができるし、塩基性化合物を配合することによって、レジスト膜中での酸の拡散速度を抑制し、解像度を一層向上させることができるし、溶解制御剤を配合することによって、露光部と未露光部との溶解速度の差を一層大きくすることができ、解像度を一層向上させることができるし、界面活性剤を添加することによってレジスト材料の塗布性を一層向上あるいは制御することができる。なお、化学増幅ネガ型レジスト材料の場合は架橋剤を配合する。   Thus, by further blending an organic solvent, for example, the coating property of a resist material on a substrate or the like can be improved, and by blending a basic compound, the diffusion rate of acid in the resist film. By adding a dissolution control agent, the difference in dissolution rate between the exposed and unexposed areas can be further increased, and the resolution can be further improved. In addition, the coating property of the resist material can be further improved or controlled by adding a surfactant. In the case of a chemically amplified negative resist material, a crosslinking agent is blended.

また、本発明は、レジスト材料を基板上に塗布し、これを加熱処理することによって形成されたレジスト表面層にナフチル基を有する繰り返し単位と少なくとも1個のフッ素原子を有する繰り返し単位とを共重合した高分子化合物からなる光吸収性の膜が形成されたレジスト表面反射防止機能を有するフォトレジスト膜を提供する(請求項8)。これにより、レジスト下層反射防止膜(BARC)を設けない場合においても反射防止効果に優れ、定在波の発生を抑えることができる。   The present invention also provides a resist surface layer formed by applying a resist material on a substrate and heat-treating it, and copolymerizing a repeating unit having a naphthyl group and a repeating unit having at least one fluorine atom. A photoresist film having a resist surface antireflection function, on which a light-absorbing film made of the polymer compound is formed, is provided. Thereby, even when the resist underlayer antireflection film (BARC) is not provided, the antireflection effect is excellent and the occurrence of standing waves can be suppressed.

また、少なくとも、前記レジスト材料を基板上に塗布する工程と、加熱処理後、高エネルギー線で露光する工程と、現像液を用いて現像する工程とを含むパターン形成方法が提供される(請求項9)。
もちろん、露光後加熱処理を加えた後に現像してもよいし、エッチング工程、レジスト除去工程、洗浄工程等その他の各種の工程が行われてもよいことは言うまでもない。
この場合、前記高エネルギー線を波長180〜250nmの範囲のものとすることが好ましい(請求項10)。
In addition, there is provided a pattern forming method including at least a step of applying the resist material on a substrate, a step of exposing to high energy rays after heat treatment, and a step of developing using a developer. 9).
Needless to say, development may be performed after the post-exposure heat treatment, and various other processes such as an etching process, a resist removal process, and a cleaning process may be performed.
In this case, it is preferable that the high energy ray has a wavelength in the range of 180 to 250 nm.

また、前記高エネルギー線で露光する工程を液体を介して露光する液浸露光により行うことができ(請求項11)、前記液浸露光において、レジスト膜と液体の間に保護膜を形成して投影レンズの間に液体を挿入し、該液体を介して前記基板を露光することができる(請求項12)。   Further, the step of exposing with the high energy beam can be performed by immersion exposure that exposes through a liquid (claim 11). In the immersion exposure, a protective film is formed between the resist film and the liquid. A liquid can be inserted between the projection lenses, and the substrate can be exposed through the liquid.

前記液浸露光において、フォトレジスト膜と液体の間に設ける保護膜として、αトリフルオロメチルヒドロキシ基を有する高分子化合物をベースとするアルカリ可溶型保護膜を用いる(請求項13)。   In the immersion exposure, as a protective film provided between the photoresist film and the liquid, an alkali-soluble protective film based on a polymer compound having an α-trifluoromethylhydroxy group is used (claim 13).

前記液浸露光において、180〜250nmの範囲の露光波長を用い、前記レジスト材料と保護膜を塗布した基板と投影レンズの間に液体を挿入し、該液体を介して前記基板を露光することができる(請求項14)。
また、前記液体として水を用いることができる(請求項15)。
In the immersion exposure, using an exposure wavelength in the range of 180 to 250 nm, inserting a liquid between the substrate coated with the resist material and the protective film and the projection lens, and exposing the substrate through the liquid (Claim 14).
Further, water can be used as the liquid (claim 15).

本発明のレジスト材料を用いて形成したフォトレジスト膜は、レジスト膜表面を親水性化することによって、現像後レジスト膜上のブロッブ欠陥の発生を防止できる。また、液浸露光用のレジスト保護膜とのミキシングを防止することによってパターン形状の劣化を防ぐことができる。
しかも、上記フォトレジスト膜は、水に対して高い後退接触角を有するため、液浸露光時の走査後にフォトレジスト膜の表面に液滴が残りにくく、膜表面に残存する液滴が誘発するパターン形成不良を低減することができる。
従って、本発明のレジスト材料を用いれば、液浸リソグラフィーにおけるコストを削減して、かつ、欠陥の少ない微細なパターンを高精度で形成することができる。
The photoresist film formed using the resist material of the present invention can prevent the occurrence of blob defects on the resist film after development by making the resist film surface hydrophilic. Moreover, deterioration of the pattern shape can be prevented by preventing mixing with the resist protective film for immersion exposure.
Moreover, since the photoresist film has a high receding contact angle with respect to water, it is difficult for droplets to remain on the surface of the photoresist film after scanning during immersion exposure, and a pattern that induces droplets remaining on the film surface. Formation defects can be reduced.
Therefore, by using the resist material of the present invention, the cost in immersion lithography can be reduced and a fine pattern with few defects can be formed with high accuracy.

以下、本発明の実施の形態について説明するが、本発明はこれらに限定されるものではない。
本発明者らは、上記した問題を解決するため鋭意検討及び研究を重ねた結果、フォトレジスト膜の上に保護膜を用いて保護膜と投影レンズの間に水を挿入する液浸リソグラフィー工程において、特定の高分子化合物(高分子添加剤)を配合してなるフォトレジスト膜が、(1)保護膜層とフォトレジスト膜層とのインターミキシングを防止し、及び、(2)現像後のレジスト表面をより親水性化させることによって欠陥の発生を防止することを見出し、高分子化合物(高分子添加剤)の組成、配合を種々検討した結果、本発明を完成させた。
Hereinafter, although embodiment of this invention is described, this invention is not limited to these.
As a result of intensive studies and studies to solve the above problems, the present inventors have used an immersion lithography process in which water is inserted between a protective film and a projection lens using a protective film on the photoresist film. , A photoresist film formed by blending a specific polymer compound (polymer additive) prevents (1) intermixing between the protective film layer and the photoresist film layer, and (2) a resist after development. As a result of finding out that the occurrence of defects is prevented by making the surface more hydrophilic, various compositions and blending of polymer compounds (polymer additives) were studied, and the present invention was completed.

即ち、本発明は、酸によってアルカリ溶解性が向上するベース樹脂となる高分子化合物と、高分子添加剤として、ナフチル基を有する繰り返し単位と少なくとも1個のフッ素原子を有する繰り返し単位とを共重合した高分子化合物、特に下記一般式(1)で示される高分子化合物とを含むことを特徴とするレジスト材料である。   That is, the present invention is a copolymer of a polymer compound serving as a base resin whose alkali solubility is improved by an acid and a repeating unit having a naphthyl group and a repeating unit having at least one fluorine atom as a polymer additive. And a high molecular compound represented by the following general formula (1).

Figure 2008257166

(式中、R1、R3、R6はそれぞれ独立に水素原子又はメチル基を示す。R2、X2はそれぞれ独立に単結合、−O−、−C(=O)−O−R8−又は−C(=O)−NH−R8−であり、R8は単結合、又は炭素数1〜4の直鎖状又は分岐状のアルキレン基であり、エステル基又はエーテル基を有していてもよく、更にR2は、エチレントリイル基であってもよい。nは1又は2であり、n=1の場合、X1は単結合、−O−、−C(=O)−O−R8−又は−C(=O)−NH−R8−であり、R8は単結合、又は炭素数1〜4の直鎖状又は分岐状のアルキレン基であり、エステル基又はエーテル基を有していてもよい。n=2の場合、X1は−C(=O)−O−R81=又は−C(=O)−NH−R81=であり、R81は炭素数1〜10の直鎖状、分岐状又は環状のアルキレン基から水素原子が1個脱離した基であり、エステル基又はエーテル基を有していてもよい。R4は炭素数1〜12の直鎖状、分岐状又は環状のアルキレン基であり、R5は水素原子、フッ素原子、メチル基、トリフルオロメチル基又はジフルオロメチル基、又はR4と結合してこれらが結合する炭素原子と共に炭素数3〜10の環(但し、芳香環は除く)、特に脂環を形成してもよく、環の中にエーテル基、フッ素で置換されたアルキレン基又はトリフルオロメチル基を有していてもよい。R7は炭素数1〜20の直鎖状、分岐状又は環状のアルキル基であり、少なくとも1個のフッ素原子で置換されていて、エーテル基、エステル基又はスルホンアミド基を有していてもよい。Rは水素原子、ハロゲン原子、又は炭素数1〜6の直鎖状、分岐状又は環状のアルキル基、アルコキシ基又はフッ素化されたアルキル基であり、Yは水素原子、又は酸不安定基であり、mは0〜2の整数である。0<a<1.0、0≦(b−1)<1.0、0≦(b−2)<1.0、0<(b−1)+(b−2)<1.0、0.5≦a+(b−1)+(b−2)≦1.0である。)
Figure 2008257166

(In the formula, R 1 , R 3 and R 6 each independently represent a hydrogen atom or a methyl group. R 2 and X 2 each independently represent a single bond, —O—, —C (═O) —O—R. 8 — or —C (═O) —NH—R 8 —, wherein R 8 is a single bond or a linear or branched alkylene group having 1 to 4 carbon atoms, and has an ester group or an ether group. R 2 may be an ethylenetriyl group, n is 1 or 2, and when n = 1, X 1 is a single bond, —O—, —C (═O ) —O—R 8 — or —C (═O) —NH—R 8 —, wherein R 8 is a single bond or a linear or branched alkylene group having 1 to 4 carbon atoms, and an ester group or in the case of good .n = 2 also have an ether group, X 1 is -C (= O) -O-R 81 = or -C (= O) -NH-R 81 is =, R 81 Is straight from 1 to 10 carbon atoms A group in which one hydrogen atom is removed from a chain, branched or cyclic alkylene group, and may have an ester group or an ether group, R 4 is a straight chain or branched chain having 1 to 12 carbon atoms. A cyclic or cyclic alkylene group, and R 5 is a hydrogen atom, a fluorine atom, a methyl group, a trifluoromethyl group, a difluoromethyl group, or a carbon atom having 3 to 10 carbon atoms together with the carbon atom to which R 4 is bonded. A ring (excluding an aromatic ring), particularly an alicyclic ring, may have an ether group, an alkylene group substituted with fluorine, or a trifluoromethyl group in the ring, R 7 is A linear, branched or cyclic alkyl group having 1 to 20 carbon atoms, which is substituted with at least one fluorine atom, and may have an ether group, an ester group or a sulfonamide group. Is a hydrogen atom, a halogen atom, Is a linear, branched or cyclic alkyl group having 1 to 6 carbon atoms, an alkoxy group or a fluorinated alkyl group, Y is a hydrogen atom or an acid labile group, and m is 0 to 2 0 <a <1.0, 0 ≦ (b−1) <1.0, 0 ≦ (b−2) <1.0, 0 <(b−1) + (b−2) < 1.0, 0.5 ≦ a + (b−1) + (b−2) ≦ 1.0.)

上記一般式(1)の繰り返し単位を有する高分子化合物を添加したレジスト材料を用いて形成したフォトレジスト膜は、一般式(1)で示されるフォトレジスト膜表面にナフチル基とフルオロアルキル基を有する高分子型の界面活性剤を添加することが特徴である。ナフチル基が、ヒドロキシ基を有するナフトール基の場合、フォトレジスト成膜後、ナフトール基を有する高分子型の界面活性剤がフォトレジスト膜表面に配向し、より親水性のフォトレジスト表面が形成される。フォトレジスト膜形成後、保護膜の塗布を行う。保護膜はアルカリ溶解性と撥水性を両立させるために、αトリフルオロメチルヒドロキシ基を有する高分子化合物をベースとし、レジスト膜を溶解させない炭素数4以上の高級アルコール、エーテル、アルカン、又はフッ素原子を有する溶媒に溶解しているものが使われる。本発明のナフチル基とフルオロアルキル基を有する高分子型の界面活性剤は、前記保護膜用溶媒に全く溶解しないため、保護膜とレジスト膜との間にインターミキシングを防止するバリヤ層を形成する。このために、保護膜を使った場合と使わない場合とで現像後のレジストパターン形状に変化がなく、良好なパターンを得ることができる。   A photoresist film formed using a resist material to which a polymer compound having a repeating unit of the general formula (1) is added has a naphthyl group and a fluoroalkyl group on the surface of the photoresist film represented by the general formula (1). It is characterized by adding a polymer type surfactant. When the naphthyl group is a naphthol group having a hydroxy group, a polymer type surfactant having a naphthol group is oriented on the photoresist film surface after the photoresist film is formed, and a more hydrophilic photoresist surface is formed. . After forming the photoresist film, a protective film is applied. In order to achieve both alkali solubility and water repellency, the protective film is based on a polymer compound having an α-trifluoromethylhydroxy group, and has a higher alcohol number of 4 or more carbon atoms, ethers, alkanes, or fluorine atoms that do not dissolve the resist film Those dissolved in a solvent having Since the polymeric surfactant having a naphthyl group and a fluoroalkyl group of the present invention does not dissolve at all in the protective film solvent, a barrier layer that prevents intermixing is formed between the protective film and the resist film. . For this reason, there is no change in the resist pattern shape after development between when the protective film is used and when it is not used, and a good pattern can be obtained.

上記一般式(1)中の(a)で示されるナフチル基、ヒドロキシナフチル基を有する繰り返し単位(a)を得るための重合性モノマーは、具体的には下記に例示することができる。   Specific examples of the polymerizable monomer for obtaining the repeating unit (a) having a naphthyl group and a hydroxynaphthyl group represented by (a) in the general formula (1) can be given below.

Figure 2008257166
Figure 2008257166

Figure 2008257166
Figure 2008257166

Figure 2008257166
Figure 2008257166

モノマーの段階ではヒドロキシ基をアセチル基や、アセタール基等で置換しておいて、重合後の脱保護反応によってヒドロキシ基にすることができる。ヒドロキシ基がアセチル基で置換されている場合、重合後のアルカリ加水分解でアセチル基を脱保護化してヒドロキシ基にし、ヒドロキシ基がアセタール等の酸不安定基で置換されていて、酸触媒による加水分解で脱保護化してヒドロキシ基にする。   At the monomer stage, the hydroxy group can be substituted with an acetyl group, an acetal group or the like, and converted into a hydroxy group by a deprotection reaction after polymerization. When the hydroxy group is substituted with an acetyl group, the acetyl group is deprotected by alkaline hydrolysis after polymerization to form a hydroxy group, and the hydroxy group is substituted with an acid labile group such as acetal. Deprotection to the hydroxy group by decomposition.

次に、一般式(1)中の(b−1)で示されるαトリフルオロメチルアルコール基を有する繰り返し単位(b−1)を得るためのモノマーとしては、下記に例示することができる。   Next, as a monomer for obtaining the repeating unit (b-1) which has the (alpha) trifluoromethyl alcohol group shown by (b-1) in General formula (1), it can illustrate below.

Figure 2008257166
Figure 2008257166

Figure 2008257166
Figure 2008257166

Figure 2008257166
Figure 2008257166

Figure 2008257166
Figure 2008257166

Figure 2008257166

(式中、R3、Yは前述と同様である。)
Figure 2008257166

(Wherein R 3 and Y are the same as described above.)

更に、上記一般式(1)中の(b−2)で示されるフッ素で置換されたアルキル基を有する繰り返し単位(b−2)を得るためのモノマーとしては、下記の具体例を挙げることができる。   Furthermore, examples of the monomer for obtaining the repeating unit (b-2) having an alkyl group substituted with fluorine represented by (b-2) in the general formula (1) include the following specific examples. it can.

Figure 2008257166
Figure 2008257166

Figure 2008257166

(式中、R6は前述と同様である。)
Figure 2008257166

(Wherein R 6 is the same as described above.)

本発明のレジスト材料に添加する高分子化合物としては、上記一般式(1)中の(a)、(b−1)、(b−2)で示される繰り返し単位に加え、アルカリ溶解性を向上させたり、現像後のレジストの親水性を向上させる目的でカルボキシル基を有する繰り返し単位cを共重合することができる。   As a polymer compound added to the resist material of the present invention, alkali solubility is improved in addition to the repeating units represented by (a), (b-1) and (b-2) in the general formula (1). The repeating unit c having a carboxyl group can be copolymerized for the purpose of improving the hydrophilicity of the resist after development.

カルボキシル基を有する繰り返し単位cとしては、具体的には下記に例示することができる。   Specific examples of the repeating unit c having a carboxyl group include the following.

Figure 2008257166
Figure 2008257166

Figure 2008257166
Figure 2008257166

本発明のレジスト材料に添加する高分子化合物としては、上記一般式(1)中の(a)、(b−1)、(b−2)で示される繰り返し単位に加え、レジストベースポリマーとの混用性を向上させたり、レジスト表面の膜減りを抑えたりする目的のために、ラクトンの密着性基を有する繰り返し単位dや、酸不安定基を有する繰り返し単位eを共重合することができる。ラクトンの密着性基を有する繰り返し単位dや、酸不安定基を有する繰り返し単位eとしては、レジストベースポリマーに用いられているものを使うことができる。これについては後述する。   As the polymer compound added to the resist material of the present invention, in addition to the repeating unit represented by (a), (b-1) and (b-2) in the above general formula (1), For the purpose of improving the compatibility and suppressing the film loss on the resist surface, a repeating unit d having a lactone adhesive group or a repeating unit e having an acid labile group can be copolymerized. As the repeating unit d having an adhesive group of lactone and the repeating unit e having an acid labile group, those used in a resist base polymer can be used. This will be described later.

上記レジスト材料に添加される上記一般式(1)中の(a)、(b−1)、(b−2)で示される繰り返し単位を有する高分子化合物のゲルパーミエーションクロマトグラフィー(GPC)によるポリスチレン換算重量平均分子量としては、1,000〜100,000、好ましくは2,000〜30,000であるが、これらに限定されるものではない。分子量が1,000以上であれば界面活性剤としてレジスト表面に配向する十分な機能を有し、ポリマーのガラス転移点が高いために、フォトレジストに添加したときに酸拡散が過度に増大するようなことが起きづらい。また、分子量が100,000以下であれば、該高分子化合物のアルカリ現像液への溶解速度が十分大きいため、これを含有したフォトレジスト膜を用いてパターン形成した場合に、樹脂の残渣が基板に付着するおそれが少ない。   According to gel permeation chromatography (GPC) of a polymer compound having a repeating unit represented by (a), (b-1) or (b-2) in the general formula (1) added to the resist material. The weight average molecular weight in terms of polystyrene is 1,000 to 100,000, preferably 2,000 to 30,000, but is not limited thereto. If the molecular weight is 1,000 or more, it has a sufficient function to be aligned on the resist surface as a surfactant, and the polymer has a high glass transition point, so that acid diffusion excessively increases when added to a photoresist. It ’s hard to happen. Further, if the molecular weight is 100,000 or less, the dissolution rate of the polymer compound in the alkaline developer is sufficiently high. Therefore, when a pattern is formed using a photoresist film containing the polymer compound, a resin residue is formed on the substrate. There is little possibility of adhering to.

また、上記の一般式(1)中の(a)、(b−1)、(b−2)の繰り返し単位を有する高分子化合物は、各々1種類の高分子化合物としてレジスト材料に配合してもよいし、共重合比率や分子量、あるいは種類の異なるモノマー同士を共重合した2種類以上の高分子化合物を任意の割合で混合してレジスト材料に配合してもよい。   In addition, each of the polymer compounds having the repeating units (a), (b-1), and (b-2) in the general formula (1) is blended into the resist material as one kind of polymer compound. Alternatively, two or more types of polymer compounds obtained by copolymerization of monomers having different copolymerization ratios, molecular weights, or types may be mixed at an arbitrary ratio and blended in the resist material.

(a)、(b−1)、(b−2)の共重合比率は、0<a<1.0、0≦(b−1)<1.0、0≦(b−2)<1.0、0<(b−1)+(b−2)<1.0、0.5≦a+(b−1)+(b−2)≦1.0、好ましくは0.1≦a≦0.9、0≦(b−1)≦0.95、0≦(b−2)≦0.95、0.1≦(b−1)+(b−2)≦0.9、0.6≦a+(b−1)+(b−2)≦1.0である。   The copolymerization ratios of (a), (b-1), and (b-2) are 0 <a <1.0, 0 ≦ (b-1) <1.0, 0 ≦ (b-2) <1. 0.0, 0 <(b-1) + (b-2) <1.0, 0.5≤a + (b-1) + (b-2) ≤1.0, preferably 0.1≤a≤ 0.9, 0 ≦ (b−1) ≦ 0.95, 0 ≦ (b−2) ≦ 0.95, 0.1 ≦ (b−1) + (b−2) ≦ 0.9,. It is 6 <= a + (b-1) + (b-2) <= 1.0.

また、上記繰り返し単位c、d、eは、0≦c≦0.8、特に0≦c≦0.7、0≦d≦0.8、特に0≦d≦0.7、0≦e≦0.8、特に0≦e≦0.7とすることができ、a+(b−1)+(b−2)+c+d+e=1である。   The repeating units c, d, e are 0 ≦ c ≦ 0.8, particularly 0 ≦ c ≦ 0.7, 0 ≦ d ≦ 0.8, particularly 0 ≦ d ≦ 0.7, 0 ≦ e ≦. 0.8, in particular 0 ≦ e ≦ 0.7, where a + (b−1) + (b−2) + c + d + e = 1.

なお、ここで、例えばa+(b−1)+(b−2)=1とは、繰り返し単位(a)、(b−1)、(b−2)を含む高分子化合物において、繰り返し単位(a)、(b−1)、(b−2)の合計量が全繰り返し単位の合計量に対して100モル%であることを示し、a+(b−1)+(b−2)<1とは、繰り返し単位(a)、(b−1)、(b−2)の合計量が全繰り返し単位の合計量に対して100モル%未満で、(a)、(b−1)、(b−2)以外に他の繰り返し単位を有していることを示す。   Here, for example, a + (b-1) + (b-2) = 1 means that in the polymer compound containing the repeating units (a), (b-1) and (b-2), the repeating unit ( a), (b-1), (b-2) indicates that the total amount is 100 mol% with respect to the total amount of all repeating units, and a + (b-1) + (b-2) <1 Means that the total amount of the repeating units (a), (b-1) and (b-2) is less than 100 mol% with respect to the total amount of all the repeating units, and (a), (b-1), ( It shows having other repeating units besides b-2).

繰り返し単位aにおいて、ヒドロキシ基で置換されたナフチル基を繰り返し単位として有する高分子化合物を添加した場合は、レジスト表面の親水性を高めることができ、これによって特には現像後のブロッブ欠陥を低減することが可能になる。繰り返し単位aにおいて、ヒドロキシ基で置換されていないナフチル基を繰り返し単位として有する高分子化合物を添加した場合は、表面の撥水性を高めることによって環境耐性を向上することが可能になる。自己形成型反射防止膜としての反射防止効果としては、繰り返し単位aにおいて、ヒドロキシ基を有していても有していなくても同じように得ることができる。   In the repeating unit a, when a polymer compound having a naphthyl group substituted with a hydroxy group as a repeating unit is added, the hydrophilicity of the resist surface can be increased, thereby reducing blob defects particularly after development. It becomes possible. In the repeating unit a, when a polymer compound having a naphthyl group that is not substituted with a hydroxy group as a repeating unit is added, the environmental resistance can be improved by increasing the water repellency of the surface. The antireflection effect as the self-forming antireflection film can be obtained in the same manner whether or not the repeating unit a has a hydroxy group.

上記高分子化合物のレジスト材料への配合比は、添加する高分子化合物の合計質量がレジスト材料のベース樹脂100質量部に対して0.1〜50質量部、好ましくは0.5〜10質量部がよい。これが0.1質量部以上であれば、フォトレジスト膜表面と水との後退接触角が十分に向上する。また、これが50質量部以下であれば、フォトレジスト膜のアルカリ現像液への溶解速度が小さく、形成した微細パターンの高さが十分に保たれる。   The compounding ratio of the polymer compound to the resist material is such that the total mass of the polymer compound to be added is 0.1 to 50 parts by mass, preferably 0.5 to 10 parts by mass with respect to 100 parts by mass of the base resin of the resist material. Is good. If this is 0.1 part by mass or more, the receding contact angle between the photoresist film surface and water is sufficiently improved. Moreover, if this is 50 mass parts or less, the melt | dissolution rate to the alkaline developing solution of a photoresist film is small, and the height of the formed fine pattern is fully maintained.

また、前記レジスト材料は、ベース樹脂を含有するが、化学増幅ポジ型レジスト材料である場合は、少なくとも酸不安定基を有する繰り返し単位、及びヒドロキシ基及び/又はラクトン環の密着性基を有する繰り返し単位を含むベース樹脂を含むものであることが好ましい。   The resist material contains a base resin. However, when the resist material is a chemically amplified positive resist material, at least a repeating unit having an acid labile group and a repeating group having an adhesive group of a hydroxy group and / or a lactone ring. It is preferable to include a base resin containing units.

このような化学増幅ポジ型レジスト材料であれば、ベース樹脂がヒドロキシ基及び/又はラクトン環の密着性基を有する繰り返し単位を含むことで、基板との高い密着性を実現できる。更に、ベース樹脂が酸不安定基を有する繰り返し単位を有することで、露光時に酸発生剤が発生する酸により酸不安定基を脱離させて、レジスト露光部を現像液に溶解させるように変換することにより、極めて高精度なパターンを得ることができる。   With such a chemically amplified positive resist material, the base resin includes a repeating unit having a hydroxy group and / or an adhesive group of a lactone ring, whereby high adhesion to the substrate can be realized. Furthermore, since the base resin has repeating units having acid labile groups, the acid labile groups are eliminated by the acid generated by the acid generator during exposure, so that the resist exposed area is dissolved in the developer. By doing so, a very highly accurate pattern can be obtained.

上記ベース樹脂としては、下記式(R1)及び/又は下記式(R2)で示されるGPCによるポリスチレン換算重量平均分子量1,000〜100,000、好ましくは3,000〜30,000の高分子化合物を挙げることができるが、これらに限定されるものではない。   As said base resin, the high molecular compound of polystyrene conversion weight average molecular weight 1,000-100,000 by GPC shown by following formula (R1) and / or following formula (R2), Preferably it is 3,000-30,000 However, it is not limited to these.

Figure 2008257166
Figure 2008257166

上式中、R001は、水素原子、メチル基又は−CH2CO2003を示す。
002は、水素原子、メチル基又は−CO2003を示す。
003は、炭素数1〜15の直鎖状、分岐状又は環状のアルキル基を示し、具体的にはメチル基、エチル基、プロピル基、イソプロピル基、n−ブチル基、sec−ブチル基、tert−ブチル基、tert−アミル基、n−ペンチル基、n−ヘキシル基、シクロペンチル基、シクロヘキシル基、エチルシクロペンチル基、ブチルシクロペンチル基、エチルシクロヘキシル基、ブチルシクロヘキシル基、アダマンチル基、エチルアダマンチル基、ブチルアダマンチル基等を例示できる。
In the above formula, R 001 represents a hydrogen atom, a methyl group, or —CH 2 CO 2 R 003 .
R 002 represents a hydrogen atom, a methyl group or a -CO 2 R 003.
R 003 represents a linear, branched or cyclic alkyl group having 1 to 15 carbon atoms, specifically a methyl group, an ethyl group, a propyl group, an isopropyl group, an n-butyl group, a sec-butyl group, tert-butyl group, tert-amyl group, n-pentyl group, n-hexyl group, cyclopentyl group, cyclohexyl group, ethylcyclopentyl group, butylcyclopentyl group, ethylcyclohexyl group, butylcyclohexyl group, adamantyl group, ethyladamantyl group, butyl Examples thereof include an adamantyl group.

004は、水素原子、炭素数1〜15の含フッ素置換基及び/又はカルボキシ基もしくは水酸基を含有する1価の炭化水素基を示し、具体的には水素原子、カルボキシエチル、カルボキシブチル、カルボキシシクロペンチル、カルボキシシクロヘキシル、カルボキシノルボルニル、カルボキシアダマンチル、ヒドロキシエチル、ヒドロキシブチル、ヒドロキシシクロペンチル、ヒドロキシシクロヘキシル、ヒドロキシノルボルニル、ヒドロキシアダマンチル、ヒドロキシヘキサフルオロイソプロピルシクロヘキシル、ジ(ヒドロキシヘキサフルオロイソプロピル)シクロヘキシル等が例示できる。 R 004 represents a hydrogen atom, a fluorine-containing substituent having 1 to 15 carbon atoms and / or a monovalent hydrocarbon group containing a carboxy group or a hydroxyl group, specifically a hydrogen atom, carboxyethyl, carboxybutyl, carboxy Examples include cyclopentyl, carboxycyclohexyl, carboxynorbornyl, carboxyadamantyl, hydroxyethyl, hydroxybutyl, hydroxycyclopentyl, hydroxycyclohexyl, hydroxynorbornyl, hydroxyadamantyl, hydroxyhexafluoroisopropylcyclohexyl, di (hydroxyhexafluoroisopropyl) cyclohexyl, etc. it can.

005〜R008の少なくとも1個は炭素数1〜15の含フッ素置換基及び/又はカルボキシ基もしくは水酸基を含有する1価の炭化水素基を示し、残りはそれぞれ独立に水素原子又は炭素数1〜15の直鎖状、分岐状又は環状のアルキル基を示す。炭素数1〜15の含フッ素置換基及び/又はカルボキシ基もしくは水酸基を含有する1価の炭化水素基としては、具体的にはカルボキシ、カルボキシメチル、カルボキシエチル、カルボキシブチル、ヒドロキシメチル、ヒドロキシエチル、ヒドロキシブチル、2−カルボキシエトキシカルボニル、4−カルボキシブトキシカルボニル、2−ヒドロキシエトキシカルボニル、4−ヒドロキシブトキシカルボニル、カルボキシシクロペンチルオキシカルボニル、カルボキシシクロヘキシルオキシカルボニル、カルボキシノルボルニルオキシカルボニル、カルボキシアダマンチルオキシカルボニル、ヒドロキシシクロペンチルオキシカルボニル、ヒドロキシシクロヘキシルオキシカルボニル、ヒドロキシノルボルニルオキシカルボニル、ヒドロキシアダマンチルオキシカルボニル、ヒドロキシヘキサフルオロイソプロピルシクロヘキシルオキシカルボニル、ジ(ヒドロキシヘキサフルオロイソプロピル)シクロヘキシルオキシカルボニル等が例示できる。
炭素数1〜15の直鎖状、分岐状又は環状のアルキル基としては、具体的にはR003で例示したものと同様のものが例示できる。
At least one of R 005 to R 008 represents a fluorine-containing substituent having 1 to 15 carbon atoms and / or a monovalent hydrocarbon group containing a carboxy group or a hydroxyl group, and the rest each independently represents a hydrogen atom or a carbon number of 1 -15 linear, branched or cyclic alkyl groups are shown. Specific examples of the monovalent hydrocarbon group containing a fluorine-containing substituent having 1 to 15 carbon atoms and / or a carboxy group or a hydroxyl group include carboxy, carboxymethyl, carboxyethyl, carboxybutyl, hydroxymethyl, hydroxyethyl, Hydroxybutyl, 2-carboxyethoxycarbonyl, 4-carboxybutoxycarbonyl, 2-hydroxyethoxycarbonyl, 4-hydroxybutoxycarbonyl, carboxycyclopentyloxycarbonyl, carboxycyclohexyloxycarbonyl, carboxynorbornyloxycarbonyl, carboxyadamantyloxycarbonyl, hydroxy Cyclopentyloxycarbonyl, hydroxycyclohexyloxycarbonyl, hydroxynorbornyloxycarbonyl, hydroxy Daman chill oxycarbonyl, hydroxy hexafluoroisopropyl cyclohexyl oxycarbonyl, di (hydroxy hexafluoroisopropyl) cyclohexyl oxycarbonyl like.
Examples of the straight, the branched or cyclic alkyl group, specifically exemplified the same ones as exemplified for R 003.

005〜R008のうちの2個(例えばR005とR006、R006とR007等)は互いに結合してこれらが結合する炭素原子と共に環を形成していてもよく、その場合には環を形成するR005〜R008のうち少なくとも1個は炭素数1〜15の含フッ素置換基及び/又はカルボキシ基もしくは水酸基を含有する2価の炭化水素基を示し、残りはそれぞれ独立に単結合又は炭素数1〜15の直鎖状、分岐状又は環状のアルキレン基を示す。炭素数1〜15の含フッ素置換基及び/又はカルボキシ基もしくは水酸基を含有する2価の炭化水素基としては、具体的には上記含フッ素置換基及び/又はカルボキシ基もしくは水酸基を含有する1価の炭化水素基で例示したものから水素原子を1個除いたもの等を例示できる。炭素数1〜15の直鎖状、分岐状又は環状のアルキレン基としては、具体的にはR003で例示したものから水素原子を1個除いたもの等を例示できる。 Two of R 005 to R 008 (for example, R 005 and R 006 , R 006 and R 007, etc.) may be bonded to each other to form a ring together with the carbon atom to which they are bonded. At least one of R 005 to R 008 forming a ring represents a fluorine-containing substituent having 1 to 15 carbon atoms and / or a divalent hydrocarbon group containing a carboxy group or a hydroxyl group, and the rest are each independently simple. A bond or a linear, branched or cyclic alkylene group having 1 to 15 carbon atoms is shown. The divalent hydrocarbon group containing a fluorine-containing substituent having 1 to 15 carbon atoms and / or a carboxy group or a hydroxyl group is specifically a monovalent containing the above-mentioned fluorine-containing substituent and / or a carboxyl group or a hydroxyl group. The thing etc. which remove | excluded one hydrogen atom from what was illustrated by the hydrocarbon group of this can be illustrated. Specific examples of the linear, branched or cyclic alkylene group having 1 to 15 carbon atoms include those obtained by removing one hydrogen atom from those exemplified for R003 .

009は、炭素数3〜15の−CO2−部分構造を含有する1価の炭化水素基を示し、具体的には2−オキソオキソラン−3−イル、4,4−ジメチル−2−オキソオキソラン−3−イル、4−メチル−2−オキソオキサン−4−イル、2−オキソ−1,3−ジオキソラン−4−イルメチル、5−メチル−2−オキソオキソラン−5−イル等を例示できる。 R 009 represents a monovalent hydrocarbon group containing a —CO 2 — partial structure having 3 to 15 carbon atoms, specifically 2-oxooxolan-3-yl, 4,4-dimethyl-2- Examples include oxooxolan-3-yl, 4-methyl-2-oxooxan-4-yl, 2-oxo-1,3-dioxolan-4-ylmethyl, 5-methyl-2-oxooxolan-5-yl and the like it can.

010〜R013の少なくとも1個は炭素数2〜15の−CO2−部分構造を含有する1価の炭化水素基を示し、残りはそれぞれ独立に水素原子又は炭素数1〜15の直鎖状、分岐状又は環状のアルキル基を示す。炭素数2〜15の−CO2−部分構造を含有する1価の炭化水素基としては、具体的には2−オキソオキソラン−3−イルオキシカルボニル、4,4−ジメチル−2−オキソオキソラン−3−イルオキシカルボニル、4−メチル−2−オキソオキサン−4−イルオキシカルボニル、2−オキソ−1,3−ジオキソラン−4−イルメチルオキシカルボニル、5−メチル−2−オキソオキソラン−5−イルオキシカルボニル等を例示できる。炭素数1〜15の直鎖状、分岐状又は環状のアルキル基としては、具体的にはR003で例示したものと同様のものが例示できる。 At least one of R 010 to R 013 represents a monovalent hydrocarbon group containing a —CO 2 — partial structure having 2 to 15 carbon atoms, and the rest each independently represents a hydrogen atom or a straight chain having 1 to 15 carbon atoms. -Like, branched or cyclic alkyl groups. Specific examples of the monovalent hydrocarbon group containing a —CO 2 — partial structure having 2 to 15 carbon atoms include 2-oxooxolan-3-yloxycarbonyl and 4,4-dimethyl-2-oxooxo. Lan-3-yloxycarbonyl, 4-methyl-2-oxooxan-4-yloxycarbonyl, 2-oxo-1,3-dioxolan-4-ylmethyloxycarbonyl, 5-methyl-2-oxooxolane-5 -Ilyloxycarbonyl and the like can be exemplified. Examples of the straight, the branched or cyclic alkyl group, specifically exemplified the same ones as exemplified for R 003.

010〜R013のうちの2個(例えばR010とR011、R011とR012等)は互いに結合してこれらが結合する炭素原子と共に環を形成していてもよく、その場合には環を形成するR010〜R013のうち少なくとも1個は炭素数1〜15の−CO2−部分構造を含有する2価の炭化水素基を示し、残りはそれぞれ独立に単結合又は炭素数1〜15の直鎖状、分岐状又は環状のアルキレン基を示す。炭素数1〜15の−CO2−部分構造を含有する2価の炭化水素基としては、具体的には1−オキソ−2−オキサプロパン−1,3−ジイル、1,3−ジオキソ−2−オキサプロパン−1,3−ジイル、1−オキソ−2−オキサブタン−1,4−ジイル、1,3−ジオキソ−2−オキサブタン−1,4−ジイル等の他、上記−CO2−部分構造を含有する1価の炭化水素基で例示したものから水素原子を1個除いたもの等を例示できる。炭素数1〜15の直鎖状、分岐状又は環状のアルキレン基としては、具体的にはR003で例示したものから水素原子を1個除いたもの等を例示できる。 Two of R 010 to R 013 (for example, R 010 and R 011 , R 011 and R 012 etc.) may be bonded to each other to form a ring together with the carbon atoms to which they are bonded. At least one of R 010 to R 013 forming a ring represents a divalent hydrocarbon group containing a —CO 2 — partial structure having 1 to 15 carbon atoms, and the rest each independently represents a single bond or 1 carbon atom. -15 linear, branched or cyclic alkylene groups are shown. Specific examples of the divalent hydrocarbon group containing a —CO 2 — partial structure having 1 to 15 carbon atoms include 1-oxo-2-oxapropane-1,3-diyl and 1,3-dioxo-2. - oxa-1,3-diyl, 1-oxo-2-Okisabutan-1,4-diyl, other like 1,3-dioxo-2-Okisabutan-1,4-diyl, the -CO 2 - partial structure The thing etc. which remove | excluded one hydrogen atom from what was illustrated with the monovalent | monohydric hydrocarbon group containing this can be illustrated. Specific examples of the linear, branched or cyclic alkylene group having 1 to 15 carbon atoms include those obtained by removing one hydrogen atom from those exemplified for R003 .

014は、炭素数7〜15の多環式炭化水素基又は多環式炭化水素基を含有するアルキル基を示し、具体的にはノルボルニル、ビシクロ[3.3.1]ノニル、トリシクロ[5.2.1.02,6]デシル、アダマンチル、エチルアダマンチル、ブチルアダマンチル、ノルボルニルメチル、アダマンチルメチル等を例示できる。 R 014 represents a polycyclic hydrocarbon group having 7 to 15 carbon atoms or an alkyl group containing a polycyclic hydrocarbon group, and specifically includes norbornyl, bicyclo [3.3.1] nonyl, tricyclo [5 .2.1.0 2,6 ] decyl, adamantyl, ethyladamantyl, butyladamantyl, norbornylmethyl, adamantylmethyl and the like.

015は、酸不安定基を示し、具体例については後述する。
Xは、−CH2又は酸素原子を示す。
kは、0又は1である。
R 015 represents an acid labile group, and specific examples will be described later.
X represents —CH 2 or an oxygen atom.
k is 0 or 1.

015の酸不安定基としては、種々用いることができるが、具体的には下記一般式(L1)〜(L4)で示される基、炭素数4〜20、好ましくは4〜15の三級アルキル基、各アルキル基がそれぞれ炭素数1〜6のトリアルキルシリル基、炭素数4〜20のオキソアルキル基等を挙げることができる。 As the acid labile group for R 015 , various groups can be used. Specifically, groups represented by the following general formulas (L1) to (L4), tertiary groups having 4 to 20 carbon atoms, preferably 4 to 15 carbon atoms are preferred. Examples of the alkyl group include trialkylsilyl groups having 1 to 6 carbon atoms and oxoalkyl groups having 4 to 20 carbon atoms.

Figure 2008257166
Figure 2008257166

上式中、破線は結合手を示す。
また、式(L1)において、RL01、RL02は水素原子又は炭素数1〜18、好ましくは1〜10の直鎖状、分岐状又は環状のアルキル基を示し、具体的にはメチル基、エチル基、プロピル基、イソプロピル基、n−ブチル基、sec−ブチル基、tert−ブチル基、シクロペンチル基、シクロヘキシル基、2−エチルヘキシル基、n−オクチル基、アダマンチル基等が例示できる。RL03は炭素数1〜18、好ましくは炭素数1〜10の酸素原子等のヘテロ原子を有してもよい一価の炭化水素基を示し、直鎖状、分岐状又は環状のアルキル基、これらの水素原子の一部が水酸基、アルコキシ基、オキソ基、アミノ基、アルキルアミノ基等に置換されたものを挙げることができ、具体的には、直鎖状、分岐状又は環状のアルキル基としては上記RL01、RL02と同様のものが例示でき、置換アルキル基としては下記の基等が例示できる。
In the above formula, a broken line indicates a bond.
In the formula (L1), R L01 and R L02 represent a hydrogen atom or a linear, branched or cyclic alkyl group having 1 to 18 carbon atoms, preferably 1 to 10 carbon atoms, specifically a methyl group, Examples thereof include an ethyl group, a propyl group, an isopropyl group, an n-butyl group, a sec-butyl group, a tert-butyl group, a cyclopentyl group, a cyclohexyl group, a 2-ethylhexyl group, an n-octyl group, and an adamantyl group. R L03 represents a monovalent hydrocarbon group which may have a hetero atom such as an oxygen atom having 1 to 18 carbon atoms, preferably 1 to 10 carbon atoms, and is a linear, branched or cyclic alkyl group, Examples in which a part of these hydrogen atoms are substituted with a hydroxyl group, an alkoxy group, an oxo group, an amino group, an alkylamino group, and the like can be given. Specifically, a linear, branched or cyclic alkyl group Examples thereof include those similar to R L01 and R L02 above, and examples of the substituted alkyl group include the following groups.

Figure 2008257166
Figure 2008257166

L01とRL02、RL01とRL03、RL02とRL03とは互いに結合してこれらが結合する炭素原子や酸素原子と共に環を形成してもよく、環を形成する場合にはRL01、RL02、RL03はそれぞれ炭素数1〜18、好ましくは炭素数1〜10の直鎖状又は分岐状のアルキレン基を示す。 R L01 and R L02 , R L01 and R L03 , R L02 and R L03 may be bonded to each other to form a ring together with the carbon atom or oxygen atom to which they are bonded, and in the case of forming a ring, R L01 , R L02 and R L03 each represents a linear or branched alkylene group having 1 to 18 carbon atoms, preferably 1 to 10 carbon atoms.

式(L2)において、RL04は炭素数4〜20、好ましくは炭素数4〜15の三級アルキル基、各アルキル基がそれぞれ炭素数1〜6のトリアルキルシリル基、炭素数4〜20のオキソアルキル基又は上記一般式(L1)で示される基を示し、三級アルキル基としては、具体的にはtert−ブチル基、tert−アミル基、1,1−ジエチルプロピル基、2−シクロペンチルプロパン−2−イル基、2−シクロヘキシルプロパン−2−イル基、2−(ビシクロ[2.2.1]ヘプタン−2−イル)プロパン−2−イル基、2−(アダマンタン−1−イル)プロパン−2−イル基、1−エチルシクロペンチル基、1−ブチルシクロペンチル基、1−エチルシクロヘキシル基、1−ブチルシクロヘキシル基、1−エチル−2−シクロペンテニル基、1−エチル−2−シクロヘキセニル基、2−メチル−2−アダマンチル基、2−エチル−2−アダマンチル基等が例示でき、トリアルキルシリル基としては、具体的にはトリメチルシリル基、トリエチルシリル基、ジメチル−tert−ブチルシリル基等が例示でき、オキソアルキル基としては、具体的には3−オキソシクロヘキシル基、4−メチル−2−オキソオキサン−4−イル基、5−メチル−2−オキソオキソラン−5−イル基等が例示できる。yは0〜6の整数である。 In the formula (L2), R L04 is a tertiary alkyl group having 4 to 20 carbon atoms, preferably 4 to 15 carbon atoms, each alkyl group is a trialkylsilyl group having 1 to 6 carbon atoms, and 4 to 20 carbon atoms. An oxoalkyl group or a group represented by the above general formula (L1) is shown. Specific examples of the tertiary alkyl group include a tert-butyl group, a tert-amyl group, a 1,1-diethylpropyl group, and 2-cyclopentylpropane. 2-yl group, 2-cyclohexylpropan-2-yl group, 2- (bicyclo [2.2.1] heptan-2-yl) propan-2-yl group, 2- (adamantan-1-yl) propane 2-yl group, 1-ethylcyclopentyl group, 1-butylcyclopentyl group, 1-ethylcyclohexyl group, 1-butylcyclohexyl group, 1-ethyl-2-cyclopentenyl group 1-ethyl-2-cyclohexenyl group, 2-methyl-2-adamantyl group, 2-ethyl-2-adamantyl group and the like. Specific examples of the trialkylsilyl group include trimethylsilyl group and triethylsilyl group. Dimethyl-tert-butylsilyl group and the like. Specific examples of the oxoalkyl group include 3-oxocyclohexyl group, 4-methyl-2-oxooxan-4-yl group, and 5-methyl-2-oxooxolane. A -5-yl group etc. can be illustrated. y is an integer of 0-6.

式(L3)において、RL05は炭素数1〜10の置換されていてもよい直鎖状、分岐状又は環状のアルキル基又は炭素数6〜20の置換されていてもよいアリール基を示し、置換されていてもよいアルキル基としては、具体的にはメチル基、エチル基、プロピル基、イソプロピル基、n−ブチル基、sec−ブチル基、tert−ブチル基、tert−アミル基、n−ペンチル基、n−ヘキシル基、シクロペンチル基、シクロヘキシル基、ビシクロ[2.2.1]ヘプチル基等の直鎖状、分岐状又は環状のアルキル基、これらの水素原子の一部が水酸基、アルコキシ基、カルボキシ基、アルコキシカルボニル基、オキソ基、アミノ基、アルキルアミノ基、シアノ基、メルカプト基、アルキルチオ基、スルホ基等に置換されたもの、又はこれらのメチレン基の一部が酸素原子又は硫黄原子に置換されたもの等が例示でき、置換されていてもよいアリール基としては、具体的にはフェニル基、メチルフェニル基、ナフチル基、アンスリル基、フェナンスリル基、ピレニル基等が例示できる。mは0又は1、nは0,1,2,3のいずれかであり、2m+n=2又は3を満足する数である。 In the formula (L3), R L05 represents a linear, branched or cyclic alkyl group having 1 to 10 carbon atoms which may be substituted, or an aryl group which may be substituted having 6 to 20 carbon atoms, Specific examples of the optionally substituted alkyl group include a methyl group, an ethyl group, a propyl group, an isopropyl group, an n-butyl group, a sec-butyl group, a tert-butyl group, a tert-amyl group, and an n-pentyl group. A linear, branched or cyclic alkyl group such as a group, n-hexyl group, cyclopentyl group, cyclohexyl group, bicyclo [2.2.1] heptyl group, and a part of these hydrogen atoms are a hydroxyl group, an alkoxy group, A carboxy group, an alkoxycarbonyl group, an oxo group, an amino group, an alkylamino group, a cyano group, a mercapto group, an alkylthio group, a sulfo group or the like, or these Examples include those in which a part of the methylene group is substituted with an oxygen atom or a sulfur atom. Specific examples of the aryl group which may be substituted include a phenyl group, a methylphenyl group, a naphthyl group, an anthryl group, and a phenanthryl. Examples thereof include a group and a pyrenyl group. m is 0 or 1, and n is 0, 1, 2, or 3, and 2m + n = 2 or 3.

式(L4)において、RL06は炭素数1〜10の置換されていてもよい直鎖状、分岐状又は環状のアルキル基又は炭素数6〜20の置換されていてもよいアリール基を示し、具体的にはRL05と同様のもの等が例示できる。RL07〜RL16はそれぞれ独立に水素原子又は炭素数1〜15の一価の炭化水素基を示し、具体的にはメチル基、エチル基、プロピル基、イソプロピル基、n−ブチル基、sec−ブチル基、tert−ブチル基、tert−アミル基、n−ペンチル基、n−ヘキシル基、n−オクチル基、n−ノニル基、n−デシル基、シクロペンチル基、シクロヘキシル基、シクロペンチルメチル基、シクロペンチルエチル基、シクロペンチルブチル基、シクロヘキシルメチル基、シクロヘキシルエチル基、シクロヘキシルブチル基等の直鎖状、分岐状又は環状のアルキル基、これらの水素原子の一部が水酸基、アルコキシ基、カルボキシ基、アルコキシカルボニル基、オキソ基、アミノ基、アルキルアミノ基、シアノ基、メルカプト基、アルキルチオ基、スルホ基等に置換されたもの等が例示できる。RL07〜RL16は互いに結合して環を形成していてもよく(例えば、RL07とRL08、RL07とRL09、RL08とRL10、RL09とRL10、RL11とRL12、RL13とRL14等)、その場合には環を形成する基は炭素数1〜15の2価の炭化水素基を示し、具体的には上記1価の炭化水素基で例示したものから水素原子を1個除いたもの等が例示できる。また、RL07〜RL16は隣接する炭素に結合するもの同士で何も介さずに結合し、二重結合を形成してもよい(例えば、RL07とRL09、RL09とRL15、RL13とRL15等)。 In the formula (L4), R L06 represents a linear, branched or cyclic alkyl group having 1 to 10 carbon atoms which may be substituted or an aryl group having 6 to 20 carbon atoms which may be substituted; Specifically, the same thing as R L05 can be illustrated. R L07 to R L16 each independently represent a hydrogen atom or a monovalent hydrocarbon group having 1 to 15 carbon atoms, specifically, methyl group, ethyl group, propyl group, isopropyl group, n-butyl group, sec- Butyl, tert-butyl, tert-amyl, n-pentyl, n-hexyl, n-octyl, n-nonyl, n-decyl, cyclopentyl, cyclohexyl, cyclopentylmethyl, cyclopentylethyl Group, cyclopentylbutyl group, cyclohexylmethyl group, cyclohexylethyl group, cyclohexylbutyl group, etc., linear, branched or cyclic alkyl groups, and some of these hydrogen atoms are hydroxyl groups, alkoxy groups, carboxy groups, alkoxycarbonyl groups Oxo group, amino group, alkylamino group, cyano group, mercapto group, alkylthio group, Or the like can be exemplified those substituted in sulfo group. R L07 to R L16 may be bonded to each other to form a ring (for example, R L07 and R L08 , R L07 and R L09 , R L08 and R L10 , R L09 and R L10 , R L11 and R L12). , R L13 and R L14, etc.), in which case the group forming the ring represents a divalent hydrocarbon group having 1 to 15 carbon atoms, specifically from those exemplified above for the monovalent hydrocarbon group The thing except one hydrogen atom etc. can be illustrated. R L07 to R L16 may be bonded to each other adjacent to each other to form a double bond (for example, R L07 and R L09 , R L09 and R L15 , R L13 and R L15 etc.).

上記式(L1)で示される酸不安定基のうち直鎖状又は分岐状のものとしては、具体的には下記の基が例示できる。

Figure 2008257166
Of the acid labile groups represented by the above formula (L1), specific examples of the linear or branched groups include the following groups.
Figure 2008257166

上記式(L1)で示される酸不安定基のうち環状のものとしては、具体的にはテトラヒドロフラン−2−イル基、2−メチルテトラヒドロフラン−2−イル基、テトラヒドロピラン−2−イル基、2−メチルテトラヒドロピラン−2−イル基等が例示できる。   Among the acid labile groups represented by the above formula (L1), specific examples of cyclic groups include tetrahydrofuran-2-yl group, 2-methyltetrahydrofuran-2-yl group, tetrahydropyran-2-yl group, 2 -A methyltetrahydropyran-2-yl group etc. can be illustrated.

上記式(L2)の酸不安定基としては、具体的にはtert−ブトキシカルボニル基、tert−ブトキシカルボニルメチル基、tert−アミロキシカルボニル基、tert−アミロキシカルボニルメチル基、1,1−ジエチルプロピルオキシカルボニル基、1,1−ジエチルプロピルオキシカルボニルメチル基、1−エチルシクロペンチルオキシカルボニル基、1−エチルシクロペンチルオキシカルボニルメチル基、1−エチル−2−シクロペンテニルオキシカルボニル基、1−エチル−2−シクロペンテニルオキシカルボニルメチル基、1−エトキシエトキシカルボニルメチル基、2−テトラヒドロピラニルオキシカルボニルメチル基、2−テトラヒドロフラニルオキシカルボニルメチル基等が例示できる。   Specific examples of the acid labile group of the above formula (L2) include tert-butoxycarbonyl group, tert-butoxycarbonylmethyl group, tert-amyloxycarbonyl group, tert-amyloxycarbonylmethyl group, 1,1-diethyl. Propyloxycarbonyl group, 1,1-diethylpropyloxycarbonylmethyl group, 1-ethylcyclopentyloxycarbonyl group, 1-ethylcyclopentyloxycarbonylmethyl group, 1-ethyl-2-cyclopentenyloxycarbonyl group, 1-ethyl-2 Examples include -cyclopentenyloxycarbonylmethyl group, 1-ethoxyethoxycarbonylmethyl group, 2-tetrahydropyranyloxycarbonylmethyl group, 2-tetrahydrofuranyloxycarbonylmethyl group and the like.

上記式(L3)の酸不安定基としては、具体的には1−メチルシクロペンチル、1−エチルシクロペンチル、1−n−プロピルシクロペンチル、1−イソプロピルシクロペンチル、1−n−ブチルシクロペンチル、1−sec−ブチルシクロペンチル、1−シクロヘキシルシクロペンチル、1−(4−メトキシ−n−ブチル)シクロペンチル、1−(ビシクロ[2.2.1]ヘプタン−2−イル)シクロペンチル、1−(7−オキサビシクロ[2.2.1]ヘプタン−2−イル)シクロペンチル、1−メチルシクロヘキシル、1−エチルシクロヘキシル、3−メチル−1−シクロペンテン−3−イル、3−エチル−1−シクロペンテン−3−イル、3−メチル−1−シクロヘキセン−3−イル、3−エチル−1−シクロヘキセン−3−イル等が例示できる。   Specific examples of the acid labile group of the above formula (L3) include 1-methylcyclopentyl, 1-ethylcyclopentyl, 1-n-propylcyclopentyl, 1-isopropylcyclopentyl, 1-n-butylcyclopentyl, 1-sec- Butylcyclopentyl, 1-cyclohexylcyclopentyl, 1- (4-methoxy-n-butyl) cyclopentyl, 1- (bicyclo [2.2.1] heptan-2-yl) cyclopentyl, 1- (7-oxabicyclo [2. 2.1] heptan-2-yl) cyclopentyl, 1-methylcyclohexyl, 1-ethylcyclohexyl, 3-methyl-1-cyclopenten-3-yl, 3-ethyl-1-cyclopenten-3-yl, 3-methyl- 1-cyclohexen-3-yl, 3-ethyl-1-cyclohexen-3-yl, etc. It can be exemplified.

上記式(L4)の酸不安定基としては、下記式(L4−1)〜(L4−4)で示される基が特に好ましい。

Figure 2008257166
As the acid labile group of the above formula (L4), groups represented by the following formulas (L4-1) to (L4-4) are particularly preferable.
Figure 2008257166

前記一般式(L4−1)〜(L4−4)中、破線は結合位置及び結合方向を示す。RL41はそれぞれ独立に炭素数1〜10の直鎖状、分岐状又は環状のアルキル基等の1価炭化水素基を示し、具体的にはメチル基、エチル基、プロピル基、イソプロピル基、n−ブチル基、sec−ブチル基、tert−ブチル基、tert−アミル基、n−ペンチル基、n−ヘキシル基、シクロペンチル基、シクロヘキシル基等を例示できる。 In the general formulas (L4-1) to (L4-4), a broken line indicates a coupling position and a coupling direction. R L41 each independently represents a monovalent hydrocarbon group such as a linear, branched or cyclic alkyl group having 1 to 10 carbon atoms, specifically a methyl group, an ethyl group, a propyl group, an isopropyl group, n Examples include -butyl group, sec-butyl group, tert-butyl group, tert-amyl group, n-pentyl group, n-hexyl group, cyclopentyl group, cyclohexyl group and the like.

前記一般式(L4−1)〜(L4−4)には、エナンチオ異性体(enantiomer)やジアステレオ異性体(diastereomer)が存在しえるが、前記一般式(L4−1)〜(L4−4)は、これらの立体異性体のすべてを代表して表す。これらの立体異性体は単独で用いてもよいし、混合物として用いてもよい。   In the general formulas (L4-1) to (L4-4), enantiomers and diastereomers may exist, but the general formulas (L4-1) to (L4-4) may exist. ) Represents all of these stereoisomers. These stereoisomers may be used alone or as a mixture.

例えば、前記一般式(L4−3)は下記一般式(L4−3−1)、(L4−3−2)で示される基から選ばれる1種又は2種の混合物を代表して表すものとする。

Figure 2008257166

(式中、RL41は前述と同様である。) For example, the general formula (L4-3) represents one or a mixture of two selected from the groups represented by the following general formulas (L4-3-1) and (L4-3-2). To do.
Figure 2008257166

(In the formula, R L41 is the same as described above.)

また、上記一般式(L4−4)は下記一般式(L4−4−1)〜(L4−4−4)で示される基から選ばれる1種又は2種以上の混合物を代表して表すものとする。

Figure 2008257166

(式中、RL41は前述と同様である。) The general formula (L4-4) represents one or a mixture of two or more selected from groups represented by the following general formulas (L4-4-1) to (L4-4-4). And
Figure 2008257166

(In the formula, R L41 is the same as described above.)

上記一般式(L4−1)〜(L4−4)、(L4−3−1)、(L4−3−2)、及び式(L4−4−1)〜(L4−4−4)は、それらのエナンチオ異性体及びエナンチオ異性体混合物をも代表して示すものとする。   The general formulas (L4-1) to (L4-4), (L4-3-1), (L4-3-2), and formulas (L4-4-1) to (L4-4-4) are Their enantiomers and enantiomeric mixtures are also shown representatively.

なお、式(L4−1)〜(L4−4)、(L4−3−1)、(L4−3−2)、及び式(L4−4−1)〜(L4−4−4)の結合方向がそれぞれビシクロ[2.2.1]ヘプタン環に対してexo側であることによって、酸触媒脱離反応における高反応性が実現される(特開2000−336121号公報参照)。これらビシクロ[2.2.1]ヘプタン骨格を有する三級exo−アルキル基を置換基とする単量体の製造において、下記一般式(L4−1−endo)〜(L4−4−endo)で示されるendo−アルキル基で置換された単量体を含む場合があるが、良好な反応性の実現のためにはexo比率が50%以上であることが好ましく、exo比率が80%以上であることが更に好ましい。   In addition, the bonds of formulas (L4-1) to (L4-4), (L4-3-1), (L4-3-2), and formulas (L4-4-1) to (L4-4-4) High reactivity in the acid-catalyzed elimination reaction is realized by the fact that each direction is on the exo side with respect to the bicyclo [2.2.1] heptane ring (see JP 2000-336121 A). In the production of these monomers having a tertiary exo-alkyl group having a bicyclo [2.2.1] heptane skeleton as a substituent, the following general formulas (L4-1-endo) to (L4-4-endo) are used. In some cases, a monomer substituted with the indicated endo-alkyl group may be included, but in order to achieve good reactivity, the exo ratio is preferably 50% or more, and the exo ratio is 80% or more. More preferably.

Figure 2008257166

(式中、RL41は前述と同様である。)
Figure 2008257166

(In the formula, R L41 is the same as described above.)

上記式(L4)の酸不安定基としては、具体的には下記の基が例示できる。

Figure 2008257166
Specific examples of the acid labile group of the above formula (L4) include the following groups.
Figure 2008257166

また、炭素数4〜20の三級アルキル基、各アルキル基がそれぞれ炭素数1〜6のトリアルキルシリル基、炭素数4〜20のオキソアルキル基としては、具体的にはRL04で挙げたものと同様のもの等が例示できる。 Further, tertiary alkyl groups having 4 to 20 carbon atoms, trialkylsilyl groups each having 1 to 6 carbon atoms, and oxoalkyl groups having 4 to 20 carbon atoms are specifically exemplified as R L04 . The thing similar to a thing etc. can be illustrated.

前記(R2)中、R016、R018は水素原子又はメチル基を示す。R017は炭素数1〜8の直鎖状、分岐状又は環状のアルキル基を示す。 In the (R2), R 016 and R 018 represent a hydrogen atom or a methyl group. R 017 represents a linear, branched or cyclic alkyl group having 1 to 8 carbon atoms.

前記(R1)において、a1’、a2’、a3’、b1’、b2’、b3’、c1’、c2’、c3’、d1’、d2’、d3’、e’は0以上1未満の数であり、a1’+a2’+a3’+b1’+b2’+b3’+c1’+c2’+c3’+d1’+d2’+d3’+e’=1を満足する。前記(R2)において、f’、g’、h’、i’、j’、k‘、l’、m‘は0以上1未満の数であり、f’+g’+h’+i’+j’+k‘+l’+m‘=1を満足する。x’、y’、z’は0〜3の整数であり、1≦x’+y’+z’≦5、1≦y’+z’≦3を満足する。
更に、インデン類、ノルボルナジエン類、アセナフチレン類、ビニルエーテル類を共重合することもできる。
In (R1), a1 ′, a2 ′, a3 ′, b1 ′, b2 ′, b3 ′, c1 ′, c2 ′, c3 ′, d1 ′, d2 ′, d3 ′, and e ′ are 0 or more and less than 1. A1 ′ + a2 ′ + a3 ′ + b1 ′ + b2 ′ + b3 ′ + c1 ′ + c2 ′ + c3 ′ + d1 ′ + d2 ′ + d3 ′ + e ′ = 1. In the above (R2), f ′, g ′, h ′, i ′, j ′, k ′, l ′, m ′ are numbers from 0 to less than 1, and f ′ + g ′ + h ′ + i ′ + j ′ + k “+1” + m ′ = 1 is satisfied. x ′, y ′ and z ′ are integers of 0 to 3, which satisfy 1 ≦ x ′ + y ′ + z ′ ≦ 5 and 1 ≦ y ′ + z ′ ≦ 3.
Further, indene, norbornadiene, acenaphthylene, and vinyl ether can be copolymerized.

上記式(R1)において、組成比a1’で導入される繰り返し単位として具体的には以下のものが例示できるが、これらに限定されるものではない。   In the above formula (R1), specific examples of the repeating unit introduced at the composition ratio a1 'include the following, but are not limited thereto.

Figure 2008257166
Figure 2008257166

Figure 2008257166
Figure 2008257166

上記式(R1)において、組成比b1’で導入される繰り返し単位として具体的には以下のものが例示できるが、これらに限定されるものではない。   In the above formula (R1), specific examples of the repeating unit introduced at the composition ratio b1 'include the following, but are not limited thereto.

Figure 2008257166
Figure 2008257166

Figure 2008257166
Figure 2008257166

上記式(R1)において、組成比d1’で導入される繰り返し単位として具体的には以下のものが例示できるが、これらに限定されるものではない。   Specific examples of the repeating unit introduced at the composition ratio d1 ′ in the above formula (R1) include the following, but are not limited thereto.

Figure 2008257166
Figure 2008257166

Figure 2008257166
Figure 2008257166

Figure 2008257166
Figure 2008257166

Figure 2008257166
Figure 2008257166

上記式(R1)において、組成比a3’、b3’、c3’、d3’の繰り返し単位で構成される高分子化合物として具体的には以下のものが例示できるが、これらに限定されるものではない。   In the above formula (R1), specific examples of the polymer compound composed of repeating units having a composition ratio of a3 ′, b3 ′, c3 ′, and d3 ′ include the following, but the polymer compounds are not limited thereto. Absent.

Figure 2008257166
Figure 2008257166

Figure 2008257166
Figure 2008257166

更に、下記一般式(PA)に示される感光性のスルホニウム塩を有する繰り返し単位を(R1)や(R2)に共重合、含有することもできる。

Figure 2008257166

(上式中、Rp1は水素原子又はメチル基、Rp2はフェニレン基、−O−Rp5−、又は−C(=O)−X−Rp5−である。Xは酸素原子又はNH、Rp5は炭素数1〜6の直鎖状、分岐状もしくは環状のアルキレン基、アルケニレン基、又はフェニレン基であり、カルボニル基、エステル基又はエーテル基を含んでいてもよい。Rp3、Rp4は同一又は異種の炭素数1〜12の直鎖状、分岐状又は環状のアルキル基であり、カルボニル基、エステル基又はエーテル基を含んでいてもよく、又は炭素数6〜12のアリール基又は炭素数7〜20のアラルキル基、又はチオフェニル基を表す。X-は非求核性対向イオンを表す。) Furthermore, a repeating unit having a photosensitive sulfonium salt represented by the following general formula (PA) may be copolymerized and contained in (R1) or (R2).
Figure 2008257166

(In the above formula, R p1 is a hydrogen atom or a methyl group, R p2 is a phenylene group, —O—R p5 —, or —C (═O) —X—R p5 —, where X is an oxygen atom or NH, R p5 represents a linear 1 to 6 carbon atoms, branched or cyclic alkylene group, an alkenylene group, or a phenylene group, a carbonyl group, which may contain an ester group or ether group .R p3, R p4 Are the same or different linear, branched or cyclic alkyl groups having 1 to 12 carbon atoms, which may contain a carbonyl group, an ester group or an ether group, or an aryl group having 6 to 12 carbon atoms, or Represents an aralkyl group having 7 to 20 carbon atoms or a thiophenyl group, and X represents a non-nucleophilic counter ion.)

なお、上記ベース樹脂を構成する高分子化合物は1種に限らず2種以上を添加することができる。複数種の高分子化合物を用いることにより、レジスト材料の性能を調整することができる。   The polymer compound constituting the base resin is not limited to one type, and two or more types can be added. The performance of the resist material can be adjusted by using a plurality of types of polymer compounds.

本発明のレジスト材料は、化学増幅ポジ型レジスト材料として機能するために酸発生剤を含んでもよく、例えば、活性光線又は放射線に感応して酸を発生する化合物(光酸発生剤)を含有してもよい。光酸発生剤の成分としては、高エネルギー線照射により酸を発生する化合物であればいずれでも構わない。好適な光酸発生剤としてはスルホニウム塩、ヨードニウム塩、スルホニルジアゾメタン、N−スルホニルオキシイミド、オキシム−O−スルホネート型酸発生剤等がある。以下に詳述するがこれらは単独であるいは2種以上混合して用いることができる。   The resist material of the present invention may contain an acid generator in order to function as a chemically amplified positive resist material. For example, the resist material contains a compound that generates an acid in response to actinic rays or radiation (photoacid generator). May be. The component of the photoacid generator may be any compound that generates an acid upon irradiation with high energy rays. Suitable photoacid generators include sulfonium salts, iodonium salts, sulfonyldiazomethane, N-sulfonyloxyimide, oxime-O-sulfonate type acid generators, and the like. Although described in detail below, these can be used alone or in admixture of two or more.

スルホニウム塩はスルホニウムカチオンとスルホネートあるいはビス(置換アルキルスルホニル)イミド、トリス(置換アルキルスルホニル)メチドの塩であり、スルホニウムカチオンとしてトリフェニルスルホニウム、(4−tert−ブトキシフェニル)ジフェニルスルホニウム、ビス(4−tert−ブトキシフェニル)フェニルスルホニウム、トリス(4−tert−ブトキシフェニル)スルホニウム、(3−tert−ブトキシフェニル)ジフェニルスルホニウム、ビス(3−tert−ブトキシフェニル)フェニルスルホニウム、トリス(3−tert−ブトキシフェニル)スルホニウム、(3,4−ジtert−ブトキシフェニル)ジフェニルスルホニウム、ビス(3,4−ジtert−ブトキシフェニル)フェニルスルホニウム、トリス(3,4−ジtert−ブトキシフェニル)スルホニウム、ジフェニル(4−チオフェノキシフェニル)スルホニウム、(4−tert−ブトキシカルボニルメチルオキシフェニル)ジフェニルスルホニウム、トリス(4−tert−ブトキシカルボニルメチルオキシフェニル)スルホニウム、(4−tert−ブトキシフェニル)ビス(4−ジメチルアミノフェニル)スルホニウム、トリス(4−ジメチルアミノフェニル)スルホニウム、2−ナフチルジフェニルスルホニウム、ジメチル2−ナフチルスルホニウム、4−ヒドロキシフェニルジメチルスルホニウム、4−メトキシフェニルジメチルスルホニウム、トリメチルスルホニウム、2−オキソシクロヘキシルシクロヘキシルメチルスルホニウム、トリナフチルスルホニウム、トリベンジルスルホニウム、ジフェニルメチルスルホニウム、ジメチルフェニルスルホニウム、2−オキソ−2−フェニルエチルチアシクロペンタニウム、4−n−ブトキシナフチル−1−チアシクロペンタニウム、2−n−ブトキシナフチル−1−チアシクロペンタニウム等が挙げられ、スルホネートとしては、トリフルオロメタンスルホネート、ペンタフルオロエタンスルホネート、ノナフルオロブタンスルホネート、ドデカフルオロヘキサンスルホネート、ペンタフルオロエチルパーフルオロシクロヘキサンスルホネート、ヘプタデカフルオロオクタンスルホネート、2,2,2−トリフルオロエタンスルホネート、ペンタフルオロベンゼンスルホネート、4−トリフルオロメチルベンゼンスルホネート、4−フルオロベンゼンスルホネート、メシチレンスルホネート、2,4,6−トリイソプロピルベンゼンスルホネート、トルエンスルホネート、ベンゼンスルホネート、4−(4’−トルエンスルホニルオキシ)ベンゼンスルホネート、ナフタレンスルホネート、カンファースルホネート、オクタンスルホネート、ドデシルベンゼンスルホネート、ブタンスルホネート、メタンスルホネート、2−ベンゾイルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−(4−フェニルベンゾイルオキシ)プロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−ピバロイルオキシプロパンスルホネート、2−シクロヘキサンカルボニルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−フロイルオキシプロパンスルホネート、2−ナフトイルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、2−(4−tert−ブチルベンゾイルオキシ)−1,1,3,3,3−ペンタフルオロプロパンスルホネート、2−アダンマンタンカルボニルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、2−アセチルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−ヒドロキシプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−トシルオキシプロパンスルホネート、1,1−ジフルオロ−2−ナフチル−エタンスルホネート、1,1,2,2−テトラフルオロ−2−(ノルボルナン−2−イル)エタンスルホネート、1,1,2,2−テトラフルオロ−2−(テトラシクロ[4.4.0.12,5.17,10]ドデカ−3−エン−8−イル)エタンスルホネート等が挙げられ、ビス(置換アルキルスルホニル)イミドとしてはビストリフルオロメチルスルホニルイミド、ビスペンタフルオロエチルスルホニルイミド、ビスヘプタフルオロプロピルスルホニルイミド、1,3−プロピレンビススルホニルイミド等が挙げられ、トリス(置換アルキルスルホニル)メチドとしてはトリストリフルオロメチルスルホニルメチドが挙げられ、これらの組み合わせのスルホニウム塩が挙げられる。 The sulfonium salt is a salt of a sulfonium cation and a sulfonate or bis (substituted alkylsulfonyl) imide or tris (substituted alkylsulfonyl) methide. As the sulfonium cation, triphenylsulfonium, (4-tert-butoxyphenyl) diphenylsulfonium, bis (4- tert-butoxyphenyl) phenylsulfonium, tris (4-tert-butoxyphenyl) sulfonium, (3-tert-butoxyphenyl) diphenylsulfonium, bis (3-tert-butoxyphenyl) phenylsulfonium, tris (3-tert-butoxyphenyl) ) Sulfonium, (3,4-ditert-butoxyphenyl) diphenylsulfonium, bis (3,4-ditert-butoxyphenyl) phenylsulfoni , Tris (3,4-ditert-butoxyphenyl) sulfonium, diphenyl (4-thiophenoxyphenyl) sulfonium, (4-tert-butoxycarbonylmethyloxyphenyl) diphenylsulfonium, tris (4-tert-butoxycarbonylmethyloxy) Phenyl) sulfonium, (4-tert-butoxyphenyl) bis (4-dimethylaminophenyl) sulfonium, tris (4-dimethylaminophenyl) sulfonium, 2-naphthyldiphenylsulfonium, dimethyl 2-naphthylsulfonium, 4-hydroxyphenyldimethylsulfonium 4-methoxyphenyldimethylsulfonium, trimethylsulfonium, 2-oxocyclohexylcyclohexylmethylsulfonium, trinaphthylsulfonyl , Tribenzylsulfonium, diphenylmethylsulfonium, dimethylphenylsulfonium, 2-oxo-2-phenylethylthiacyclopentanium, 4-n-butoxynaphthyl-1-thiacyclopentanium, 2-n-butoxynaphthyl-1- Examples of the sulfonate include trifluoromethane sulfonate, pentafluoroethane sulfonate, nonafluorobutane sulfonate, dodecafluorohexane sulfonate, pentafluoroethyl perfluorocyclohexane sulfonate, heptadecafluorooctane sulfonate, 2,2, and the like. 2-trifluoroethanesulfonate, pentafluorobenzenesulfonate, 4-trifluoromethylbenzenesulfonate, 4-fluorobenzenes Lulfonate, mesitylene sulfonate, 2,4,6-triisopropylbenzene sulfonate, toluene sulfonate, benzene sulfonate, 4- (4′-toluenesulfonyloxy) benzene sulfonate, naphthalene sulfonate, camphor sulfonate, octane sulfonate, dodecyl benzene sulfonate, butane sulfonate Methanesulfonate, 2-benzoyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 1,1,3,3,3-pentafluoro-2- (4-phenylbenzoyloxy) propanesulfonate, , 1,3,3,3-pentafluoro-2-pivaloyloxypropane sulfonate, 2-cyclohexanecarbonyloxy-1,1,3,3,3-pentafluoropropane sulfonate 1,1,3,3,3-pentafluoro-2-furoyloxypropane sulfonate, 2-naphthoyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 2- (4-tert -Butylbenzoyloxy) -1,1,3,3,3-pentafluoropropane sulfonate, 2-adamantanecarbonylcarbonyl-1,1,3,3,3-pentafluoropropane sulfonate, 2-acetyloxy-1, 1,3,3,3-pentafluoropropane sulfonate, 1,1,3,3,3-pentafluoro-2-hydroxypropane sulfonate, 1,1,3,3,3-pentafluoro-2-tosyloxypropane Sulfonate, 1,1-difluoro-2-naphthyl-ethanesulfonate, 1,1,2,2-tetrafluoro-2- ( Ruborunan 2-yl) ethanesulfonate, 1,1,2,2-tetrafluoro-2- (tetracyclo [4.4.0.1 2, 5. 1 7,10 ] dodec-3-en-8-yl) ethanesulfonate, etc., and bis (substituted alkylsulfonyl) imide includes bistrifluoromethylsulfonylimide, bispentafluoroethylsulfonylimide, bisheptafluoropropylsulfonylimide 1,3-propylenebissulfonylimide and the like, and tris (substituted alkylsulfonyl) methides include tristrifluoromethylsulfonylmethide, and sulfonium salts of these combinations.

ヨードニウム塩はヨードニウムカチオンとスルホネートあるいはビス(置換アルキルスルホニル)イミド、トリス(置換アルキルスルホニル)メチドの塩であり、ジフェニルヨードニウム、ビス(4−tert−ブチルフェニル)ヨードニウム、4−tert−ブトキシフェニルフェニルヨードニウム、4−メトキシフェニルフェニルヨードニウム等のアリールヨードニウムカチオンとスルホネートとしてトリフルオロメタンスルホネート、ペンタフルオロエタンスルホネート、ノナフルオロブタンスルホネート、ドデカフルオロヘキサンスルホネート、ペンタフルオロエチルパーフルオロシクロヘキサンスルホネート、ヘプタデカフルオロオクタンスルホネート、2,2,2−トリフルオロエタンスルホネート、ペンタフルオロベンゼンスルホネート、4−トリフルオロメチルベンゼンスルホネート、4−フルオロベンゼンスルホネート、メシチレンスルホネート、2,4,6−トリイソプロピルベンゼンスルホネート、トルエンスルホネート、ベンゼンスルホネート、4−(4−トルエンスルホニルオキシ)ベンゼンスルホネート、ナフタレンスルホネート、カンファースルホネート、オクタンスルホネート、ドデシルベンゼンスルホネート、ブタンスルホネート、メタンスルホネート、2−ベンゾイルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−(4−フェニルベンゾイルオキシ)プロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−ピバロイルオキシプロパンスルホネート、2−シクロヘキサンカルボニルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−フロイルオキシプロパンスルホネート、2−ナフトイルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、2−(4−tert−ブチルベンゾイルオキシ)−1,1,3,3,3−ペンタフルオロプロパンスルホネート、2−アダンマンタンカルボニルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、2−アセチルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−ヒドロキシプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−トシルオキシプロパンスルホネート、1,1−ジフルオロ−2−ナフチル−エタンスルホネート、1,1,2,2−テトラフルオロ−2−(ノルボルナン−2−イル)エタンスルホネート、1,1,2,2−テトラフルオロ−2−(テトラシクロ[4.4.0.12,5.17,10]ドデカ−3−エン−8−イル)エタンスルホネート等が挙げられ、ビス(置換アルキルスルホニル)イミドとしてはビストリフルオロメチルスルホニルイミド、ビスペンタフルオロエチルスルホニルイミド、ビスヘプタフルオロプロピルスルホニルイミド、1,3−プロピレンビススルホニルイミド等が挙げられ、トリス(置換アルキルスルホニル)メチドとしてはトリストリフルオロメチルスルホニルメチドが挙げられ、これらの組み合わせのヨードニウム塩が挙げられる。 Iodonium salt is a salt of iodonium cation and sulfonate or bis (substituted alkylsulfonyl) imide, tris (substituted alkylsulfonyl) methide, diphenyliodonium, bis (4-tert-butylphenyl) iodonium, 4-tert-butoxyphenylphenyliodonium. Aryliodonium cations such as 4-methoxyphenylphenyliodonium and sulfonates such as trifluoromethanesulfonate, pentafluoroethanesulfonate, nonafluorobutanesulfonate, dodecafluorohexanesulfonate, pentafluoroethylperfluorocyclohexanesulfonate, heptadecafluorooctanesulfonate, 2, 2,2-trifluoroethanesulfonate, pentafluorobenzenes Phonate, 4-trifluoromethylbenzenesulfonate, 4-fluorobenzenesulfonate, mesitylenesulfonate, 2,4,6-triisopropylbenzenesulfonate, toluenesulfonate, benzenesulfonate, 4- (4-toluenesulfonyloxy) benzenesulfonate, naphthalenesulfonate , Camphorsulfonate, octanesulfonate, dodecylbenzenesulfonate, butanesulfonate, methanesulfonate, 2-benzoyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 1,1,3,3,3-pentafluoro- 2- (4-phenylbenzoyloxy) propanesulfonate, 1,1,3,3,3-pentafluoro-2-pivaloyloxypropanesulfonate, 2-cyclo Xancarbonyloxy-1,1,3,3,3-pentafluoropropane sulfonate, 1,1,3,3,3-pentafluoro-2-furoyloxypropane sulfonate, 2-naphthoyloxy-1,1, 3,3,3-pentafluoropropane sulfonate, 2- (4-tert-butylbenzoyloxy) -1,1,3,3,3-pentafluoropropane sulfonate, 2-adamantanecarbonylcarbonyl-1,1,3 , 3,3-pentafluoropropane sulfonate, 2-acetyloxy-1,1,3,3,3-pentafluoropropane sulfonate, 1,1,3,3,3-pentafluoro-2-hydroxypropane sulfonate, 1, , 1,3,3,3-pentafluoro-2-tosyloxypropane sulfonate, 1,1-difluoro Ro-2-naphthyl-ethanesulfonate, 1,1,2,2-tetrafluoro-2- (norbornan-2-yl) ethanesulfonate, 1,1,2,2-tetrafluoro-2- (tetracyclo [4. 4.0.1 2,5 . 1 7,10 ] dodec-3-en-8-yl) ethanesulfonate and the like, and bis (substituted alkylsulfonyl) imide includes bistrifluoromethylsulfonylimide, bispentafluoroethylsulfonylimide, bisheptafluoropropylsulfonylimide 1,3-propylenebissulfonylimide and the like, and tris (substituted alkylsulfonyl) methide includes tristrifluoromethylsulfonylmethide, and iodonium salts of these combinations.

スルホニルジアゾメタンとしては、ビス(エチルスルホニル)ジアゾメタン、ビス(1−メチルプロピルスルホニル)ジアゾメタン、ビス(2−メチルプロピルスルホニル)ジアゾメタン、ビス(1,1−ジメチルエチルスルホニル)ジアゾメタン、ビス(シクロヘキシルスルホニル)ジアゾメタン、ビス(パーフルオロイソプロピルスルホニル)ジアゾメタン、ビス(フェニルスルホニル)ジアゾメタン、ビス(4−メチルフェニルスルホニル)ジアゾメタン、ビス(2,4−ジメチルフェニルスルホニル)ジアゾメタン、ビス(2−ナフチルスルホニル)ジアゾメタン、ビス(4−アセチルオキシフェニルスルホニル)ジアゾメタン、ビス(4−メタンスルホニルオキシフェニルスルホニル)ジアゾメタン、ビス(4−(4−トルエンスルホニルオキシ)フェニルスルホニル)ジアゾメタン、ビス(4−n−ヘキシルオキシ)フェニルスルホニル)ジアゾメタン、ビス(2−メチル−4−(n−ヘキシルオキシ)フェニルスルホニル)ジアゾメタン、ビス(2,5−ジメチル−4−(n−ヘキシルオキシ)フェニルスルホニル)ジアゾメタン、ビス(3,5−ジメチル−4−(n−ヘキシルオキシ)フェニルスルホニル)ジアゾメタン、ビス(2−メチル−5−イソプロピル−4−(n−ヘキシルオキシ)フェニルスルホニル)ジアゾメタン、4−メチルフェニルスルホニルベンゾイルジアゾメタン、tertブチルカルボニル−4−メチルフェニルスルホニルジアゾメタン、2−ナフチルスルホニルベンゾイルジアゾメタン、4−メチルフェニルスルホニル2−ナフトイルジアゾメタン、メチルスルホニルベンゾイルジアゾメタン、tertブトキシカルボニル−4−メチルフェニルスルホニルジアゾメタン等のビススルホニルジアゾメタンとスルホニル−カルボニルジアゾメタンが挙げられる。   As the sulfonyldiazomethane, bis (ethylsulfonyl) diazomethane, bis (1-methylpropylsulfonyl) diazomethane, bis (2-methylpropylsulfonyl) diazomethane, bis (1,1-dimethylethylsulfonyl) diazomethane, bis (cyclohexylsulfonyl) diazomethane , Bis (perfluoroisopropylsulfonyl) diazomethane, bis (phenylsulfonyl) diazomethane, bis (4-methylphenylsulfonyl) diazomethane, bis (2,4-dimethylphenylsulfonyl) diazomethane, bis (2-naphthylsulfonyl) diazomethane, bis ( 4-acetyloxyphenylsulfonyl) diazomethane, bis (4-methanesulfonyloxyphenylsulfonyl) diazomethane, bis (4- (4-toluenes) Phonyloxy) phenylsulfonyl) diazomethane, bis (4-n-hexyloxy) phenylsulfonyl) diazomethane, bis (2-methyl-4- (n-hexyloxy) phenylsulfonyl) diazomethane, bis (2,5-dimethyl-4-) (N-hexyloxy) phenylsulfonyl) diazomethane, bis (3,5-dimethyl-4- (n-hexyloxy) phenylsulfonyl) diazomethane, bis (2-methyl-5-isopropyl-4- (n-hexyloxy) Phenylsulfonyl) diazomethane, 4-methylphenylsulfonylbenzoyldiazomethane, tertbutylcarbonyl-4-methylphenylsulfonyldiazomethane, 2-naphthylsulfonylbenzoyldiazomethane, 4-methylphenylsulfonyl-2-naphthoyldi Include carbonyl diazomethane - Zometan, bissulfonyldiazomethanes and sulfonyl such as methylsulfonyl benzoyl diazomethane, tert-butoxycarbonyl-4-methylphenyl sulfonyl diazomethane.

N−スルホニルオキシイミド型光酸発生剤としては、コハク酸イミド、ナフタレンジカルボン酸イミド、フタル酸イミド、シクロヘキシルジカルボン酸イミド、5−ノルボルネン−2,3−ジカルボン酸イミド、7−オキサビシクロ[2.2.1]−5−ヘプテン−2,3−ジカルボン酸イミド等のイミド骨格とトリフルオロメタンスルホネート、ペンタフルオロエタンスルホネート、ノナフルオロブタンスルホネート、ドデカフルオロヘキサンスルホネート、ペンタフルオロエチルパーフルオロシクロヘキサンスルホネート、ヘプタデカフルオロオクタンスルホネート、2,2,2−トリフルオロエタンスルホネート、ペンタフルオロベンゼンスルホネート、4−トリフルオロメチルベンゼンスルホネート、4−フルオロベンゼンスルホネート、メシチレンスルホネート、2,4,6−トリイソプロピルベンゼンスルホネート、トルエンスルホネート、ベンゼンスルホネート、ナフタレンスルホネート、カンファースルホネート、オクタンスルホネート、ドデシルベンゼンスルホネート、ブタンスルホネート、メタンスルホネート、2−ベンゾイルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−(4−フェニルベンゾイルオキシ)プロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−ピバロイルオキシプロパンスルホネート、2−シクロヘキサンカルボニルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−フロイルオキシプロパンスルホネート、2−ナフトイルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、2−(4−tert−ブチルベンゾイルオキシ)−1,1,3,3,3−ペンタフルオロプロパンスルホネート、2−アダンマンタンカルボニルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、2−アセチルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−ヒドロキシプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−トシルオキシプロパンスルホネート、1,1−ジフルオロ−2−ナフチル−エタンスルホネート、1,1,2,2−テトラフルオロ−2−(ノルボルナン−2−イル)エタンスルホネート、1,1,2,2−テトラフルオロ−2−(テトラシクロ[4.4.0.12,5.17,10]ドデカ−3−エン−8−イル)エタンスルホネート等の組み合わせの化合物が挙げられる。 Examples of the N-sulfonyloxyimide photoacid generator include succinimide, naphthalene dicarboxylic imide, phthalic imide, cyclohexyl dicarboxylic imide, 5-norbornene-2,3-dicarboxylic imide, 7-oxabicyclo [2. 2.1] An imide skeleton such as 5-heptene-2,3-dicarboxylic acid imide and trifluoromethanesulfonate, pentafluoroethanesulfonate, nonafluorobutanesulfonate, dodecafluorohexanesulfonate, pentafluoroethylperfluorocyclohexanesulfonate, heptadeca Fluorooctane sulfonate, 2,2,2-trifluoroethane sulfonate, pentafluorobenzene sulfonate, 4-trifluoromethylbenzene sulfonate, 4-fluorobenzene sulfonate , Mesitylene sulfonate, 2,4,6-triisopropylbenzene sulfonate, toluene sulfonate, benzene sulfonate, naphthalene sulfonate, camphor sulfonate, octane sulfonate, dodecyl benzene sulfonate, butane sulfonate, methane sulfonate, 2-benzoyloxy-1,1, 3,3,3-pentafluoropropanesulfonate, 1,1,3,3,3-pentafluoro-2- (4-phenylbenzoyloxy) propanesulfonate, 1,1,3,3,3-pentafluoro-2 -Pivaloyloxypropane sulfonate, 2-cyclohexanecarbonyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 1,1,3,3,3-pentafluoro-2-furoyloxypropanoate Sulfonate, 2-naphthoyloxy-1,1,3,3,3-pentafluoropropane sulfonate, 2- (4-tert-butylbenzoyloxy) -1,1,3,3,3-pentafluoropropane sulfonate, 2-Adamantanecarbonyloxy-1,1,3,3,3-pentafluoropropane sulfonate, 2-acetyloxy-1,1,3,3,3-pentafluoropropane sulfonate, 1,1,3,3 3-pentafluoro-2-hydroxypropane sulfonate, 1,1,3,3,3-pentafluoro-2-tosyloxypropane sulfonate, 1,1-difluoro-2-naphthyl-ethane sulfonate, 1,1,2, 2-tetrafluoro-2- (norbornan-2-yl) ethanesulfonate, 1,1,2,2-tetra Fluoro-2- (tetracyclo [4.4.0.1 2,5 . 1 7,10 ] dodec-3-en-8-yl) ethanesulfonate and the like.

ベンゾインスルホネート型光酸発生剤としては、ベンゾイントシレート、ベンゾインメシレート、ベンゾインブタンスルホネート等が挙げられる。   Examples of the benzoin sulfonate photoacid generator include benzoin tosylate, benzoin mesylate, and benzoin butane sulfonate.

ピロガロールトリスルホネート型光酸発生剤としては、ピロガロール、フロログリシノール、カテコール、レゾルシノール、ヒドロキノンのヒドロキシル基のすべてをトリフルオロメタンスルホネート、ペンタフルオロエタンスルホネート、ノナフルオロブタンスルホネート、ドデカフルオロヘキサンスルホネート、ペンタフルオロエチルパーフルオロシクロヘキサンスルホネート、ヘプタデカフルオロオクタンスルホネート、2,2,2−トリフルオロエタンスルホネート、ペンタフルオロベンゼンスルホネート、4−トリフルオロメチルベンゼンスルホネート、4−フルオロベンゼンスルホネート、トルエンスルホネート、ベンゼンスルホネート、ナフタレンスルホネート、カンファースルホネート、オクタンスルホネート、ドデシルベンゼンスルホネート、ブタンスルホネート、メタンスルホネート、2−ベンゾイルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−(4−フェニルベンゾイルオキシ)プロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−ピバロイルオキシプロパンスルホネート、2−シクロヘキサンカルボニルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−フロイルオキシプロパンスルホネート、2−ナフトイルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、2−(4−tert−ブチルベンゾイルオキシ)−1,1,3,3,3−ペンタフルオロプロパンスルホネート、2−アダンマンタンカルボニルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、2−アセチルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−ヒドロキシプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−トシルオキシプロパンスルホネート、1,1−ジフルオロ−2−ナフチル−エタンスルホネート、1,1,2,2−テトラフルオロ−2−(ノルボルナン−2−イル)エタンスルホネート、1,1,2,2−テトラフルオロ−2−(テトラシクロ[4.4.0.12,5.17,10]ドデカ−3−エン−8−イル)エタンスルホネート等で置換した化合物が挙げられる。 Pyrogallol trisulfonate photoacid generators include pyrogallol, phloroglucinol, catechol, resorcinol, and hydroquinone all hydroxyl groups trifluoromethanesulfonate, pentafluoroethanesulfonate, nonafluorobutanesulfonate, dodecafluorohexanesulfonate, pentafluoroethyl. Perfluorocyclohexanesulfonate, heptadecafluorooctanesulfonate, 2,2,2-trifluoroethanesulfonate, pentafluorobenzenesulfonate, 4-trifluoromethylbenzenesulfonate, 4-fluorobenzenesulfonate, toluenesulfonate, benzenesulfonate, naphthalenesulfonate, Camphorsulfonate, octanesulfonate, dodecylbe Zensulfonate, butanesulfonate, methanesulfonate, 2-benzoyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 1,1,3,3,3-pentafluoro-2- (4-phenylbenzoyloxy) ) Propane sulfonate, 1,1,3,3,3-pentafluoro-2-pivaloyloxypropane sulfonate, 2-cyclohexanecarbonyloxy-1,1,3,3,3-pentafluoropropane sulfonate, 1,1 , 3,3,3-pentafluoro-2-furoyloxypropane sulfonate, 2-naphthoyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 2- (4-tert-butylbenzoyloxy) -1,1,3,3,3-pentafluoropropane sulfonate, 2-ada Mantanecarbonyloxy-1,1,3,3,3-pentafluoropropane sulfonate, 2-acetyloxy-1,1,3,3,3-pentafluoropropane sulfonate, 1,1,3,3,3-penta Fluoro-2-hydroxypropane sulfonate, 1,1,3,3,3-pentafluoro-2-tosyloxypropane sulfonate, 1,1-difluoro-2-naphthyl-ethane sulfonate, 1,1,2,2-tetra fluoro-2- (norbornan-2-yl) ethanesulfonate, 1,1,2,2-tetrafluoro-2- (tetracyclo [4.4.0.1 2,5 .1 7,10] dodeca-3 And a compound substituted with ene-8-yl) ethanesulfonate.

ニトロベンジルスルホネート型光酸発生剤としては、2,4−ジニトロベンジルスルホネート、2−ニトロベンジルスルホネート、2,6−ジニトロベンジルスルホネートが挙げられ、スルホネートとしては、具体的にトリフルオロメタンスルホネート、ペンタフルオロエタンスルホネート、ノナフルオロブタンスルホネート、ドデカフルオロヘキサンスルホネート、ペンタフルオロエチルパーフルオロシクロヘキサンスルホネート、ヘプタデカフルオロオクタンスルホネート、2,2,2−トリフルオロエタンスルホネート、ペンタフルオロベンゼンスルホネート、4−トリフルオロメチルベンゼンスルホネート、4−フルオロベンゼンスルホネート、トルエンスルホネート、ベンゼンスルホネート、ナフタレンスルホネート、カンファースルホネート、オクタンスルホネート、ドデシルベンゼンスルホネート、ブタンスルホネート、メタンスルホネート、2−ベンゾイルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−(4−フェニルベンゾイルオキシ)プロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−ピバロイルオキシプロパンスルホネート、2−シクロヘキサンカルボニルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−フロイルオキシプロパンスルホネート、2−ナフトイルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、2−(4−tert−ブチルベンゾイルオキシ)−1,1,3,3,3−ペンタフルオロプロパンスルホネート、2−アダンマンタンカルボニルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、2−アセチルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−ヒドロキシプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−トシルオキシプロパンスルホネート、1,1−ジフルオロ−2−ナフチル−エタンスルホネート、1,1,2,2−テトラフルオロ−2−(ノルボルナン−2−イル)エタンスルホネート、1,1,2,2−テトラフルオロ−2−(テトラシクロ[4.4.0.12,5.17,10]ドデカ−3−エン−8−イル)エタンスルホネート等が挙げられる。またベンジル側のニトロ基をトリフルオロメチル基で置き換えた化合物も同様に用いることができる。 Examples of the nitrobenzyl sulfonate photoacid generator include 2,4-dinitrobenzyl sulfonate, 2-nitrobenzyl sulfonate, and 2,6-dinitrobenzyl sulfonate. Specific examples of the sulfonate include trifluoromethane sulfonate and pentafluoroethane. Sulfonate, nonafluorobutanesulfonate, dodecafluorohexanesulfonate, pentafluoroethyl perfluorocyclohexanesulfonate, heptadecafluorooctanesulfonate, 2,2,2-trifluoroethanesulfonate, pentafluorobenzenesulfonate, 4-trifluoromethylbenzenesulfonate, 4-Fluorobenzenesulfonate, toluenesulfonate, benzenesulfonate, naphthalenesulfonate, camphor Sulfonate, octanesulfonate, dodecylbenzenesulfonate, butanesulfonate, methanesulfonate, 2-benzoyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 1,1,3,3,3-pentafluoro-2- (4-Phenylbenzoyloxy) propanesulfonate, 1,1,3,3,3-pentafluoro-2-pivaloyloxypropanesulfonate, 2-cyclohexanecarbonyloxy-1,1,3,3,3-pentafluoro Propanesulfonate, 1,1,3,3,3-pentafluoro-2-furoyloxypropanesulfonate, 2-naphthoyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 2- (4- tert-butylbenzoyloxy) -1,1,3,3,3 Pentafluoropropane sulfonate, 2-adamantanecarbonylcarbonyl-1,1,3,3,3-pentafluoropropane sulfonate, 2-acetyloxy-1,1,3,3,3-pentafluoropropane sulfonate, 1,1 , 3,3,3-pentafluoro-2-hydroxypropane sulfonate, 1,1,3,3,3-pentafluoro-2-tosyloxypropane sulfonate, 1,1-difluoro-2-naphthyl-ethane sulfonate, 1 , 1,2,2-tetrafluoro-2- (norbornan-2-yl) ethanesulfonate, 1,1,2,2-tetrafluoro-2- (tetracyclo [4.4.0.1 2,5 .1 7,10 ] dodec-3-en-8-yl) ethanesulfonate and the like. A compound in which the nitro group on the benzyl side is replaced with a trifluoromethyl group can also be used.

スルホン型光酸発生剤の例としては、ビス(フェニルスルホニル)メタン、ビス(4−メチルフェニルスルホニル)メタン、ビス(2−ナフチルスルホニル)メタン、2,2−ビス(フェニルスルホニル)プロパン、2,2−ビス(4−メチルフェニルスルホニル)プロパン、2,2−ビス(2−ナフチルスルホニル)プロパン、2−メチル−2−(p−トルエンスルホニル)プロピオフェノン、2−シクロヘキシルカルボニル)−2−(p−トルエンスルホニル)プロパン、2,4−ジメチル−2−(p−トルエンスルホニル)ペンタン−3−オン等が挙げられる。   Examples of the sulfone photoacid generator include bis (phenylsulfonyl) methane, bis (4-methylphenylsulfonyl) methane, bis (2-naphthylsulfonyl) methane, 2,2-bis (phenylsulfonyl) propane, 2, 2-bis (4-methylphenylsulfonyl) propane, 2,2-bis (2-naphthylsulfonyl) propane, 2-methyl-2- (p-toluenesulfonyl) propiophenone, 2-cyclohexylcarbonyl) -2- ( p-toluenesulfonyl) propane, 2,4-dimethyl-2- (p-toluenesulfonyl) pentan-3-one, and the like.

グリオキシム誘導体型の光酸発生剤は、特許第2906999号公報や特開平9−301948号公報に記載の化合物を挙げることができ、具体的にはビス−O−(p−トルエンスルホニル)−α−ジメチルグリオキシム、ビス−O−(p−トルエンスルホニル)−α−ジフェニルグリオキシム、ビス−O−(p−トルエンスルホニル)−α−ジシクロヘキシルグリオキシム、ビス−O−(p−トルエンスルホニル)−2,3−ペンタンジオングリオキシム、ビス−O−(n−ブタンスルホニル)−α−ジメチルグリオキシム、ビス−O−(n−ブタンスルホニル)−α−ジフェニルグリオキシム、ビス−O−(n−ブタンスルホニル)−α−ジシクロヘキシルグリオキシム、ビス−O−(メタンスルホニル)−α−ジメチルグリオキシム、ビス−O−(トリフルオロメタンスルホニル)−α−ジメチルグリオキシム、ビス−O−(2,2,2−トリフルオロエタンスルホニル)−α−ジメチルグリオキシム、ビス−O−(10−カンファースルホニル)−α−ジメチルグリオキシム、ビス−O−(ベンゼンスルホニル)−α−ジメチルグリオキシム、ビス−O−(p−フルオロベンゼンスルホニル)−α−ジメチルグリオキシム、ビス−O−(p−トリフルオロメチルベンゼンスルホニル)−α−ジメチルグリオキシム、ビス−O−(キシレンスルホニル)−α−ジメチルグリオキシム、ビス−O−(トリフルオロメタンスルホニル)−ニオキシム、ビス−O−(2,2,2−トリフルオロエタンスルホニル)−ニオキシム、ビス−O−(10−カンファースルホニル)−ニオキシム、ビス−O−(ベンゼンスルホニル)−ニオキシム、ビス−O−(p−フルオロベンゼンスルホニル)−ニオキシム、ビス−O−(p−トリフルオロメチルベンゼンスルホニル)−ニオキシム、ビス−O−(キシレンスルホニル)−ニオキシム等が挙げられる。   Examples of the glyoxime derivative type photoacid generator include compounds described in Japanese Patent No. 2906999 and Japanese Patent Application Laid-Open No. 9-301948, and specifically, bis-O- (p-toluenesulfonyl) -α-. Dimethylglyoxime, bis-O- (p-toluenesulfonyl) -α-diphenylglyoxime, bis-O- (p-toluenesulfonyl) -α-dicyclohexylglyoxime, bis-O- (p-toluenesulfonyl) -2 , 3-pentanedione glyoxime, bis-O- (n-butanesulfonyl) -α-dimethylglyoxime, bis-O- (n-butanesulfonyl) -α-diphenylglyoxime, bis-O- (n-butane Sulfonyl) -α-dicyclohexylglyoxime, bis-O- (methanesulfonyl) -α-dimethylglyoxime, bis O- (trifluoromethanesulfonyl) -α-dimethylglyoxime, bis-O- (2,2,2-trifluoroethanesulfonyl) -α-dimethylglyoxime, bis-O- (10-camphorsulfonyl) -α- Dimethylglyoxime, bis-O- (benzenesulfonyl) -α-dimethylglyoxime, bis-O- (p-fluorobenzenesulfonyl) -α-dimethylglyoxime, bis-O- (p-trifluoromethylbenzenesulfonyl) -Α-dimethylglyoxime, bis-O- (xylenesulfonyl) -α-dimethylglyoxime, bis-O- (trifluoromethanesulfonyl) -nioxime, bis-O- (2,2,2-trifluoroethanesulfonyl) -Nioxime, bis-O- (10-camphorsulfonyl) -nioxime, bis O- (benzenesulfonyl) -nioxime, bis-O- (p-fluorobenzenesulfonyl) -nioxime, bis-O- (p-trifluoromethylbenzenesulfonyl) -nioxime, bis-O- (xylenesulfonyl) -nioxime, etc. Is mentioned.

また、米国特許第6004724号明細書記載のオキシムスルホネート、特に(5−(4−トルエンスルホニル)オキシイミノ−5H−チオフェン−2−イリデン)フェニルアセトニトリル、(5−(10−カンファースルホニル)オキシイミノ−5H−チオフェン−2−イリデン)フェニルアセトニトリル、(5−n−オクタンスルホニルオキシイミノ−5H−チオフェン−2−イリデン)フェニルアセトニトリル、(5−(4−トルエンスルホニル)オキシイミノ−5H−チオフェン−2−イリデン)(2−メチルフェニル)アセトニトリル、(5−(10−カンファースルホニル)オキシイミノ−5H−チオフェン−2−イリデン)(2−メチルフェニル)アセトニトリル、(5−n−オクタンスルホニルオキシイミノ−5H−チオフェン−2−イリデン)(2−メチルフェニル)アセトニトリル等が挙げられ、更に米国特許第6916591号明細書記載の(5−(4−(4−トルエンスルホニルオキシ)ベンゼンスルホニル)オキシイミノ−5H−チオフェン−2−イリデン)フェニルアセトニトリル、(5−(2,5−ビス(4−トルエンスルホニルオキシ)ベンゼンスルホニル)オキシイミノ−5H−チオフェン−2−イリデン)フェニルアセトニトリル等が挙げられる。   Further, oxime sulfonates described in US Pat. No. 6,0047,424, particularly (5- (4-toluenesulfonyl) oxyimino-5H-thiophen-2-ylidene) phenylacetonitrile, (5- (10-camphorsulfonyl) oxyimino-5H- Thiophen-2-ylidene) phenylacetonitrile, (5-n-octanesulfonyloxyimino-5H-thiophen-2-ylidene) phenylacetonitrile, (5- (4-toluenesulfonyl) oxyimino-5H-thiophen-2-ylidene) ( 2-Methylphenyl) acetonitrile, (5- (10-camphorsulfonyl) oxyimino-5H-thiophen-2-ylidene) (2-methylphenyl) acetonitrile, (5-n-octanesulfonyloxyimino-5H-thiof N-2-ylidene) (2-methylphenyl) acetonitrile and the like, and (5- (4- (4-toluenesulfonyloxy) benzenesulfonyl) oxyimino-5H-thiophene- described in US Pat. No. 6,916,591. 2-ylidene) phenylacetonitrile, (5- (2,5-bis (4-toluenesulfonyloxy) benzenesulfonyl) oxyimino-5H-thiophen-2-ylidene) phenylacetonitrile, and the like.

米国特許第6261738号明細書、特開2000−314956号公報記載のオキシムスルホネート、特に2,2,2−トリフルオロ−1−フェニル−エタノンオキシム−O−メチルスルホナート、2,2,2−トリフルオロ−1−フェニル−エタノンオキシム−O−(10−カンホリルスルホナート)、2,2,2−トリフルオロ−1−フェニル−エタノンオキシム−O−(4−メトキシフェニルスルホナート)、2,2,2−トリフルオロ−1−フェニル−エタノンオキシム−O−(1−ナフチルスルホナート)、2,2,2−トリフルオロ−1−フェニル−エタノンオキシム−O−(2−ナフチルスルホナート)、2,2,2−トリフルオロ−1−フェニル−エタノンオキシム−O−(2,4,6−トリメチルフェニルスルホナート)、2,2,2−トリフルオロ−1−(4−メチルフェニル)−エタノンオキシム−O−(10−カンホリルスルホナート)、2,2,2−トリフルオロ−1−(4−メチルフェニル)−エタノンオキシム−O−(メチルスルホナート)、2,2,2−トリフルオロ−1−(2−メチルフェニル)−エタノンオキシム−O−(10−カンホリルスルホナート)、2,2,2−トリフルオロ−1−(2,4−ジメチルフェニル)−エタノンオキシム−O−(10−カンホリルスルホナート)、2,2,2−トリフルオロ−1−(2,4−ジメチルフェニル)−エタノンオキシム−O−(1−ナフチルスルホナート)、2,2,2−トリフルオロ−1−(2,4−ジメチルフェニル)−エタノンオキシム−O−(2−ナフチルスルホナート)、2,2,2−トリフルオロ−1−(2,4,6−トリメチルフェニル)−エタノンオキシム−O−(10−カンホリルスルホナート)、2,2,2−トリフルオロ−1−(2,4,6−トリメチルフェニル)−エタノンオキシム−O−(1−ナフチルスルホナート)、2,2,2−トリフルオロ−1−(2,4,6−トリメチルフェニル)−エタノンオキシム−O−(2−ナフチルスルホナート)、2,2,2−トリフルオロ−1−(4−メトキシフェニル)−エタノンオキシム−O−メチルスルホナート、2,2,2−トリフルオロ−1−(4−メチルチオフェニル)−エタノンオキシム−O−メチルスルホナート、2,2,2−トリフルオロ−1−(3,4−ジメトキシフェニル)−エタノンオキシム−O−メチルスルホナート、2,2,3,3,4,4,4−ヘプタフルオロ−1−フェニル−ブタノンオキシム−O−(10−カンホリルスルホナート)、2,2,2−トリフルオロ−1−(フェニル)−エタノンオキシム−O−メチルスルホナート、2,2,2−トリフルオロ−1−(フェニル)−エタノンオキシム−O−10−カンホリルスルホナート、2,2,2−トリフルオロ−1−(フェニル)−エタノンオキシム−O−(4−メトキシフェニル)スルホナート、2,2,2−トリフルオロ−1−(フェニル)−エタノンオキシム−O−(1−ナフチル)スルホナート、2,2,2−トリフルオロ−1−(フェニル)−エタノンオキシム−O−(2−ナフチル)スルホナート、2,2,2−トリフルオロ−1−(フェニル)−エタノンオキシム−O−(2,4,6−トリメチルフェニル)スルホナート、2,2,2−トリフルオロ−1−(4−メチルフェニル)−エタノンオキシム−O−(10−カンホリル)スルホナート、2,2,2−トリフルオロ−1−(4−メチルフェニル)−エタノンオキシム−O−メチルスルホナート、2,2,2−トリフルオロ−1−(2−メチルフェニル)−エタノンオキシム−O−(10−カンホリル)スルホナート、2,2,2−トリフルオロ−1−(2,4−ジメチルフェニル)−エタノンオキシム−O−(1−ナフチル)スルホナート、2,2,2−トリフルオロ−1−(2,4−ジメチルフェニル)−エタノンオキシム−O−(2−ナフチル)スルホナート、2,2,2−トリフルオロ−1−(2,4,6−トリメチルフェニル)−エタノンオキシム−O−(10−カンホリル)スルホナート、2,2,2−トリフルオロ−1−(2,4,6−トリメチルフェニル)−エタノンオキシム−O−(1−ナフチル)スルホナート、2,2,2−トリフルオロ−1−(2,4,6−トリメチルフェニル)−エタノンオキシム−O−(2−ナフチル)スルホナート、2,2,2−トリフルオロ−1−(4−メトキシフェニル)−エタノンオキシム−O−メチルスルホナート、2,2,2−トリフルオロ−1−(4−チオメチルフェニル)−エタノンオキシム−O−メチルスルホナート、2,2,2−トリフルオロ−1−(3,4−ジメトキシフェニル)−エタノンオキシム−O−メチルスルホナート、2,2,2−トリフルオロ−1−(4−メトキシフェニル)−エタノンオキシム−O−(4−メチルフェニル)スルホナート、2,2,2−トリフルオロ−1−(4−メトキシフェニル)−エタノンオキシム−O−(4−メトキシフェニル)スルホナート、2,2,2−トリフルオロ−1−(4−メトキシフェニル)−エタノンオキシム−O−(4−ドデシルフェニル)スルホナート、2,2,2−トリフルオロ−1−(4−メトキシフェニル)−エタノンオキシム−O−オクチルスルホナート、2,2,2−トリフルオロ−1−(4−チオメチルフェニル)−エタノンオキシム−O−(4−メトキシフェニル)スルホナート、2,2,2−トリフルオロ−1−(4−チオメチルフェニル)−エタノンオキシム−O−(4−ドデシルフェニル)スルホナート、2,2,2−トリフルオロ−1−(4−チオメチルフェニル)−エタノンオキシム−O−オクチルスルホナート、2,2,2−トリフルオロ−1−(4−チオメチルフェニル)−エタノンオキシム−O−(2−ナフチル)スルホナート、2,2,2−トリフルオロ−1−(2−メチルフェニル)−エタノンオキシム−O−メチルスルホナート、2,2,2−トリフルオロ−1−(4−メチルフェニル)−エタノンオキシム−O−フェニルスルホナート、2,2,2−トリフルオロ−1−(4−クロロフェニル)−エタノンオキシム−O−フェニルスルホナート、2,2,3,3,4,4,4−ヘプタフルオロ−1−(フェニル)−ブタノンオキシム−O−(10−カンホリル)スルホナート、2,2,2−トリフルオロ−1−ナフチル−エタノンオキシム−O−メチルスルホナート、2,2,2−トリフルオロ−2−ナフチル−エタノンオキシム−O−メチルスルホナート、2,2,2−トリフルオロ−1−[4−ベンジルフェニル]−エタノンオキシム−O−メチルスルホナート、2,2,2−トリフルオロ−1−[4−(フェニル−1,4−ジオキサ−ブト−1−イル)フェニル]−エタノンオキシム−O−メチルスルホナート、2,2,2−トリフルオロ−1−ナフチル−エタノンオキシム−O−プロピルスルホナート、2,2,2−トリフルオロ−2−ナフチル−エタノンオキシム−O−プロピルスルホナート、2,2,2−トリフルオロ−1−[4−ベンジルフェニル]−エタノンオキシム−O−プロピルスルホナート、2,2,2−トリフルオロ−1−[4−メチルスルホニルフェニル]−エタノンオキシム−O−プロピルスルホナート、1,3−ビス[1−(4−フェノキシフェニル)−2,2,2−トリフルオロエタノンオキシム−O−スルホニル]フェニル、2,2,2−トリフルオロ−1−[4−メチルスルホニルオキシフェニル]−エタノンオキシム−O−プロピルスルホナート、2,2,2−トリフルオロ−1−[4−メチルカルボニルオキシフェニル]−エタノンオキシム−O−プロピルスルホナート、2,2,2−トリフルオロ−1−[6H,7H−5,8−ジオキソナフト−2−イル]−エタノンオキシム−O−プロピルスルホナート、2,2,2−トリフルオロ−1−[4−メトキシカルボニルメトキシフェニル]−エタノンオキシム−O−プロピルスルホナート、2,2,2−トリフルオロ−1−[4−(メトキシカルボニル)−(4−アミノ−1−オキサ−ペンタ−1−イル)−フェニル]−エタノンオキシム−O−プロピルスルホナート、2,2,2−トリフルオロ−1−[3,5−ジメチル−4−エトキシフェニル]−エタノンオキシム−O−プロピルスルホナート、2,2,2−トリフルオロ−1−[4−ベンジルオキシフェニル]−エタノンオキシム−O−プロピルスルホナート、2,2,2−トリフルオロ−1−[2−チオフェニル]−エタノンオキシム−O−プロピルスルホナート、及び2,2,2−トリフルオロ−1−[1−ジオキサ−チオフェン−2−イル]−エタノンオキシム−O−プロピルスルホナート、2,2,2−トリフルオロ−1−(4−(3−(4−(2,2,2−トリフルオロ−1−(トリフルオロメタンスルホニルオキシイミノ)−エチル)−フェノキシ)−プロポキシ)−フェニル)エタノンオキシム(トリフルオロメタンスルホネート)、2,2,2−トリフルオロ−1−(4−(3−(4−(2,2,2−トリフルオロ−1−(1−プロパンスルホニルオキシイミノ)−エチル)−フェノキシ)−プロポキシ)−フェニル)エタノンオキシム(1−プロパンスルホネート)、2,2,2−トリフルオロ−1−(4−(3−(4−(2,2,2−トリフルオロ−1−(1−ブタンスルホニルオキシイミノ)−エチル)−フェノキシ)−プロポキシ)−フェニル)エタノンオキシム(1−ブタンスルホネート)等が挙げられ、更に米国特許第6916591号明細書記載の2,2,2−トリフルオロ−1−(4−(3−(4−(2,2,2−トリフルオロ−1−(4−(4−メチルフェニルスルホニルオキシ)フェニルスルホニルオキシイミノ)−エチル)−フェノキシ)−プロポキシ)−フェニル)エタノンオキシム(4−(4−メチルフェニルスルホニルオキシ)フェニルスルホネート)、2,2,2−トリフルオロ−1−(4−(3−(4−(2,2,2−トリフルオロ−1−(2,5−ビス(4−メチルフェニルスルホニルオキシ)ベンゼンスルホニルオキシ)フェニルスルホニルオキシイミノ)−エチル)−フェノキシ)−プロポキシ)−フェニル)エタノンオキシム(2,5−ビス(4−メチルフェニルスルホニルオキシ)ベンゼンスルホニルオキシ)フェニルスルホネート)等が挙げられる。   U.S. Pat. No. 6,261,738, JP-A-2000-314956, oxime sulfonates, particularly 2,2,2-trifluoro-1-phenyl-ethanone oxime-O-methylsulfonate, 2,2,2- Trifluoro-1-phenyl-ethanone oxime-O- (10-camphoryl sulfonate), 2,2,2-trifluoro-1-phenyl-ethanone oxime-O- (4-methoxyphenyl sulfonate), 2,2,2-trifluoro-1-phenyl-ethanone oxime-O- (1-naphthylsulfonate), 2,2,2-trifluoro-1-phenyl-ethanone oxime-O- (2-naphthyl) Sulfonate), 2,2,2-trifluoro-1-phenyl-ethanone oxime-O- (2,4,6-trimethylphenylsulfonate 2,2,2-trifluoro-1- (4-methylphenyl) -ethanone oxime-O- (10-camphoryl sulfonate), 2,2,2-trifluoro-1- (4-methylphenyl) ) -Ethanone oxime-O- (methyl sulfonate), 2,2,2-trifluoro-1- (2-methylphenyl) -ethanone oxime-O- (10-camphoryl sulfonate), 2,2 , 2-Trifluoro-1- (2,4-dimethylphenyl) -ethanone oxime-O- (10-camphoryl sulfonate), 2,2,2-trifluoro-1- (2,4-dimethylphenyl) ) -Ethanone oxime-O- (1-naphthyl sulfonate), 2,2,2-trifluoro-1- (2,4-dimethylphenyl) -ethanone oxime-O- (2-naphthyl sulfonate), 2 2,2-trifluoro-1- (2,4,6-trimethylphenyl) -ethanone oxime-O- (10-camphoryl sulfonate), 2,2,2-trifluoro-1- (2,4 , 6-Trimethylphenyl) -ethanone oxime-O- (1-naphthylsulfonate), 2,2,2-trifluoro-1- (2,4,6-trimethylphenyl) -ethanone oxime-O- ( 2-naphthylsulfonate), 2,2,2-trifluoro-1- (4-methoxyphenyl) -ethanone oxime-O-methylsulfonate, 2,2,2-trifluoro-1- (4-methylthio) Phenyl) -ethanone oxime-O-methylsulfonate, 2,2,2-trifluoro-1- (3,4-dimethoxyphenyl) -ethanone oxime-O-methylsulfonate, 2,2,3 , 3,4,4,4-Heptafluoro-1-phenyl-butanone oxime-O- (10-camphoryl sulfonate), 2,2,2-trifluoro-1- (phenyl) -ethanone oxime-O -Methyl sulfonate, 2,2,2-trifluoro-1- (phenyl) -ethanone oxime-O-10-camphoryl sulfonate, 2,2,2-trifluoro-1- (phenyl) -ethanone Oxime-O- (4-methoxyphenyl) sulfonate, 2,2,2-trifluoro-1- (phenyl) -ethanone oxime-O- (1-naphthyl) sulfonate, 2,2,2-trifluoro-1 -(Phenyl) -ethanone oxime-O- (2-naphthyl) sulfonate, 2,2,2-trifluoro-1- (phenyl) -ethanone oxime-O- (2,4,6-tri Tilphenyl) sulfonate, 2,2,2-trifluoro-1- (4-methylphenyl) -ethanone oxime-O- (10-camphoryl) sulfonate, 2,2,2-trifluoro-1- (4-methyl) Phenyl) -ethanone oxime-O-methylsulfonate, 2,2,2-trifluoro-1- (2-methylphenyl) -ethanone oxime-O- (10-camphoryl) sulfonate, 2,2,2- Trifluoro-1- (2,4-dimethylphenyl) -ethanone oxime-O- (1-naphthyl) sulfonate, 2,2,2-trifluoro-1- (2,4-dimethylphenyl) -ethanone oxime -O- (2-naphthyl) sulfonate, 2,2,2-trifluoro-1- (2,4,6-trimethylphenyl) -ethanone oxime-O- (10- Morpholyl) sulfonate, 2,2,2-trifluoro-1- (2,4,6-trimethylphenyl) -ethanone oxime-O- (1-naphthyl) sulfonate, 2,2,2-trifluoro-1- (2,4,6-trimethylphenyl) -ethanone oxime-O- (2-naphthyl) sulfonate, 2,2,2-trifluoro-1- (4-methoxyphenyl) -ethanone oxime-O-methylsulfo Narate, 2,2,2-trifluoro-1- (4-thiomethylphenyl) -ethanone oxime-O-methylsulfonate, 2,2,2-trifluoro-1- (3,4-dimethoxyphenyl) -Ethanone oxime-O-methylsulfonate, 2,2,2-trifluoro-1- (4-methoxyphenyl) -ethanone oxime-O- (4-methylphenyl) sulfur Honate, 2,2,2-trifluoro-1- (4-methoxyphenyl) -ethanone oxime-O- (4-methoxyphenyl) sulfonate, 2,2,2-trifluoro-1- (4-methoxyphenyl) ) -Ethanone oxime-O- (4-dodecylphenyl) sulfonate, 2,2,2-trifluoro-1- (4-methoxyphenyl) -ethanone oxime-O-octylsulfonate, 2,2,2- Trifluoro-1- (4-thiomethylphenyl) -ethanone oxime-O- (4-methoxyphenyl) sulfonate, 2,2,2-trifluoro-1- (4-thiomethylphenyl) -ethanone oxime O- (4-dodecylphenyl) sulfonate, 2,2,2-trifluoro-1- (4-thiomethylphenyl) -ethanone oxime-O-octyl Sulfonate, 2,2,2-trifluoro-1- (4-thiomethylphenyl) -ethanone oxime-O- (2-naphthyl) sulfonate, 2,2,2-trifluoro-1- (2-methylphenyl) ) -Ethanone oxime-O-methyl sulfonate, 2,2,2-trifluoro-1- (4-methylphenyl) -ethanone oxime-O-phenyl sulfonate, 2,2,2-trifluoro-1 -(4-Chlorophenyl) -ethanone oxime-O-phenyl sulfonate, 2,2,3,3,4,4,4-heptafluoro-1- (phenyl) -butanone oxime-O- (10-camphoryl) Sulfonate, 2,2,2-trifluoro-1-naphthyl-ethanone oxime-O-methylsulfonate, 2,2,2-trifluoro-2-naphthyl-ethanone Shim-O-methylsulfonate, 2,2,2-trifluoro-1- [4-benzylphenyl] -ethanone oxime-O-methylsulfonate, 2,2,2-trifluoro-1- [4- (Phenyl-1,4-dioxa-but-1-yl) phenyl] -ethanone oxime-O-methyl sulfonate, 2,2,2-trifluoro-1-naphthyl-ethanone oxime-O-propyl sulfonate 2,2,2-trifluoro-2-naphthyl-ethanone oxime-O-propyl sulfonate, 2,2,2-trifluoro-1- [4-benzylphenyl] -ethanone oxime-O-propyl sulfone Narate, 2,2,2-trifluoro-1- [4-methylsulfonylphenyl] -ethanone oxime-O-propylsulfonate, 1,3-bis [1- (4-phenyl) Enoxyphenyl) -2,2,2-trifluoroethanone oxime-O-sulfonyl] phenyl, 2,2,2-trifluoro-1- [4-methylsulfonyloxyphenyl] -ethanone oxime-O-propylsulfonate, 2,2,2-trifluoro-1- [4-methylcarbonyloxyphenyl] -ethanone oxime-O-propylsulfonate, 2,2,2-trifluoro-1- [6H, 7H-5,8- Dioxonaphth-2-yl] -ethanone oxime-O-propyl sulfonate, 2,2,2-trifluoro-1- [4-methoxycarbonylmethoxyphenyl] -ethanone oxime-O-propyl sulfonate, 2,2 , 2-Trifluoro-1- [4- (methoxycarbonyl)-(4-amino-1-oxa-pent-1-yl) -fur Nyl] -ethanone oxime-O-propyl sulfonate, 2,2,2-trifluoro-1- [3,5-dimethyl-4-ethoxyphenyl] -ethanone oxime-O-propyl sulfonate, 2,2 , 2-trifluoro-1- [4-benzyloxyphenyl] -ethanone oxime-O-propylsulfonate, 2,2,2-trifluoro-1- [2-thiophenyl] -ethanone oxime-O-propyl Sulfonate and 2,2,2-trifluoro-1- [1-dioxa-thiophen-2-yl] -ethanone oxime-O-propyl sulfonate, 2,2,2-trifluoro-1- (4 -(3- (4- (2,2,2-trifluoro-1- (trifluoromethanesulfonyloxyimino) -ethyl) -phenoxy) -propoxy) -phenyl) Tanone oxime (trifluoromethanesulfonate), 2,2,2-trifluoro-1- (4- (3- (4- (2,2,2-trifluoro-1- (1-propanesulfonyloxyimino) -ethyl) -Phenoxy) -propoxy) -phenyl) ethanone oxime (1-propanesulfonate), 2,2,2-trifluoro-1- (4- (3- (4- (2,2,2-trifluoro-1) -(1-butanesulfonyloxyimino) -ethyl) -phenoxy) -propoxy) -phenyl) ethanone oxime (1-butanesulfonate) and the like, and 2,2,2 described in US Pat. No. 6,916,591 -Trifluoro-1- (4- (3- (4- (2,2,2-trifluoro-1- (4- (4-methylphenylsulfonyloxy) phenylsulfo Nyloxyimino) -ethyl) -phenoxy) -propoxy) -phenyl) ethanone oxime (4- (4-methylphenylsulfonyloxy) phenylsulfonate), 2,2,2-trifluoro-1- (4- (3- ( 4- (2,2,2-trifluoro-1- (2,5-bis (4-methylphenylsulfonyloxy) benzenesulfonyloxy) phenylsulfonyloxyimino) -ethyl) -phenoxy) -propoxy) -phenyl) eta Nonoxime (2,5-bis (4-methylphenylsulfonyloxy) benzenesulfonyloxy) phenylsulfonate) and the like.

特開平9−95479号公報、特開平9−230588号公報あるいは文中の従来技術として記載のオキシムスルホネートα−(p−トルエンスルホニルオキシイミノ)−フェニルアセトニトリル、α−(p−クロロベンゼンスルホニルオキシイミノ)−フェニルアセトニトリル、α−(4−ニトロベンゼンスルホニルオキシイミノ)−フェニルアセトニトリル、α−(4−ニトロ−2−トリフルオロメチルベンゼンスルホニルオキシイミノ)−フェニルアセトニトリル、α−(ベンゼンスルホニルオキシイミノ)−4−クロロフェニルアセトニトリル、α−(ベンゼンスルホニルオキシイミノ)−2,4−ジクロロフェニルアセトニトリル、α−(ベンゼンスルホニルオキシイミノ)−2,6−ジクロロフェニルアセトニトリル、α−(ベンゼンスルホニルオキシイミノ)−4−メトキシフェニルアセトニトリル、α−(2−クロロベンゼンスルホニルオキシイミノ)−4−メトキシフェニルアセトニトリル、α−(ベンゼンスルホニルオキシイミノ)−2−チエニルアセトニトリル、α−(4−ドデシルベンゼンスルホニルオキシイミノ)−フェニルアセトニトリル、α−[(4−トルエンスルホニルオキシイミノ)−4−メトキシフェニル]アセトニトリル、α−[(ドデシルベンゼンスルホニルオキシイミノ)−4−メトキシフェニル]アセトニトリル、α−(トシルオキシイミノ)−3−チエニルアセトニトリル、α−(メチルスルホニルオキシイミノ)−1−シクロペンテニルアセトニトリル、α−(エチルスルホニルオキシイミノ)−1−シクロペンテニルアセトニトリル、α−(イソプロピルスルホニルオキシイミノ)−1−シクロペンテニルアセトニトリル、α−(n−ブチルスルホニルオキシイミノ)−1−シクロペンテニルアセトニトリル、α−(エチルスルホニルオキシイミノ)−1−シクロヘキセニルアセトニトリル、α−(イソプロピルスルホニルオキシイミノ)−1−シクロヘキセニルアセトニトリル、α−(n−ブチルスルホニルオキシイミノ)−1−シクロヘキセニルアセトニトリル等が挙げられる。   JP-A-9-95479, JP-A-9-230588, or oxime sulfonate α- (p-toluenesulfonyloxyimino) -phenylacetonitrile, α- (p-chlorobenzenesulfonyloxyimino)- Phenylacetonitrile, α- (4-nitrobenzenesulfonyloxyimino) -phenylacetonitrile, α- (4-nitro-2-trifluoromethylbenzenesulfonyloxyimino) -phenylacetonitrile, α- (benzenesulfonyloxyimino) -4-chlorophenyl Acetonitrile, α- (benzenesulfonyloxyimino) -2,4-dichlorophenylacetonitrile, α- (benzenesulfonyloxyimino) -2,6-dichlorophenylacetonitrile, α- (benzene Sulfonyloxyimino) -4-methoxyphenylacetonitrile, α- (2-chlorobenzenesulfonyloxyimino) -4-methoxyphenylacetonitrile, α- (benzenesulfonyloxyimino) -2-thienylacetonitrile, α- (4-dodecylbenzenesulfonyl) Oxyimino) -phenylacetonitrile, α-[(4-toluenesulfonyloxyimino) -4-methoxyphenyl] acetonitrile, α-[(dodecylbenzenesulfonyloxyimino) -4-methoxyphenyl] acetonitrile, α- (tosyloxyimino ) -3-thienylacetonitrile, α- (methylsulfonyloxyimino) -1-cyclopentenylacetonitrile, α- (ethylsulfonyloxyimino) -1-cyclopentenylacetonitrile, α- Isopropylsulfonyloxyimino) -1-cyclopentenylacetonitrile, α- (n-butylsulfonyloxyimino) -1-cyclopentenylacetonitrile, α- (ethylsulfonyloxyimino) -1-cyclohexenylacetonitrile, α- (isopropylsulfonyloxy) Imino) -1-cyclohexenylacetonitrile, α- (n-butylsulfonyloxyimino) -1-cyclohexenylacetonitrile, and the like.

下記式で示されるオキシムスルホネート(例えばWO2004/074242に具体例記載)が挙げられる。

Figure 2008257166

(上式中、RS1は置換又は非置換の炭素数1〜10のハロアルキルスルホニル又はハロベンゼンスルホニル基を表す。RS2は炭素数1〜11のハロアルキル基を表す。ArS1は置換又は非置換の芳香族基又はヘテロ芳香族基を表す。) Examples thereof include oxime sulfonates represented by the following formula (for example, specific examples are described in WO2004 / 074242).
Figure 2008257166

(In the above formula, R S1 represents a substituted or unsubstituted C 1-10 haloalkylsulfonyl or halobenzenesulfonyl group. R S2 represents a C 1-11 haloalkyl group. Ar S1 is substituted or unsubstituted. Represents an aromatic group or a heteroaromatic group.)

具体的には、2−[2,2,3,3,4,4,5,5−オクタフルオロ−1−(ノナフルオロブチルスルホニルオキシイミノ)−ペンチル]−フルオレン、2−[2,2,3,3,4,4−ペンタフルオロ−1−(ノナフルオロブチルスルホニルオキシイミノ)−ブチル]−フルオレン、2−[2,2,3,3,4,4,5,5,6,6−デカフルオロ−1−(ノナフルオロブチルスルホニルオキシイミノ)−ヘキシル]−フルオレン、2−[2,2,3,3,4,4,5,5−オクタフルオロ−1−(ノナフルオロブチルスルホニルオキシイミノ)−ペンチル]−4−ビフェニル、2−[2,2,3,3,4,4−ペンタフルオロ−1−(ノナフルオロブチルスルホニルオキシイミノ)−ブチル]−4−ビフェニル、2−[2,2,3,3,4,4,5,5,6,6−デカフルオロ−1−(ノナフルオロブチルスルホニルオキシイミノ)−ヘキシル]−4−ビフェニル等が挙げられる。   Specifically, 2- [2,2,3,3,4,4,5,5-octafluoro-1- (nonafluorobutylsulfonyloxyimino) -pentyl] -fluorene, 2- [2,2, 3,3,4,4-pentafluoro-1- (nonafluorobutylsulfonyloxyimino) -butyl] -fluorene, 2- [2,2,3,3,4,4,5,5,6,6- Decafluoro-1- (nonafluorobutylsulfonyloxyimino) -hexyl] -fluorene, 2- [2,2,3,3,4,4,5,5-octafluoro-1- (nonafluorobutylsulfonyloxyimino) ) -Pentyl] -4-biphenyl, 2- [2,2,3,3,4,4-pentafluoro-1- (nonafluorobutylsulfonyloxyimino) -butyl] -4-biphenyl, 2- [2, 2, 3, 3 4,4,5,5,6,6- deca fluoro-1- (nonafluorobutylsulfonyloxy-imino) - hexyl] -4-biphenyl, and the like.

また、ビスオキシムスルホネートとして特開平9−208554号公報記載の化合物、特にビス(α−(4−トルエンスルホニルオキシ)イミノ)−p−フェニレンジアセトニトリル、ビス(α−(ベンゼンスルホニルオキシ)イミノ)−p−フェニレンジアセトニトリル、ビス(α−(メタンスルホニルオキシ)イミノ)−p−フェニレンジアセトニトリルビス(α−(ブタンスルホニルオキシ)イミノ)−p−フェニレンジアセトニトリル、ビス(α−(10−カンファースルホニルオキシ)イミノ)−p−フェニレンジアセトニトリル、ビス(α−(4−トルエンスルホニルオキシ)イミノ)−p−フェニレンジアセトニトリル、ビス(α−(トリフルオロメタンスルホニルオキシ)イミノ)−p−フェニレンジアセトニトリル、ビス(α−(4−メトキシベンゼンスルホニルオキシ)イミノ)−p−フェニレンジアセトニトリル、ビス(α−(4−トルエンスルホニルオキシ)イミノ)−m−フェニレンジアセトニトリル、ビス(α−(ベンゼンスルホニルオキシ)イミノ)−m−フェニレンジアセトニトリル、ビス(α−(メタンスルホニルオキシ)イミノ)−m−フェニレンジアセトニトリルビス(α−(ブタンスルホニルオキシ)イミノ)−m−フェニレンジアセトニトリル、ビス(α−(10−カンファースルホニルオキシ)イミノ)−m−フェニレンジアセトニトリル、ビス(α−(4−トルエンスルホニルオキシ)イミノ)−m−フェニレンジアセトニトリル、ビス(α−(トリフルオロメタンスルホニルオキシ)イミノ)−m−フェニレンジアセトニトリル、ビス(α−(4−メトキシベンゼンスルホニルオキシ)イミノ)−m−フェニレンジアセトニトリル等が挙げられる。   Further, as bisoxime sulfonate, compounds described in JP-A-9-208554, particularly bis (α- (4-toluenesulfonyloxy) imino) -p-phenylenediacetonitrile, bis (α- (benzenesulfonyloxy) imino)- p-phenylenediacetonitrile, bis (α- (methanesulfonyloxy) imino) -p-phenylenediacetonitrilebis (α- (butanesulfonyloxy) imino) -p-phenylenediacetonitrile, bis (α- (10-camphorsulfonyl) Oxy) imino) -p-phenylenediacetonitrile, bis (α- (4-toluenesulfonyloxy) imino) -p-phenylenediacetonitrile, bis (α- (trifluoromethanesulfonyloxy) imino) -p-phenylenediacetonitrile, Screw (α- (4-Methoxybenzenesulfonyloxy) imino) -p-phenylenediacetonitrile, bis (α- (4-toluenesulfonyloxy) imino) -m-phenylenediacetonitrile, bis (α- (benzenesulfonyloxy) imino) -m -Phenylenediacetonitrile, bis (α- (methanesulfonyloxy) imino) -m-phenylenediacetonitrilebis (α- (butanesulfonyloxy) imino) -m-phenylenediacetonitrile, bis (α- (10-camphorsulfonyloxy) ) Imino) -m-phenylenediacetonitrile, bis (α- (4-toluenesulfonyloxy) imino) -m-phenylenediacetonitrile, bis (α- (trifluoromethanesulfonyloxy) imino) -m-phenylenediacetonitrile, bis (Α- (4-methoxybenzenesulfonyloxy) imino) -m-phenylenediacetonitrile and the like.

中でも好ましく用いられる光酸発生剤としては、スルホニウム塩、ビススルホニルジアゾメタン、N−スルホニルオキシイミド、オキシム−O−スルホネート、グリオキシム誘導体である。より好ましく用いられる光酸発生剤としては、スルホニウム塩、ビススルホニルジアゾメタン、N−スルホニルオキシイミド、オキシム−O−スルホネートである。具体的にはトリフェニルスルホニウムp−トルエンスルホネート、トリフェニルスルホニウムカンファースルホネート、トリフェニルスルホニウムペンタフルオロベンゼンスルホネート、トリフェニルスルホニウムノナフルオロブタンスルホネート、トリフェニルスルホニウム4−(4’−トルエンスルホニルオキシ)ベンゼンスルホネート、トリフェニルスルホニウム−2,4,6−トリイソプロピルベンゼンスルホネート、4−tert−ブトキシフェニルジフェニルスルホニウムp−トルエンスルホネート、4−tert−ブトキシフェニルジフェニルスルホニウムカンファースルホネート、4−tert−ブトキシフェニルジフェニルスルホニウム4−(4’−トルエンスルホニルオキシ)ベンゼンスルホネート、トリス(4−メチルフェニル)スルホニウム、カンファースルホネート、トリス(4−tertブチルフェニル)スルホニウムカンファースルホネート、4−tert−ブチルフェニルジフェニルスルホニウムカンファースルホネート、4−tert−ブチルフェニルジフェニルスルホニウムノナフルオロ−1−ブタンスルホネート、4−tert−ブチルフェニルジフェニルスルホニウムペンタフルオロエチルパーフルオロシクロヘキサンスルホネート、4−tert−ブチルフェニルジフェニルスルホニウムパーフルオロ−1−オクタンスルホネート、トリフェニルスルホニウム1,1−ジフルオロ−2−ナフチル−エタンスルホネート、トリフェニルスルホニウム1,1,2,2−テトラフルオロ−2−(ノルボルナン−2−イル)エタンスルホネート、ビス(tert−ブチルスルホニル)ジアゾメタン、ビス(シクロへキシルスルホニル)ジアゾメタン、ビス(2,4−ジメチルフェニルスルホニル)ジアゾメタン、ビス(4−n−ヘキシルオキシ)フェニルスルホニル)ジアゾメタン、ビス(2−メチル−4−(n−ヘキシルオキシ)フェニルスルホニル)ジアゾメタン、ビス(2,5−ジメチル−4−(n−ヘキシルオキシ)フェニルスルホニル)ジアゾメタン、ビス(3,5−ジメチル−4−(n−ヘキシルオキシ)フェニルスルホニル)ジアゾメタン、ビス(2−メチル−5−イソプロピル−4−(n−ヘキシルオキシ)フェニルスルホニル)ジアゾメタン、ビス(4−tert−ブチルフェニルスルホニル)ジアゾメタン、N−カンファースルホニルオキシ−5−ノルボルネン−2,3−ジカルボン酸イミド、N−p−トルエンスルホニルオキシ−5−ノルボルネン−2,3−ジカルボン酸イミド、2−[2,2,3,3,4,4,5,5−オクタフルオロ−1−(ノナフルオロブチルスルホニルオキシイミノ)−ペンチル]−フルオレン、2−[2,2,3,3,4,4−ペンタフルオロ−1−(ノナフルオロブチルスルホニルオキシイミノ)−ブチル]−フルオレン、2−[2,2,3,3,4,4,5,5,6,6−デカフルオロ−1−(ノナフルオロブチルスルホニルオキシイミノ)−ヘキシル]−フルオレン等が挙げられる。   Among them, preferred photoacid generators are sulfonium salts, bissulfonyldiazomethane, N-sulfonyloxyimide, oxime-O-sulfonate, and glyoxime derivatives. More preferably used photoacid generators are sulfonium salts, bissulfonyldiazomethanes, N-sulfonyloxyimides, and oxime-O-sulfonates. Specifically, triphenylsulfonium p-toluenesulfonate, triphenylsulfonium camphorsulfonate, triphenylsulfonium pentafluorobenzenesulfonate, triphenylsulfonium nonafluorobutanesulfonate, triphenylsulfonium 4- (4′-toluenesulfonyloxy) benzenesulfonate, Triphenylsulfonium-2,4,6-triisopropylbenzenesulfonate, 4-tert-butoxyphenyldiphenylsulfonium p-toluenesulfonate, 4-tert-butoxyphenyldiphenylsulfonium camphorsulfonate, 4-tert-butoxyphenyldiphenylsulfonium 4- ( 4′-Toluenesulfonyloxy) benzenesulfonate, tris (4-methyl) Phenyl) sulfonium, camphorsulfonate, tris (4-tertbutylphenyl) sulfonium camphorsulfonate, 4-tert-butylphenyldiphenylsulfonium camphorsulfonate, 4-tert-butylphenyldiphenylsulfonium nonafluoro-1-butanesulfonate, 4-tert- Butylphenyldiphenylsulfonium pentafluoroethyl perfluorocyclohexanesulfonate, 4-tert-butylphenyldiphenylsulfonium perfluoro-1-octanesulfonate, triphenylsulfonium 1,1-difluoro-2-naphthyl-ethanesulfonate, triphenylsulfonium 1,1 , 2,2-Tetrafluoro-2- (norbornan-2-yl) ethanesulfonate Bis (tert-butylsulfonyl) diazomethane, bis (cyclohexylsulfonyl) diazomethane, bis (2,4-dimethylphenylsulfonyl) diazomethane, bis (4-n-hexyloxy) phenylsulfonyl) diazomethane, bis (2-methyl- 4- (n-hexyloxy) phenylsulfonyl) diazomethane, bis (2,5-dimethyl-4- (n-hexyloxy) phenylsulfonyl) diazomethane, bis (3,5-dimethyl-4- (n-hexyloxy) Phenylsulfonyl) diazomethane, bis (2-methyl-5-isopropyl-4- (n-hexyloxy) phenylsulfonyl) diazomethane, bis (4-tert-butylphenylsulfonyl) diazomethane, N-camphorsulfonyloxy-5-norbornene- 2,3-dicarboxylic imide, Np-toluenesulfonyloxy-5-norbornene-2,3-dicarboxylic imide, 2- [2,2,3,3,4,4,5,5-octafluoro- 1- (nonafluorobutylsulfonyloxyimino) -pentyl] -fluorene, 2- [2,2,3,3,4,4-pentafluoro-1- (nonafluorobutylsulfonyloxyimino) -butyl] -fluorene, 2- [2,2,3,3,4,4,5,5,6,6-decafluoro-1- (nonafluorobutylsulfonyloxyimino) -hexyl] -fluorene and the like.

本発明の化学増幅型レジスト材料における光酸発生剤の添加量はいずれでもよいが、レジスト材料中のベース樹脂100質量部に対して0.1〜20質量部、好ましくは0.1〜10質量部である。光酸発生剤が20質量部以下であれば、フォトレジスト膜の透過率が十分大きく、解像性能の劣化が起こるおそれが少ない。上記光酸発生剤は、単独でも2種以上混合して用いることもできる。更に露光波長における透過率が低い光酸発生剤を用い、その添加量でレジスト膜中の透過率を制御することもできる。   The addition amount of the photoacid generator in the chemically amplified resist material of the present invention may be any, but is 0.1 to 20 parts by mass, preferably 0.1 to 10 parts by mass with respect to 100 parts by mass of the base resin in the resist material. Part. When the photoacid generator is 20 parts by mass or less, the transmittance of the photoresist film is sufficiently large, and there is little possibility that the resolution performance is deteriorated. The photoacid generators can be used alone or in combination of two or more. Further, a photoacid generator having a low transmittance at the exposure wavelength can be used, and the transmittance in the resist film can be controlled by the amount added.

また、本発明のレジスト材料に、酸により分解し、酸を発生する化合物(酸増殖化合物)を添加してもよい。
これらの化合物についてはJ.Photopolym.Sci.and Tech.,8.43−44,45−46(1995)、J.Photopolym.Sci.and Tech.,9.29−30(1996)において記載されている。
In addition, a compound capable of decomposing with an acid to generate an acid (acid-growing compound) may be added to the resist material of the present invention.
These compounds are described in J. Org. Photopolym. Sci. and Tech. , 8.43-44, 45-46 (1995), J. Am. Photopolym. Sci. and Tech. , 9.29-30 (1996).

酸増殖化合物の例としては、tert−ブチル2−メチル2−トシロキシメチルアセトアセテート、2−フェニル2−(2−トシロキシエチル)1,3−ジオキソラン等が挙げられるがこれらに限定されるものではない。公知の光酸発生剤の中で安定性、特に熱安定性に劣る化合物は酸増殖化合物的な性質を示す場合が多い。   Examples of acid proliferating compounds include, but are not limited to, tert-butyl 2-methyl 2-tosyloxymethyl acetoacetate, 2-phenyl 2- (2-tosyloxyethyl) 1,3-dioxolane, and the like. is not. Of the known photoacid generators, compounds that are inferior in stability, particularly thermal stability, often exhibit the properties of acid-proliferating compounds.

本発明のレジスト材料における酸増殖化合物の添加量としては、レジスト材料中のベース樹脂100質量部に対して2質量部以下、好ましくは1質量部以下である。2質量部以下であれば、拡散が制御され解像性の劣化、パターン形状の劣化が起こるおそれが少ない。   The addition amount of the acid growth compound in the resist material of the present invention is 2 parts by mass or less, preferably 1 part by mass or less with respect to 100 parts by mass of the base resin in the resist material. If it is 2 parts by mass or less, diffusion is controlled, and there is little possibility that degradation of resolution and pattern shape will occur.

本発明のレジスト材料は、更に、有機溶剤、塩基性化合物、溶解制御剤、架橋剤及び界面活性剤のいずれか1つ以上を含有することができる。   The resist material of the present invention can further contain any one or more of an organic solvent, a basic compound, a dissolution controller, a crosslinking agent, and a surfactant.

本発明で使用される有機溶剤としては、ベース樹脂、酸発生剤、その他の添加剤等が溶解可能な有機溶剤であればいずれでもよい。このような有機溶剤としては、例えば、シクロヘキサノン、メチル−2−n−アミルケトン等のケトン類、3−メトキシブタノール、3−メチル−3−メトキシブタノール、1−メトキシ−2−プロパノール、1−エトキシ−2−プロパノール等のアルコール類、プロピレングリコールモノメチルエーテル、エチレングリコールモノメチルエーテル、プロピレングリコールモノエチルエーテル、エチレングリコールモノエチルエーテル、プロピレングリコールジメチルエーテル、ジエチレングリコールジメチルエーテル等のエーテル類、プロピレングリコールモノメチルエーテルアセテート、プロピレングリコールモノエチルエーテルアセテート、乳酸エチル、ピルビン酸エチル、酢酸ブチル、3−メトキシプロピオン酸メチル、3−エトキシプロピオン酸エチル、酢酸tert−ブチル、プロピオン酸tert−ブチル、プロピレングリコールモノtert−ブチルエーテルアセテート等のエステル類、γ−ブチロラクトン等のラクトン類が挙げられ、これらの1種を単独で又は2種以上を混合して使用することができるが、これらに限定されるものではない。本発明では、これらの有機溶剤の中でもレジスト成分中の酸発生剤の溶解性が最も優れているジエチレングリコールジメチルエーテルや1−エトキシ−2−プロパノール、プロピレングリコールモノメチルエーテルアセテート及びその混合溶剤が好ましく使用される。   The organic solvent used in the present invention may be any organic solvent that can dissolve the base resin, acid generator, other additives, and the like. Examples of such organic solvents include ketones such as cyclohexanone and methyl-2-n-amyl ketone, 3-methoxybutanol, 3-methyl-3-methoxybutanol, 1-methoxy-2-propanol, 1-ethoxy- Alcohols such as 2-propanol, propylene glycol monomethyl ether, ethylene glycol monomethyl ether, propylene glycol monoethyl ether, ethylene glycol monoethyl ether, propylene glycol dimethyl ether, diethylene glycol dimethyl ether, and other ethers, propylene glycol monomethyl ether acetate, propylene glycol mono Ethyl ether acetate, ethyl lactate, ethyl pyruvate, butyl acetate, methyl 3-methoxypropionate, 3-ethoxy Examples thereof include esters such as ethyl propionate, tert-butyl acetate, tert-butyl propionate, propylene glycol mono tert-butyl ether acetate, and lactones such as γ-butyrolactone. It can be used in a mixed manner, but is not limited thereto. In the present invention, among these organic solvents, diethylene glycol dimethyl ether, 1-ethoxy-2-propanol, propylene glycol monomethyl ether acetate, and mixed solvents thereof, which have the highest solubility of the acid generator in the resist component, are preferably used. .

有機溶剤の使用量は、ベース樹脂100質量部に対して200〜3,000質量部、特に400〜2,500質量部が好適である。   The amount of the organic solvent used is preferably 200 to 3,000 parts by mass, particularly 400 to 2,500 parts by mass with respect to 100 parts by mass of the base resin.

更に、本発明のレジスト材料には、塩基性化合物として含窒素有機化合物を1種又は2種以上配合することができる。
含窒素有機化合物としては、酸発生剤より発生する酸がレジスト膜中に拡散する際の拡散速度を抑制することができる化合物が適している。含窒素有機化合物の配合により、レジスト膜中での酸の拡散速度が抑制されて解像度が向上し、露光後の感度変化を抑制したり、基板や環境依存性を少なくし、露光余裕度やパターンプロファイル等を向上することができる。
Furthermore, the resist material of the present invention may contain one or more nitrogen-containing organic compounds as basic compounds.
As the nitrogen-containing organic compound, a compound capable of suppressing the diffusion rate when the acid generated from the acid generator diffuses into the resist film is suitable. By compounding nitrogen-containing organic compounds, the acid diffusion rate in the resist film is suppressed and resolution is improved, sensitivity change after exposure is suppressed, substrate and environment dependency is reduced, and exposure margins and patterns are reduced. Profiles and the like can be improved.

このような含窒素有機化合物としては、第一級、第二級、第三級の脂肪族アミン類、混成アミン類、芳香族アミン類、複素環アミン類、カルボキシ基を有する含窒素化合物、スルホニル基を有する含窒素化合物、水酸基を有する含窒素化合物、ヒドロキシフェニル基を有する含窒素化合物、アルコール性含窒素化合物、アミド類、イミド類、カーバメート類等が挙げられる。   Such nitrogen-containing organic compounds include primary, secondary and tertiary aliphatic amines, hybrid amines, aromatic amines, heterocyclic amines, nitrogen-containing compounds having a carboxy group, sulfonyl Nitrogen-containing compounds having a group, nitrogen-containing compounds having a hydroxyl group, nitrogen-containing compounds having a hydroxyphenyl group, alcoholic nitrogen-containing compounds, amides, imides, carbamates and the like.

具体的には、第一級の脂肪族アミン類として、アンモニア、メチルアミン、エチルアミン、n−プロピルアミン、イソプロピルアミン、n−ブチルアミン、イソブチルアミン、sec−ブチルアミン、tert−ブチルアミン、ペンチルアミン、tert−アミルアミン、シクロペンチルアミン、ヘキシルアミン、シクロヘキシルアミン、ヘプチルアミン、オクチルアミン、ノニルアミン、デシルアミン、ドデシルアミン、セチルアミン、メチレンジアミン、エチレンジアミン、テトラエチレンペンタミン等が例示され、第二級の脂肪族アミン類として、ジメチルアミン、ジエチルアミン、ジ−n−プロピルアミン、ジイソプロピルアミン、ジ−n−ブチルアミン、ジイソブチルアミン、ジ−sec−ブチルアミン、ジペンチルアミン、ジシクロペンチルアミン、ジヘキシルアミン、ジシクロヘキシルアミン、ジヘプチルアミン、ジオクチルアミン、ジノニルアミン、ジデシルアミン、ジドデシルアミン、ジセチルアミン、N,N−ジメチルメチレンジアミン、N,N−ジメチルエチレンジアミン、N,N−ジメチルテトラエチレンペンタミン等が例示され、第三級の脂肪族アミン類として、トリメチルアミン、トリエチルアミン、トリ−n−プロピルアミン、トリイソプロピルアミン、トリ−n−ブチルアミン、トリイソブチルアミン、トリ−sec−ブチルアミン、トリペンチルアミン、トリシクロペンチルアミン、トリヘキシルアミン、トリシクロヘキシルアミン、トリヘプチルアミン、トリオクチルアミン、トリノニルアミン、トリデシルアミン、トリドデシルアミン、トリセチルアミン、N,N,N’,N’−テトラメチルメチレンジアミン、N,N,N’,N’−テトラメチルエチレンジアミン、N,N,N’,N’−テトラメチルテトラエチレンペンタミン等が例示される。   Specifically, primary aliphatic amines include ammonia, methylamine, ethylamine, n-propylamine, isopropylamine, n-butylamine, isobutylamine, sec-butylamine, tert-butylamine, pentylamine, tert- Amylamine, cyclopentylamine, hexylamine, cyclohexylamine, heptylamine, octylamine, nonylamine, decylamine, dodecylamine, cetylamine, methylenediamine, ethylenediamine, tetraethylenepentamine, etc. are exemplified as secondary aliphatic amines. Dimethylamine, diethylamine, di-n-propylamine, diisopropylamine, di-n-butylamine, diisobutylamine, di-sec-butylamine, dipentylamine, disi Lopentylamine, dihexylamine, dicyclohexylamine, diheptylamine, dioctylamine, dinonylamine, didecylamine, didodecylamine, dicetylamine, N, N-dimethylmethylenediamine, N, N-dimethylethylenediamine, N, N-dimethyltetraethylenepenta The tertiary aliphatic amines are exemplified by trimethylamine, triethylamine, tri-n-propylamine, triisopropylamine, tri-n-butylamine, triisobutylamine, tri-sec-butylamine, and tripentylamine. , Tricyclopentylamine, trihexylamine, tricyclohexylamine, triheptylamine, trioctylamine, trinonylamine, tridecylamine, tridodecylamine, Examples include cetylamine, N, N, N ′, N′-tetramethylmethylenediamine, N, N, N ′, N′-tetramethylethylenediamine, N, N, N ′, N′-tetramethyltetraethylenepentamine and the like. Is done.

また、混成アミン類としては、例えばジメチルエチルアミン、メチルエチルプロピルアミン、ベンジルアミン、フェネチルアミン、ベンジルジメチルアミン等が例示される。芳香族アミン類及び複素環アミン類の具体例としては、アニリン誘導体(例えばアニリン、N−メチルアニリン、N−エチルアニリン、N−プロピルアニリン、N,N−ジメチルアニリン、2−メチルアニリン、3−メチルアニリン、4−メチルアニリン、エチルアニリン、プロピルアニリン、トリメチルアニリン、2−ニトロアニリン、3−ニトロアニリン、4−ニトロアニリン、2,4−ジニトロアニリン、2,6−ジニトロアニリン、3,5−ジニトロアニリン、N,N−ジメチルトルイジン等)、ジフェニル(p−トリル)アミン、メチルジフェニルアミン、トリフェニルアミン、フェニレンジアミン、ナフチルアミン、ジアミノナフタレン、ピロール誘導体(例えばピロール、2H−ピロール、1−メチルピロール、2,4−ジメチルピロール、2,5−ジメチルピロール、N−メチルピロール等)、オキサゾール誘導体(例えばオキサゾール、イソオキサゾール等)、チアゾール誘導体(例えばチアゾール、イソチアゾール等)、イミダゾール誘導体(例えばイミダゾール、4−メチルイミダゾール、4−メチル−2−フェニルイミダゾール等)、ピラゾール誘導体、フラザン誘導体、ピロリン誘導体(例えばピロリン、2−メチル−1−ピロリン等)、ピロリジン誘導体(例えばピロリジン、N−メチルピロリジン、ピロリジノン、N−メチルピロリドン等)、イミダゾリン誘導体、イミダゾリジン誘導体、ピリジン誘導体(例えばピリジン、メチルピリジン、エチルピリジン、プロピルピリジン、ブチルピリジン、4−(1−ブチルペンチル)ピリジン、ジメチルピリジン、トリメチルピリジン、トリエチルピリジン、フェニルピリジン、3−メチル−2−フェニルピリジン、4−tert−ブチルピリジン、ジフェニルピリジン、ベンジルピリジン、メトキシピリジン、ブトキシピリジン、ジメトキシピリジン、4−ピロリジノピリジン、2−(1−エチルプロピル)ピリジン、アミノピリジン、ジメチルアミノピリジン等)、ピリダジン誘導体、ピリミジン誘導体、ピラジン誘導体、ピラゾリン誘導体、ピラゾリジン誘導体、ピペリジン誘導体、ピペラジン誘導体、モルホリン誘導体、インドール誘導体、イソインドール誘導体、1H−インダゾール誘導体、インドリン誘導体、キノリン誘導体(例えばキノリン、3−キノリンカルボニトリル等)、イソキノリン誘導体、シンノリン誘導体、キナゾリン誘導体、キノキサリン誘導体、フタラジン誘導体、プリン誘導体、プテリジン誘導体、カルバゾール誘導体、フェナントリジン誘導体、アクリジン誘導体、フェナジン誘導体、1,10−フェナントロリン誘導体、アデニン誘導体、アデノシン誘導体、グアニン誘導体、グアノシン誘導体、ウラシル誘導体、ウリジン誘導体等が例示される。   Examples of hybrid amines include dimethylethylamine, methylethylpropylamine, benzylamine, phenethylamine, and benzyldimethylamine. Specific examples of aromatic amines and heterocyclic amines include aniline derivatives (eg, aniline, N-methylaniline, N-ethylaniline, N-propylaniline, N, N-dimethylaniline, 2-methylaniline, 3- Methylaniline, 4-methylaniline, ethylaniline, propylaniline, trimethylaniline, 2-nitroaniline, 3-nitroaniline, 4-nitroaniline, 2,4-dinitroaniline, 2,6-dinitroaniline, 3,5- Dinitroaniline, N, N-dimethyltoluidine, etc.), diphenyl (p-tolyl) amine, methyldiphenylamine, triphenylamine, phenylenediamine, naphthylamine, diaminonaphthalene, pyrrole derivatives (eg pyrrole, 2H-pyrrole, 1-methylpyrrole, 2,4-dim Lupyrrole, 2,5-dimethylpyrrole, N-methylpyrrole, etc.), oxazole derivatives (eg oxazole, isoxazole etc.), thiazole derivatives (eg thiazole, isothiazole etc.), imidazole derivatives (eg imidazole, 4-methylimidazole, 4 -Methyl-2-phenylimidazole, etc.), pyrazole derivatives, furazane derivatives, pyrroline derivatives (eg pyrroline, 2-methyl-1-pyrroline etc.), pyrrolidine derivatives (eg pyrrolidine, N-methylpyrrolidine, pyrrolidinone, N-methylpyrrolidone etc.) ), Imidazoline derivatives, imidazolidine derivatives, pyridine derivatives (eg pyridine, methylpyridine, ethylpyridine, propylpyridine, butylpyridine, 4- (1-butylpentyl) pyridine, dimethyl) Lysine, trimethylpyridine, triethylpyridine, phenylpyridine, 3-methyl-2-phenylpyridine, 4-tert-butylpyridine, diphenylpyridine, benzylpyridine, methoxypyridine, butoxypyridine, dimethoxypyridine, 4-pyrrolidinopyridine, 2- (1-ethylpropyl) pyridine, aminopyridine, dimethylaminopyridine, etc.), pyridazine derivatives, pyrimidine derivatives, pyrazine derivatives, pyrazoline derivatives, pyrazolidine derivatives, piperidine derivatives, piperazine derivatives, morpholine derivatives, indole derivatives, isoindole derivatives, 1H- Indazole derivatives, indoline derivatives, quinoline derivatives (eg, quinoline, 3-quinolinecarbonitrile, etc.), isoquinoline derivatives, cinnoline derivatives, quinazoli Derivatives, quinoxaline derivatives, phthalazine derivatives, purine derivatives, pteridine derivatives, carbazole derivatives, phenanthridine derivatives, acridine derivatives, phenazine derivatives, 1,10-phenanthroline derivatives, adenine derivatives, adenosine derivatives, guanine derivatives, guanosine derivatives, uracil derivatives And uridine derivatives.

更に、カルボキシ基を有する含窒素化合物としては、例えばアミノ安息香酸、インドールカルボン酸、アミノ酸誘導体(例えばニコチン酸、アラニン、アルギニン、アスパラギン酸、グルタミン酸、グリシン、ヒスチジン、イソロイシン、グリシルロイシン、ロイシン、メチオニン、フェニルアラニン、スレオニン、リジン、3−アミノピラジン−2−カルボン酸、メトキシアラニン)等が例示され、スルホニル基を有する含窒素化合物として3−ピリジンスルホン酸、p−トルエンスルホン酸ピリジニウム等が例示され、水酸基を有する含窒素化合物、ヒドロキシフェニル基を有する含窒素化合物、アルコール性含窒素化合物としては、2−ヒドロキシピリジン、アミノクレゾール、2,4−キノリンジオール、3−インドールメタノールヒドレート、モノエタノールアミン、ジエタノールアミン、トリエタノールアミン、N−エチルジエタノールアミン、N,N−ジエチルエタノールアミン、トリイソプロパノールアミン、2,2’−イミノジエタノール、2−アミノエタノ−ル、3−アミノ−1−プロパノール、4−アミノ−1−ブタノール、4−(2−ヒドロキシエチル)モルホリン、2−(2−ヒドロキシエチル)ピリジン、1−(2−ヒドロキシエチル)ピペラジン、1−[2−(2−ヒドロキシエトキシ)エチル]ピペラジン、ピペリジンエタノール、1−(2−ヒドロキシエチル)ピロリジン、1−(2−ヒドロキシエチル)−2−ピロリジノン、3−ピペリジノ−1,2−プロパンジオール、3−ピロリジノ−1,2−プロパンジオール、8−ヒドロキシユロリジン、3−クイヌクリジノール、3−トロパノール、1−メチル−2−ピロリジンエタノール、1−アジリジンエタノール、N−(2−ヒドロキシエチル)フタルイミド、N−(2−ヒドロキシエチル)イソニコチンアミド等が例示される。アミド類としては、ホルムアミド、N−メチルホルムアミド、N,N−ジメチルホルムアミド、アセトアミド、N−メチルアセトアミド、N,N−ジメチルアセトアミド、プロピオンアミド、ベンズアミド、1−シクロヘキシルピロリドン等が例示される。イミド類としては、フタルイミド、サクシンイミド、マレイミド等が例示される。カーバメート類としては、N−t−ブトキシカルボニル−N,N−ジシクロヘキシルアミン、N−t−ブトキシカルボニルベンズイミダゾール、オキサゾリジノン等が例示される。   Furthermore, examples of the nitrogen-containing compound having a carboxy group include aminobenzoic acid, indolecarboxylic acid, amino acid derivatives (for example, nicotinic acid, alanine, arginine, aspartic acid, glutamic acid, glycine, histidine, isoleucine, glycylleucine, leucine, methionine. , Phenylalanine, threonine, lysine, 3-aminopyrazine-2-carboxylic acid, methoxyalanine) and the like, and examples of the nitrogen-containing compound having a sulfonyl group include 3-pyridinesulfonic acid, pyridinium p-toluenesulfonate, and the like. Nitrogen-containing compounds having a hydroxyl group, nitrogen-containing compounds having a hydroxyphenyl group, and alcoholic nitrogen-containing compounds include 2-hydroxypyridine, aminocresol, 2,4-quinolinediol, and 3-indolemethanol. Drate, monoethanolamine, diethanolamine, triethanolamine, N-ethyldiethanolamine, N, N-diethylethanolamine, triisopropanolamine, 2,2'-iminodiethanol, 2-aminoethanol, 3-amino-1-propanol 4-amino-1-butanol, 4- (2-hydroxyethyl) morpholine, 2- (2-hydroxyethyl) pyridine, 1- (2-hydroxyethyl) piperazine, 1- [2- (2-hydroxyethoxy) Ethyl] piperazine, piperidineethanol, 1- (2-hydroxyethyl) pyrrolidine, 1- (2-hydroxyethyl) -2-pyrrolidinone, 3-piperidino-1,2-propanediol, 3-pyrrolidino-1,2-propane Diol, 8-hydroxyuroli , 3-cuincridinol, 3-tropanol, 1-methyl-2-pyrrolidineethanol, 1-aziridineethanol, N- (2-hydroxyethyl) phthalimide, N- (2-hydroxyethyl) isonicotinamide, etc. Illustrated. Examples of amides include formamide, N-methylformamide, N, N-dimethylformamide, acetamide, N-methylacetamide, N, N-dimethylacetamide, propionamide, benzamide, 1-cyclohexylpyrrolidone and the like. Examples of imides include phthalimide, succinimide, maleimide and the like. Examples of carbamates include Nt-butoxycarbonyl-N, N-dicyclohexylamine, Nt-butoxycarbonylbenzimidazole, oxazolidinone, and the like.

更に、下記一般式(B)−1で示される含窒素有機化合物が例示される。
N(X)n(Y)3-n (B)−1
(上式中、nは1、2又は3である。側鎖Xは同一でも異なっていてもよく、下記一般式(X1)、(X2)又は(X3)で表すことができる。側鎖Yは同一又は異種の、水素原子もしくは直鎖状、分岐状又は環状の炭素数1〜20のアルキル基を示し、エーテル基もしくはヒドロキシル基を含んでもよい。また、X同士が結合してこれらが結合する窒素原子と共に環を形成してもよい。)

Figure 2008257166
Furthermore, the nitrogen-containing organic compound shown by the following general formula (B) -1 is illustrated.
N (X) n (Y) 3-n (B) -1
(In the above formula, n is 1, 2 or 3. The side chains X may be the same or different and can be represented by the following general formula (X1), (X2) or (X3). Side chain Y Represents the same or different hydrogen atom or a linear, branched or cyclic alkyl group having 1 to 20 carbon atoms, and may contain an ether group or a hydroxyl group, and X may be bonded to each other. And may form a ring together with the nitrogen atom.)
Figure 2008257166

上記一般式(X1)〜(X3)中、R300、R302、R305は炭素数1〜4の直鎖状又は分岐状のアルキレン基であり、R301、R304は水素原子、又は炭素数1〜20の直鎖状、分岐状又は環状のアルキル基であり、ヒドロキシ基、エーテル基、エステル基、ラクトン環を1個あるいは複数個含んでいてもよい。
303は単結合、又は炭素数1〜4の直鎖状又は分岐状のアルキレン基であり、R306は炭素数1〜20の直鎖状、分岐状又は環状のアルキル基であり、ヒドロキシ基、エーテル基、エステル基、ラクトン環を1個あるいは複数個含んでいてもよい。
In the general formulas (X1) to (X3), R 300 , R 302 and R 305 are linear or branched alkylene groups having 1 to 4 carbon atoms, and R 301 and R 304 are hydrogen atoms or carbon atoms. It is a linear, branched or cyclic alkyl group of 1 to 20, and may contain one or a plurality of hydroxy groups, ether groups, ester groups and lactone rings.
R 303 is a single bond or a linear or branched alkylene group having 1 to 4 carbon atoms, R 306 is a linear, branched or cyclic alkyl group having 1 to 20 carbon atoms, and a hydroxy group , An ether group, an ester group, or a lactone ring may be contained.

上記一般式(B)−1で表される化合物として具体的には、トリス(2−メトキシメトキシエチル)アミン、トリス{2−(2−メトキシエトキシ)エチル}アミン、トリス{2−(2−メトキシエトキシメトキシ)エチル}アミン、トリス{2−(1−メトキシエトキシ)エチル}アミン、トリス{2−(1−エトキシエトキシ)エチル}アミン、トリス{2−(1−エトキシプロポキシ)エチル}アミン、トリス[2−{2−(2−ヒドロキシエトキシ)エトキシ}エチル]アミン、4,7,13,16,21,24−ヘキサオキサ−1,10−ジアザビシクロ[8.8.8]ヘキサコサン、4,7,13,18−テトラオキサ−1,10−ジアザビシクロ[8.5.5]エイコサン、1,4,10,13−テトラオキサ−7,16−ジアザビシクロオクタデカン、1−アザ−12−クラウン−4、1−アザ−15−クラウン−5、1−アザ−18−クラウン−6、トリス(2−ホルミルオキシエチル)アミン、トリス(2−アセトキシエチル)アミン、トリス(2−プロピオニルオキシエチル)アミン、トリス(2−ブチリルオキシエチル)アミン、トリス(2−イソブチリルオキシエチル)アミン、トリス(2−バレリルオキシエチル)アミン、トリス(2−ピバロイルオキシエチル)アミン、N,N−ビス(2−アセトキシエチル)2−(アセトキシアセトキシ)エチルアミン、トリス(2−メトキシカルボニルオキシエチル)アミン、トリス(2−tert−ブトキシカルボニルオキシエチル)アミン、トリス[2−(2−オキソプロポキシ)エチル]アミン、トリス[2−(メトキシカルボニルメチル)オキシエチル]アミン、トリス[2−(tert−ブトキシカルボニルメチルオキシ)エチル]アミン、トリス[2−(シクロヘキシルオキシカルボニルメチルオキシ)エチル]アミン、トリス(2−メトキシカルボニルエチル)アミン、トリス(2−エトキシカルボニルエチル)アミン、N,N−ビス(2−ヒドロキシエチル)2−(メトキシカルボニル)エチルアミン、N,N−ビス(2−アセトキシエチル)2−(メトキシカルボニル)エチルアミン、N,N−ビス(2−ヒドロキシエチル)2−(エトキシカルボニル)エチルアミン、N,N−ビス(2−アセトキシエチル)2−(エトキシカルボニル)エチルアミン、N,N−ビス(2−ヒドロキシエチル)2−(2−メトキシエトキシカルボニル)エチルアミン、N,N−ビス(2−アセトキシエチル)2−(2−メトキシエトキシカルボニル)エチルアミン、N,N−ビス(2−ヒドロキシエチル)2−(2−ヒドロキシエトキシカルボニル)エチルアミン、N,N−ビス(2−アセトキシエチル)2−(2−アセトキシエトキシカルボニル)エチルアミン、N,N−ビス(2−ヒドロキシエチル)2−[(メトキシカルボニル)メトキシカルボニル]エチルアミン、N,N−ビス(2−アセトキシエチル)2−[(メトキシカルボニル)メトキシカルボニル]エチルアミン、N,N−ビス(2−ヒドロキシエチル)2−(2−オキソプロポキシカルボニル)エチルアミン、N,N−ビス(2−アセトキシエチル)2−(2−オキソプロポキシカルボニル)エチルアミン、N,N−ビス(2−ヒドロキシエチル)2−(テトラヒドロフルフリルオキシカルボニル)エチルアミン、N,N−ビス(2−アセトキシエチル)2−(テトラヒドロフルフリルオキシカルボニル)エチルアミン、N,N−ビス(2−ヒドロキシエチル)2−[(2−オキソテトラヒドロフラン−3−イル)オキシカルボニル]エチルアミン、N,N−ビス(2−アセトキシエチル)2−[(2−オキソテトラヒドロフラン−3−イル)オキシカルボニル]エチルアミン、N,N−ビス(2−ヒドロキシエチル)2−(4−ヒドロキシブトキシカルボニル)エチルアミン、N,N−ビス(2−ホルミルオキシエチル)2−(4−ホルミルオキシブトキシカルボニル)エチルアミン、N,N−ビス(2−ホルミルオキシエチル)2−(2−ホルミルオキシエトキシカルボニル)エチルアミン、N,N−ビス(2−メトキシエチル)2−(メトキシカルボニル)エチルアミン、N−(2−ヒドロキシエチル)ビス[2−(メトキシカルボニル)エチル]アミン、N−(2−アセトキシエチル)ビス[2−(メトキシカルボニル)エチル]アミン、N−(2−ヒドロキシエチル)ビス[2−(エトキシカルボニル)エチル]アミン、N−(2−アセトキシエチル)ビス[2−(エトキシカルボニル)エチル]アミン、N−(3−ヒドロキシ−1−プロピル)ビス[2−(メトキシカルボニル)エチル]アミン、N−(3−アセトキシ−1−プロピル)ビス[2−(メトキシカルボニル)エチル]アミン、N−(2−メトキシエチル)ビス[2−(メトキシカルボニル)エチル]アミン、N−ブチルビス[2−(メトキシカルボニル)エチル]アミン、N−ブチルビス[2−(2−メトキシエトキシカルボニル)エチル]アミン、N−メチルビス(2−アセトキシエチル)アミン、N−エチルビス(2−アセトキシエチル)アミン、N−メチルビス(2−ピバロイルオキシエチル)アミン、N−エチルビス[2−(メトキシカルボニルオキシ)エチル]アミン、N−エチルビス[2−(tert−ブトキシカルボニルオキシ)エチル]アミン、トリス(メトキシカルボニルメチル)アミン、トリス(エトキシカルボニルメチル)アミン、N−ブチルビス(メトキシカルボニルメチル)アミン、N−ヘキシルビス(メトキシカルボニルメチル)アミン、β−(ジエチルアミノ)−δ−バレロラクトンが例示される。   Specific examples of the compound represented by the general formula (B) -1 include tris (2-methoxymethoxyethyl) amine, tris {2- (2-methoxyethoxy) ethyl} amine, and tris {2- (2- Methoxyethoxymethoxy) ethyl} amine, tris {2- (1-methoxyethoxy) ethyl} amine, tris {2- (1-ethoxyethoxy) ethyl} amine, tris {2- (1-ethoxypropoxy) ethyl} amine, Tris [2- {2- (2-hydroxyethoxy) ethoxy} ethyl] amine, 4,7,13,16,21,24-hexaoxa-1,10-diazabicyclo [8.8.8] hexacosane, 4,7 , 13,18-tetraoxa-1,10-diazabicyclo [8.5.5] eicosane, 1,4,10,13-tetraoxa-7,16-di Zabicyclooctadecane, 1-aza-12-crown-4, 1-aza-15-crown-5, 1-aza-18-crown-6, tris (2-formyloxyethyl) amine, tris (2-acetoxyethyl) ) Amine, tris (2-propionyloxyethyl) amine, tris (2-butyryloxyethyl) amine, tris (2-isobutyryloxyethyl) amine, tris (2-valeryloxyethyl) amine, tris (2 -Pivaloyloxyethyl) amine, N, N-bis (2-acetoxyethyl) 2- (acetoxyacetoxy) ethylamine, tris (2-methoxycarbonyloxyethyl) amine, tris (2-tert-butoxycarbonyloxyethyl) Amine, tris [2- (2-oxopropoxy) ethyl] amine, tris 2- (methoxycarbonylmethyl) oxyethyl] amine, tris [2- (tert-butoxycarbonylmethyloxy) ethyl] amine, tris [2- (cyclohexyloxycarbonylmethyloxy) ethyl] amine, tris (2-methoxycarbonylethyl) Amine, tris (2-ethoxycarbonylethyl) amine, N, N-bis (2-hydroxyethyl) 2- (methoxycarbonyl) ethylamine, N, N-bis (2-acetoxyethyl) 2- (methoxycarbonyl) ethylamine, N, N-bis (2-hydroxyethyl) 2- (ethoxycarbonyl) ethylamine, N, N-bis (2-acetoxyethyl) 2- (ethoxycarbonyl) ethylamine, N, N-bis (2-hydroxyethyl) 2 -(2-methoxyethoxycarboni ) Ethylamine, N, N-bis (2-acetoxyethyl) 2- (2-methoxyethoxycarbonyl) ethylamine, N, N-bis (2-hydroxyethyl) 2- (2-hydroxyethoxycarbonyl) ethylamine, N, N-bis (2-acetoxyethyl) 2- (2-acetoxyethoxycarbonyl) ethylamine, N, N-bis (2-hydroxyethyl) 2-[(methoxycarbonyl) methoxycarbonyl] ethylamine, N, N-bis (2 -Acetoxyethyl) 2-[(methoxycarbonyl) methoxycarbonyl] ethylamine, N, N-bis (2-hydroxyethyl) 2- (2-oxopropoxycarbonyl) ethylamine, N, N-bis (2-acetoxyethyl) 2 -(2-oxopropoxycarbonyl) ethylamine, N, N- (2-hydroxyethyl) 2- (tetrahydrofurfuryloxycarbonyl) ethylamine, N, N-bis (2-acetoxyethyl) 2- (tetrahydrofurfuryloxycarbonyl) ethylamine, N, N-bis (2-hydroxyethyl) ) 2-[(2-oxotetrahydrofuran-3-yl) oxycarbonyl] ethylamine, N, N-bis (2-acetoxyethyl) 2-[(2-oxotetrahydrofuran-3-yl) oxycarbonyl] ethylamine, N, N-bis (2-hydroxyethyl) 2- (4-hydroxybutoxycarbonyl) ethylamine, N, N-bis (2-formyloxyethyl) 2- (4-formyloxybutoxycarbonyl) ethylamine, N, N-bis ( 2-formyloxyethyl) 2- (2-formyloxy) Ethoxycarbonyl) ethylamine, N, N-bis (2-methoxyethyl) 2- (methoxycarbonyl) ethylamine, N- (2-hydroxyethyl) bis [2- (methoxycarbonyl) ethyl] amine, N- (2-acetoxy) Ethyl) bis [2- (methoxycarbonyl) ethyl] amine, N- (2-hydroxyethyl) bis [2- (ethoxycarbonyl) ethyl] amine, N- (2-acetoxyethyl) bis [2- (ethoxycarbonyl) Ethyl] amine, N- (3-hydroxy-1-propyl) bis [2- (methoxycarbonyl) ethyl] amine, N- (3-acetoxy-1-propyl) bis [2- (methoxycarbonyl) ethyl] amine, N- (2-methoxyethyl) bis [2- (methoxycarbonyl) ethyl] amine, N-butylbi [2- (methoxycarbonyl) ethyl] amine, N-butylbis [2- (2-methoxyethoxycarbonyl) ethyl] amine, N-methylbis (2-acetoxyethyl) amine, N-ethylbis (2-acetoxyethyl) amine N-methylbis (2-pivaloyloxyethyl) amine, N-ethylbis [2- (methoxycarbonyloxy) ethyl] amine, N-ethylbis [2- (tert-butoxycarbonyloxy) ethyl] amine, tris (methoxy Examples include carbonylmethyl) amine, tris (ethoxycarbonylmethyl) amine, N-butylbis (methoxycarbonylmethyl) amine, N-hexylbis (methoxycarbonylmethyl) amine, and β- (diethylamino) -δ-valerolactone.

更に、下記一般式(B)−2に示される環状構造を持つ含窒素有機化合物が例示される。

Figure 2008257166

(上式中、Xは前述の通り、R307は炭素数2〜20の直鎖状又は分岐状のアルキレン基であり、カルボニル基、エーテル基、エステル基、スルフィドを1個あるいは複数個含んでいてもよい。) Furthermore, the nitrogen-containing organic compound which has a cyclic structure shown by the following general formula (B) -2 is illustrated.
Figure 2008257166

(In the above formula, X is as described above, and R 307 is a linear or branched alkylene group having 2 to 20 carbon atoms, including one or more carbonyl groups, ether groups, ester groups, and sulfides. May be.)

上記一般式(B)−2として具体的には、1−[2−(メトキシメトキシ)エチル]ピロリジン、1−[2−(メトキシメトキシ)エチル]ピペリジン、4−[2−(メトキシメトキシ)エチル]モルホリン、1−[2−[(2−メトキシエトキシ)メトキシ]エチル]ピロリジン、1−[2−[(2−メトキシエトキシ)メトキシ]エチル]ピペリジン、4−[2−[(2−メトキシエトキシ)メトキシ]エチル]モルホリン、酢酸2−(1−ピロリジニル)エチル、酢酸2−ピペリジノエチル、酢酸2−モルホリノエチル、ギ酸2−(1−ピロリジニル)エチル、プロピオン酸2−ピペリジノエチル、アセトキシ酢酸2−モルホリノエチル、メトキシ酢酸2−(1−ピロリジニル)エチル、4−[2−(メトキシカルボニルオキシ)エチル]モルホリン、1−[2−(t−ブトキシカルボニルオキシ)エチル]ピペリジン、4−[2−(2−メトキシエトキシカルボニルオキシ)エチル]モルホリン、3−(1−ピロリジニル)プロピオン酸メチル、3−ピペリジノプロピオン酸メチル、3−モルホリノプロピオン酸メチル、3−(チオモルホリノ)プロピオン酸メチル、2−メチル−3−(1−ピロリジニル)プロピオン酸メチル、3−モルホリノプロピオン酸エチル、3−ピペリジノプロピオン酸メトキシカルボニルメチル、3−(1−ピロリジニル)プロピオン酸2−ヒドロキシエチル、3−モルホリノプロピオン酸2−アセトキシエチル、3−(1−ピロリジニル)プロピオン酸2−オキソテトラヒドロフラン−3−イル、3−モルホリノプロピオン酸テトラヒドロフルフリル、3−ピペリジノプロピオン酸グリシジル、3−モルホリノプロピオン酸2−メトキシエチル、3−(1−ピロリジニル)プロピオン酸2−(2−メトキシエトキシ)エチル、3−モルホリノプロピオン酸ブチル、3−ピペリジノプロピオン酸シクロヘキシル、α−(1−ピロリジニル)メチル−γ−ブチロラクトン、β−ピペリジノ−γ−ブチロラクトン、β−モルホリノ−δ−バレロラクトン、1−ピロリジニル酢酸メチル、ピペリジノ酢酸メチル、モルホリノ酢酸メチル、チオモルホリノ酢酸メチル、1−ピロリジニル酢酸エチル、モルホリノ酢酸2−メトキシエチル、2−メトキシ酢酸2−モルホリノエチル、2−(2−メトキシエトキシ)酢酸2−モルホリノエチル、2−[2−(2−メトキシエトキシ)エトキシ]酢酸2−モルホリノエチル、ヘキサン酸2−モルホリノエチル、オクタン酸2−モルホリノエチル、デカン酸2−モルホリノエチル、ラウリン酸2−モルホリノエチル、ミリスチン酸2−モルホリノエチル、パルミチン酸2−モルホリノエチル、ステアリン酸2−モルホリノエチルが例示される。   Specific examples of the general formula (B) -2 include 1- [2- (methoxymethoxy) ethyl] pyrrolidine, 1- [2- (methoxymethoxy) ethyl] piperidine, 4- [2- (methoxymethoxy) ethyl. ] Morpholine, 1- [2-[(2-methoxyethoxy) methoxy] ethyl] pyrrolidine, 1- [2-[(2-methoxyethoxy) methoxy] ethyl] piperidine, 4- [2-[(2-methoxyethoxy) ) Methoxy] ethyl] morpholine, 2- (1-pyrrolidinyl) ethyl acetate, 2-piperidinoethyl acetate, 2-morpholinoethyl acetate, 2- (1-pyrrolidinyl) ethyl formate, 2-piperidinoethyl propionate, 2-morpholinoethyl acetoxyacetate , 2- (1-pyrrolidinyl) ethyl methoxyacetate, 4- [2- (methoxycarbonyloxy) ethyl ] Morpholine, 1- [2- (t-butoxycarbonyloxy) ethyl] piperidine, 4- [2- (2-methoxyethoxycarbonyloxy) ethyl] morpholine, methyl 3- (1-pyrrolidinyl) propionate, 3-pi Methyl peridinopropionate, methyl 3-morpholinopropionate, methyl 3- (thiomorpholino) propionate, methyl 2-methyl-3- (1-pyrrolidinyl) propionate, ethyl 3-morpholinopropionate, 3-piperidino Methoxycarbonylmethyl propionate, 2-hydroxyethyl 3- (1-pyrrolidinyl) propionate, 2-acetoxyethyl 3-morpholinopropionate, 2-oxotetrahydrofuran-3-yl 3- (1-pyrrolidinyl) propionate, 3- Morpholinopropionic acid tetrahydrofur Furyl, glycidyl 3-piperidinopropionate, 2-methoxyethyl 3-morpholinopropionate, 2- (2-methoxyethoxy) ethyl 3- (1-pyrrolidinyl) propionate, butyl 3-morpholinopropionate, 3-pi Cyclohexyl peridinopropionate, α- (1-pyrrolidinyl) methyl-γ-butyrolactone, β-piperidino-γ-butyrolactone, β-morpholino-δ-valerolactone, methyl 1-pyrrolidinyl acetate, methyl piperidinoacetate, methyl morpholinoacetate, Methyl thiomorpholinoacetate, ethyl 1-pyrrolidinyl acetate, 2-methoxyethyl morpholinoacetate, 2-morpholinoethyl 2-methoxyacetate, 2-morpholinoethyl 2- (2-methoxyethoxy) acetate, 2- [2- (2-methoxy Ethoxy) ethoxy] acetic acid 2-mo Ruphorinoethyl, 2-morpholinoethyl hexanoate, 2-morpholinoethyl octoate, 2-morpholinoethyl decanoate, 2-morpholinoethyl laurate, 2-morpholinoethyl myristate, 2-morpholinoethyl palmitate, 2-morpholinoethyl stearate Is exemplified.

更に、下記一般式(B)−3〜(B)−6で表されるシアノ基を含む含窒素有機化合物が例示される。

Figure 2008257166

(上式中、X、R307、nは前述の通り、R308、R309は同一又は異種の炭素数1〜4の直鎖状又は分岐状のアルキレン基である。) Furthermore, the nitrogen-containing organic compound containing the cyano group represented by the following general formula (B) -3-(B) -6 is illustrated.
Figure 2008257166

(In the above formula, X, R 307 and n are as described above, and R 308 and R 309 are the same or different linear or branched alkylene groups having 1 to 4 carbon atoms.)

上記一般式(B)−3〜(B)−6で表されるシアノ基を含む含窒素有機化合物として具体的には、3−(ジエチルアミノ)プロピオノニトリル、N,N−ビス(2−ヒドロキシエチル)−3−アミノプロピオノニトリル、N,N−ビス(2−アセトキシエチル)−3−アミノプロピオノニトリル、N,N−ビス(2−ホルミルオキシエチル)−3−アミノプロピオノニトリル、N,N−ビス(2−メトキシエチル)−3−アミノプロピオノニトリル、N,N−ビス[2−(メトキシメトキシ)エチル]−3−アミノプロピオノニトリル、N−(2−シアノエチル)−N−(2−メトキシエチル)−3−アミノプロピオン酸メチル、N−(2−シアノエチル)−N−(2−ヒドロキシエチル)−3−アミノプロピオン酸メチル、N−(2−アセトキシエチル)−N−(2−シアノエチル)−3−アミノプロピオン酸メチル、N−(2−シアノエチル)−N−エチル−3−アミノプロピオノニトリル、N−(2−シアノエチル)−N−(2−ヒドロキシエチル)−3−アミノプロピオノニトリル、N−(2−アセトキシエチル)−N−(2−シアノエチル)−3−アミノプロピオノニトリル、N−(2−シアノエチル)−N−(2−ホルミルオキシエチル)−3−アミノプロピオノニトリル、N−(2−シアノエチル)−N−(2−メトキシエチル)−3−アミノプロピオノニトリル、N−(2−シアノエチル)−N−[2−(メトキシメトキシ)エチル]−3−アミノプロピオノニトリル、N−(2−シアノエチル)−N−(3−ヒドロキシ−1−プロピル)−3−アミノプロピオノニトリル、N−(3−アセトキシ−1−プロピル)−N−(2−シアノエチル)−3−アミノプロピオノニトリル、N−(2−シアノエチル)−N−(3−ホルミルオキシ−1−プロピル)−3−アミノプロピオノニトリル、N−(2−シアノエチル)−N−テトラヒドロフルフリル−3−アミノプロピオノニトリル、N,N−ビス(2−シアノエチル)−3−アミノプロピオノニトリル、ジエチルアミノアセトニトリル、N,N−ビス(2−ヒドロキシエチル)アミノアセトニトリル、N,N−ビス(2−アセトキシエチル)アミノアセトニトリル、N,N−ビス(2−ホルミルオキシエチル)アミノアセトニトリル、N,N−ビス(2−メトキシエチル)アミノアセトニトリル、N,N−ビス[2−(メトキシメトキシ)エチル]アミノアセトニトリル、N−シアノメチル−N−(2−メトキシエチル)−3−アミノプロピオン酸メチル、N−シアノメチル−N−(2−ヒドロキシエチル)−3−アミノプロピオン酸メチル、N−(2−アセトキシエチル)−N−シアノメチル−3−アミノプロピオン酸メチル、N−シアノメチル−N−(2−ヒドロキシエチル)アミノアセトニトリル、N−(2−アセトキシエチル)−N−(シアノメチル)アミノアセトニトリル、N−シアノメチル−N−(2−ホルミルオキシエチル)アミノアセトニトリル、N−シアノメチル−N−(2−メトキシエチル)アミノアセトニトリル、N−シアノメチル−N−[2−(メトキシメトキシ)エチル]アミノアセトニトリル、N−(シアノメチル)−N−(3−ヒドロキシ−1−プロピル)アミノアセトニトリル、N−(3−アセトキシ−1−プロピル)−N−(シアノメチル)アミノアセトニトリル、N−シアノメチル−N−(3−ホルミルオキシ−1−プロピル)アミノアセトニトリル、N,N−ビス(シアノメチル)アミノアセトニトリル、1−ピロリジンプロピオノニトリル、1−ピペリジンプロピオノニトリル、4−モルホリンプロピオノニトリル、1−ピロリジンアセトニトリル、1−ピペリジンアセトニトリル、4−モルホリンアセトニトリル、3−ジエチルアミノプロピオン酸シアノメチル、N,N−ビス(2−ヒドロキシエチル)−3−アミノプロピオン酸シアノメチル、N,N−ビス(2−アセトキシエチル)−3−アミノプロピオン酸シアノメチル、N,N−ビス(2−ホルミルオキシエチル)−3−アミノプロピオン酸シアノメチル、N,N−ビス(2−メトキシエチル)−3−アミノプロピオン酸シアノメチル、N,N−ビス[2−(メトキシメトキシ)エチル]−3−アミノプロピオン酸シアノメチル、3−ジエチルアミノプロピオン酸(2−シアノエチル)、N,N−ビス(2−ヒドロキシエチル)−3−アミノプロピオン酸(2−シアノエチル)、N,N−ビス(2−アセトキシエチル)−3−アミノプロピオン酸(2−シアノエチル)、N,N−ビス(2−ホルミルオキシエチル)−3−アミノプロピオン酸(2−シアノエチル)、N,N−ビス(2−メトキシエチル)−3−アミノプロピオン酸(2−シアノエチル)、N,N−ビス[2−(メトキシメトキシ)エチル]−3−アミノプロピオン酸(2−シアノエチル)、1−ピロリジンプロピオン酸シアノメチル、1−ピペリジンプロピオン酸シアノメチル、4−モルホリンプロピオン酸シアノメチル、1−ピロリジンプロピオン酸(2−シアノエチル)、1−ピペリジンプロピオン酸(2−シアノエチル)、4−モルホリンプロピオン酸(2−シアノエチル)が例示される。   Specific examples of the nitrogen-containing organic compound containing a cyano group represented by the general formulas (B) -3 to (B) -6 include 3- (diethylamino) propiononitrile, N, N-bis (2-hydroxy). Ethyl) -3-aminopropiononitrile, N, N-bis (2-acetoxyethyl) -3-aminopropiononitrile, N, N-bis (2-formyloxyethyl) -3-aminopropiononitrile, N , N-bis (2-methoxyethyl) -3-aminopropiononitrile, N, N-bis [2- (methoxymethoxy) ethyl] -3-aminopropiononitrile, N- (2-cyanoethyl) -N- Methyl (2-methoxyethyl) -3-aminopropionate, methyl N- (2-cyanoethyl) -N- (2-hydroxyethyl) -3-aminopropionate, N- (2-a Toxiethyl) -N- (2-cyanoethyl) -3-aminopropionate methyl, N- (2-cyanoethyl) -N-ethyl-3-aminopropiononitrile, N- (2-cyanoethyl) -N- (2- Hydroxyethyl) -3-aminopropiononitrile, N- (2-acetoxyethyl) -N- (2-cyanoethyl) -3-aminopropiononitrile, N- (2-cyanoethyl) -N- (2-formyloxy Ethyl) -3-aminopropiononitrile, N- (2-cyanoethyl) -N- (2-methoxyethyl) -3-aminopropiononitrile, N- (2-cyanoethyl) -N- [2- (methoxymethoxy) ) Ethyl] -3-aminopropiononitrile, N- (2-cyanoethyl) -N- (3-hydroxy-1-propyl) -3-aminopropio Nitrile, N- (3-acetoxy-1-propyl) -N- (2-cyanoethyl) -3-aminopropiononitrile, N- (2-cyanoethyl) -N- (3-formyloxy-1-propyl)- 3-aminopropiononitrile, N- (2-cyanoethyl) -N-tetrahydrofurfuryl-3-aminopropiononitrile, N, N-bis (2-cyanoethyl) -3-aminopropiononitrile, diethylaminoacetonitrile, N , N-bis (2-hydroxyethyl) aminoacetonitrile, N, N-bis (2-acetoxyethyl) aminoacetonitrile, N, N-bis (2-formyloxyethyl) aminoacetonitrile, N, N-bis (2- Methoxyethyl) aminoacetonitrile, N, N-bis [2- (methoxymethoxy) ethyl] amino Acetonitrile, methyl N-cyanomethyl-N- (2-methoxyethyl) -3-aminopropionate, methyl N-cyanomethyl-N- (2-hydroxyethyl) -3-aminopropionate, N- (2-acetoxyethyl) -N-cyanomethyl-3-aminopropionic acid methyl, N-cyanomethyl-N- (2-hydroxyethyl) aminoacetonitrile, N- (2-acetoxyethyl) -N- (cyanomethyl) aminoacetonitrile, N-cyanomethyl-N- (2-formyloxyethyl) aminoacetonitrile, N-cyanomethyl-N- (2-methoxyethyl) aminoacetonitrile, N-cyanomethyl-N- [2- (methoxymethoxy) ethyl] aminoacetonitrile, N- (cyanomethyl) -N -(3-Hydroxy-1-propyl) amino Acetonitrile, N- (3-acetoxy-1-propyl) -N- (cyanomethyl) aminoacetonitrile, N-cyanomethyl-N- (3-formyloxy-1-propyl) aminoacetonitrile, N, N-bis (cyanomethyl) amino Acetonitrile, 1-pyrrolidinepropiononitrile, 1-piperidinepropiononitrile, 4-morpholinepropiononitrile, 1-pyrrolidineacetonitrile, 1-piperidineacetonitrile, 4-morpholineacetonitrile, cyanomethyl 3-diethylaminopropionate, N, N-bis Cyanomethyl (2-hydroxyethyl) -3-aminopropionate, N, N-bis (2-acetoxyethyl) -3-aminopropionate cyanomethyl, N, N-bis (2-formyloxyethyl) -3-aminop Cyanomethyl pionate, cyanomethyl N, N-bis (2-methoxyethyl) -3-aminopropionate, cyanomethyl N, N-bis [2- (methoxymethoxy) ethyl] -3-aminopropionate, 3-diethylaminopropionic acid (2-cyanoethyl), N, N-bis (2-hydroxyethyl) -3-aminopropionic acid (2-cyanoethyl), N, N-bis (2-acetoxyethyl) -3-aminopropionic acid (2-cyanoethyl) ), N, N-bis (2-formyloxyethyl) -3-aminopropionic acid (2-cyanoethyl), N, N-bis (2-methoxyethyl) -3-aminopropionic acid (2-cyanoethyl), N , N-bis [2- (methoxymethoxy) ethyl] -3-aminopropionic acid (2-cyanoethyl), 1-pyrrolidine Cyanomethyl propionate, cyanomethyl 1-piperidinepropionate, cyanomethyl 4-morpholine propionate, 1-pyrrolidinepropionic acid (2-cyanoethyl), 1-piperidinepropionic acid (2-cyanoethyl), 4-morpholine propionic acid (2-cyanoethyl) Is exemplified.

更に、下記一般式(B)−7で表されるイミダゾール骨格及び極性官能基を有する含窒素有機化合物が例示される。

Figure 2008257166

(上式中、R310は炭素数2〜20の直鎖状、分岐状又は環状の極性官能基を有するアルキル基であり、極性官能基としては水酸基、カルボニル基、エステル基、エーテル基、スルフィド基、カーボネート基、シアノ基、アセタール基のいずれかを1個あるいは複数個含む。R311、R312、R313は水素原子、炭素数1〜10の直鎖状、分岐状又は環状のアルキル基、アリール基又はアラルキル基である。) Furthermore, a nitrogen-containing organic compound having an imidazole skeleton and a polar functional group represented by the following general formula (B) -7 is exemplified.
Figure 2008257166

(In the above formula, R 310 is an alkyl group having a linear, branched or cyclic polar functional group having 2 to 20 carbon atoms, and the polar functional group includes a hydroxyl group, a carbonyl group, an ester group, an ether group, a sulfide. 1 or a plurality of any of a group, carbonate group, cyano group, and acetal group, wherein R 311 , R 312 and R 313 are a hydrogen atom, a linear, branched or cyclic alkyl group having 1 to 10 carbon atoms. An aryl group or an aralkyl group.)

更に、下記一般式(B)−8で示されるベンズイミダゾール骨格及び極性官能基を有する含窒素有機化合物が例示される。

Figure 2008257166

(上式中、R314は水素原子、炭素数1〜10の直鎖状、分岐状又は環状のアルキル基、アリール基、又はアラルキル基である。R315は炭素数1〜20の直鎖状、分岐状又は環状の極性官能基を有するアルキル基であり、極性官能基としてエステル基、アセタール基、シアノ基のいずれかを一つ以上含み、その他に水酸基、カルボニル基、エーテル基、スルフィド基、カーボネート基のいずれかを一つ以上含んでいてもよい。) Furthermore, a nitrogen-containing organic compound having a benzimidazole skeleton and a polar functional group represented by the following general formula (B) -8 is exemplified.
Figure 2008257166

(In the above formula, R 314 is a hydrogen atom, a linear, branched or cyclic alkyl group, aryl group, or aralkyl group having 1 to 10 carbon atoms. R 315 is a linear structure having 1 to 20 carbon atoms. , An alkyl group having a branched or cyclic polar functional group, which includes at least one of an ester group, an acetal group, and a cyano group as a polar functional group, and in addition, a hydroxyl group, a carbonyl group, an ether group, a sulfide group, (One or more carbonate groups may be contained.)

更に、下記一般式(B)−9及び(B)−10で示される極性官能基を有する含窒素複素環化合物が例示される。

Figure 2008257166

(上式中、Aは窒素原子又は≡C−R322である。Bは窒素原子又は≡C−R323である。R316は炭素数2〜20の直鎖状、分岐状又は環状の極性官能基を有するアルキル基であり、極性官能基としては水酸基、カルボニル基、エステル基、エーテル基、スルフィド基、カーボネート基、シアノ基又はアセタール基を一つ以上含む。R317、R318、R319、R320は水素原子、炭素数1〜10の直鎖状、分岐状又は環状のアルキル基、又はアリール基であるか、又はR317とR318、R319とR320はそれぞれ結合してこれらが結合する炭素原子と共にベンゼン環、ナフタレン環あるいはピリジン環を形成してもよい。R321は水素原子、炭素数1〜10の直鎖状、分岐状又は環状のアルキル基、又はアリール基である。R322、R323は水素原子、炭素数1〜10の直鎖状、分岐状又は環状のアルキル基、又はアリール基である。R321とR323は結合してこれらが結合する炭素原子と共にベンゼン環又はナフタレン環を形成してもよい。) Furthermore, the nitrogen-containing heterocyclic compound which has a polar functional group shown by the following general formula (B) -9 and (B) -10 is illustrated.
Figure 2008257166

(In the above formula, A is a nitrogen atom or ≡C—R 322. B is a nitrogen atom or ≡C—R 323. R 316 is a linear, branched or cyclic polarity having 2 to 20 carbon atoms. An alkyl group having a functional group, and the polar functional group includes one or more of a hydroxyl group, a carbonyl group, an ester group, an ether group, a sulfide group, a carbonate group, a cyano group, or an acetal group R 317 , R 318 , R 319 R 320 is a hydrogen atom, a linear, branched or cyclic alkyl group having 1 to 10 carbon atoms, or an aryl group, or R 317 and R 318 , R 319 and R 320 are bonded to each other. A benzene ring, a naphthalene ring or a pyridine ring may be formed together with the carbon atom to which R is bonded, and R 321 is a hydrogen atom, a linear, branched or cyclic alkyl group having 1 to 10 carbon atoms, or an aryl group. R 322 and R 323 are hydrogen atom and carbon A linear, branched or cyclic alkyl group or an aryl group having a number of 1 to 10. R 321 and R 323 may be bonded to form a benzene ring or a naphthalene ring together with the carbon atom to which they are bonded. .)

更に、下記一般式(B)−11〜(B)−14で示される芳香族カルボン酸エステル構造を有する含窒素有機化合物が例示される。

Figure 2008257166

(上式中、R324は炭素数6〜20のアリール基又は炭素数4〜20のヘテロ芳香族基であって、水素原子の一部又は全部が、ハロゲン原子、炭素数1〜20の直鎖状、分岐状又は環状のアルキル基、炭素数6〜20のアリール基、炭素数7〜20のアラルキル基、炭素数1〜10のアルコキシ基、炭素数1〜10のアシルオキシ基、又は、炭素数1〜10のアルキルチオ基で置換されていてもよい。R325はCO2326、OR327又はシアノ基である。R326は一部のメチレン基が酸素原子で置換されていてもよい炭素数1〜10のアルキル基である。R327は一部のメチレン基が酸素原子で置換されていてもよい炭素数1〜10のアルキル基又はアシル基である。R328は単結合、メチレン基、エチレン基、硫黄原子又は−O(CH2CH2O)n−基である。n=0,1,2,3又は4である。R329は水素原子、メチル基、エチル基又はフェニル基である。Xは窒素原子又はCR330である。Yは窒素原子又はCR331である。Zは窒素原子又はCR332である。R330、R331、R332はそれぞれ独立に水素原子、メチル基又はフェニル基であるか、あるいはR330とR331又はR331とR332が結合してこれらが結合する炭素原子と共に炭素数6〜20の芳香環又は炭素数2〜20のヘテロ芳香環を形成してもよい。) Furthermore, the nitrogen-containing organic compound which has an aromatic carboxylic acid ester structure shown by the following general formula (B) -11- (B) -14 is illustrated.
Figure 2008257166

(In the above formula, R 324 is an aryl group having 6 to 20 carbon atoms or a heteroaromatic group having 4 to 20 carbon atoms, and a part or all of the hydrogen atoms are halogen atoms, straight carbon atoms having 1 to 20 carbon atoms. A linear, branched or cyclic alkyl group, an aryl group having 6 to 20 carbon atoms, an aralkyl group having 7 to 20 carbon atoms, an alkoxy group having 1 to 10 carbon atoms, an acyloxy group having 1 to 10 carbon atoms, or carbon R 325 is CO 2 R 326 , OR 327 or cyano group R 326 is a carbon in which some methylene groups may be substituted with oxygen atoms R 327 is an alkyl group or acyl group having 1 to 10 carbon atoms in which a part of the methylene group may be substituted with an oxygen atom, R 328 is a single bond or a methylene group. , an ethylene group, a sulfur atom or -O (CH 2 CH 2 O n - .R 329 is .n = 0, 1, 2, 3 or 4 is a radical is a hydrogen atom, a methyl group, an ethyl group or a phenyl group .X is a nitrogen atom or CR 330 .Y nitrogen An atom or CR 331. Z is a nitrogen atom or CR 332. R 330 , R 331 and R 332 are each independently a hydrogen atom, a methyl group or a phenyl group, or R 330 and R 331 or R 331. And R 332 may be bonded together to form a C 6-20 aromatic ring or a C 2-20 heteroaromatic ring together with the carbon atom to which they are bonded.

更に、下記一般式(B)−15で示される7−オキサノルボルナン−2−カルボン酸エステル構造を有する含窒素有機化合物が例示される。

Figure 2008257166

(上式中、R333は水素、又は炭素数1〜10の直鎖状、分岐状又は環状のアルキル基である。R334及びR335はそれぞれ独立に、エーテル、カルボニル、エステル、アルコール、スルフィド、ニトリル、アミン、イミン、アミド等の極性官能基を一個又は複数個含んでいてもよい炭素数1〜20のアルキル基、炭素数6〜20のアリール基、又は炭素数7〜20のアラルキル基であって、水素原子の一部がハロゲン原子で置換されていてもよい。R334とR335は互いに結合してこれらが結合する窒素原子と共に炭素数2〜20のヘテロ環又はヘテロ芳香環を形成してもよい。) Furthermore, a nitrogen-containing organic compound having a 7-oxanorbornane-2-carboxylic acid ester structure represented by the following general formula (B) -15 is exemplified.
Figure 2008257166

(In the above formula, R 333 is hydrogen or a linear, branched or cyclic alkyl group having 1 to 10 carbon atoms. R 334 and R 335 are independently ether, carbonyl, ester, alcohol, sulfide. , Nitriles, amines, imines, amides and the like, which may contain one or more polar functional groups, an alkyl group having 1 to 20 carbon atoms, an aryl group having 6 to 20 carbon atoms, or an aralkyl group having 7 to 20 carbon atoms And R 334 and R 335 may be bonded to each other to form a heterocyclic ring or heteroaromatic ring having 2 to 20 carbon atoms together with the nitrogen atom to which they are bonded. It may be formed.)

なお、含窒素有機化合物の配合量は、ベース樹脂100質量部に対して0.001〜2質量部、特に0.01〜1質量部が好適である。配合量が0.001質量部以上であれば十分な配合効果が得られ、2質量部以下であれば感度が低下するおそれが少ない。   In addition, the compounding quantity of a nitrogen-containing organic compound is 0.001-2 mass parts with respect to 100 mass parts of base resins, Especially 0.01-1 mass part is suitable. If the amount is 0.001 part by mass or more, a sufficient blending effect is obtained, and if it is 2 parts by mass or less, the sensitivity is less likely to decrease.

本発明のレジスト材料には、上記成分以外に任意成分として塗布性を向上させるために慣用されている界面活性剤を添加することができる。なお、任意成分の添加量は、本発明の効果を妨げない範囲で通常量とすることができる。   In addition to the above components, a surfactant conventionally used for improving the coating property can be added as an optional component to the resist material of the present invention. In addition, the addition amount of an arbitrary component can be made into a normal amount in the range which does not inhibit the effect of this invention.

ここで、界面活性剤としては非イオン性のものが好ましく、パーフルオロアルキルポリオキシエチレンエタノール、フッ素化アルキルエステル、パーフルオロアルキルアミンオキサイド、パーフルオロアルキルEO付加物、含フッ素オルガノシロキサン系化合物等が挙げられる。例えばフロラード「FC−430」、「FC−431」(いずれも住友スリーエム(株)製)、サーフロン「S−141」、「S−145」、「KH−10」、「KH−20」、「KH−30」、「KH−40」(いずれも旭硝子(株)製)、ユニダイン「DS−401」、「DS−403」、「DS−451」(いずれもダイキン工業(株)製)、メガファック「F−8151」(大日本インキ工業(株)製)、「X−70−092」、「X−70−093」(いずれも信越化学工業(株)製)等を挙げることができる。好ましくは、フロラード「FC−430」(住友スリーエム(株)製)、「KH−20」、「KH−30」(いずれも旭硝子(株)製)、「X−70−093」(信越化学工業(株)製)が挙げられる。   Here, the surfactant is preferably nonionic, such as perfluoroalkyl polyoxyethylene ethanol, fluorinated alkyl ester, perfluoroalkylamine oxide, perfluoroalkyl EO adduct, fluorine-containing organosiloxane compound, and the like. Can be mentioned. For example, Florard “FC-430”, “FC-431” (all manufactured by Sumitomo 3M Limited), Surflon “S-141”, “S-145”, “KH-10”, “KH-20”, “ KH-30 "," KH-40 "(all manufactured by Asahi Glass Co., Ltd.), Unidyne" DS-401 "," DS-403 "," DS-451 "(all manufactured by Daikin Industries, Ltd.), Mega For example, “F-8151” (manufactured by Dainippon Ink Industries, Ltd.), “X-70-092”, “X-70-093” (all manufactured by Shin-Etsu Chemical Co., Ltd.) can be used. Preferably, Florard “FC-430” (manufactured by Sumitomo 3M Limited), “KH-20”, “KH-30” (all manufactured by Asahi Glass Co., Ltd.), “X-70-093” (Shin-Etsu Chemical Co., Ltd.) Product).

本発明のレジスト材料には、必要に応じ、任意成分として更に、溶解制御剤、カルボン酸化合物、アセチレンアルコール誘導体等の他の成分を添加してもよい。なお、任意成分の添加量は、本発明の効果を妨げない範囲で通常量とすることができる。   If necessary, the resist material of the present invention may further contain other components such as a dissolution controller, a carboxylic acid compound, and an acetylene alcohol derivative as optional components. In addition, the addition amount of an arbitrary component can be made into a normal amount in the range which does not inhibit the effect of this invention.

本発明のレジスト材料に添加することができる溶解制御剤としては、重量平均分子量が100〜1,000、好ましくは150〜800で、かつ分子内にフェノール性水酸基を2つ以上有する化合物の該フェノール性水酸基の水素原子を酸不安定基により全体として平均0〜100モル%の割合で置換した化合物又は分子内にカルボキシ基を有する化合物の該カルボキシ基の水素原子を酸不安定基により全体として平均50〜100モル%の割合で置換した化合物を配合する。   As a dissolution control agent that can be added to the resist material of the present invention, the phenol of a compound having a weight average molecular weight of 100 to 1,000, preferably 150 to 800, and having two or more phenolic hydroxyl groups in the molecule. The hydrogen atom of the carboxylic group of the compound having a carboxy group in the molecule or the compound in which the hydrogen atom of the ionic hydroxyl group is substituted with an acid labile group as a whole at a ratio of 0 to 100 mol% as a whole is averaged by the acid labile group as a whole A compound substituted at a ratio of 50 to 100 mol% is blended.

なお、フェノール性水酸基の水素原子の酸不安定基による置換率は、平均でフェノール性水酸基全体の0モル%以上、好ましくは30モル%以上であり、その上限は100モル%、より好ましくは80モル%である。カルボキシ基の水素原子の酸不安定基による置換率は、平均でカルボキシ基全体の50モル%以上、好ましくは70モル%以上であり、その上限は100モル%である。   The substitution rate of the hydrogen atom of the phenolic hydroxyl group by an acid labile group is on average 0 mol% or more, preferably 30 mol% or more of the entire phenolic hydroxyl group, and the upper limit is 100 mol%, more preferably 80 mol%. Mol%. The substitution rate of the hydrogen atom of the carboxy group by an acid labile group is 50 mol% or more, preferably 70 mol% or more of the entire carboxy group on average, and the upper limit is 100 mol%.

この場合、かかるフェノール性水酸基を2つ以上有する化合物又はカルボキシ基を有する化合物としては、下記式(D1)〜(D14)で示されるものが好ましい。   In this case, as the compound having two or more phenolic hydroxyl groups or the compound having a carboxy group, those represented by the following formulas (D1) to (D14) are preferable.

Figure 2008257166
Figure 2008257166

上式中、R201とR202は、それぞれ水素原子、又は炭素数1〜8の直鎖状又は分岐状のアルキル基又はアルケニル基を示し、例えば、水素原子、メチル基、エチル基、ブチル基、プロピル基、エチニル基、シクロヘキシル基が挙げられる。
203は、水素原子、又は炭素数1〜8の直鎖状又は分岐状のアルキル基又はアルケニル基、あるいは−(R207hCOOH(式中、R207は炭素数1〜10の直鎖状又は分岐状のアルキレン基を示す。hは0又は1である。)を示し、例えば、R201、R202と同様なもの、あるいは−COOH、−CH2COOHが挙げられる。
204は、−(CH2i−(i=2〜10)、炭素数6〜10のアリーレン基、カルボニル基、スルホニル基、酸素原子又は硫黄原子を示し、例えば、エチレン基、フェニレン基、カルボニル基、スルホニル基、酸素原子、硫黄原子等が挙げられる。
205は、炭素数1〜10のアルキレン基、炭素数6〜10のアリーレン基、カルボニル基、スルホニル基、酸素原子又は硫黄原子を示し、例えば、メチレン基、あるいはR204と同様なものが挙げられる。
206は、水素原子、炭素数1〜8の直鎖状又は分岐状のアルキル基、アルケニル基、又はそれぞれ水酸基で置換されたフェニル基又はナフチル基を示し、例えば、水素原子、メチル基、エチル基、ブチル基、プロピル基、エチニル基、シクロヘキシル基、それぞれ水酸基で置換されたフェニル基、ナフチル基等が挙げられる。
208は、水素原子又は水酸基を示す。
In the above formula, R 201 and R 202 each represent a hydrogen atom, or a linear or branched alkyl group or alkenyl group having 1 to 8 carbon atoms, such as a hydrogen atom, a methyl group, an ethyl group, or a butyl group. , A propyl group, an ethynyl group, and a cyclohexyl group.
R 203 represents a hydrogen atom, a linear or branched alkyl group or alkenyl group having 1 to 8 carbon atoms, or — (R 207 ) h COOH (wherein R 207 is a linear chain having 1 to 10 carbon atoms) And h represents 0 or 1), and examples thereof include those similar to R 201 and R 202 , or —COOH and —CH 2 COOH.
R 204 represents — (CH 2 ) i — (i = 2 to 10), an arylene group having 6 to 10 carbon atoms, a carbonyl group, a sulfonyl group, an oxygen atom or a sulfur atom, such as an ethylene group, a phenylene group, A carbonyl group, a sulfonyl group, an oxygen atom, a sulfur atom, etc. are mentioned.
R 205 represents an alkylene group having 1 to 10 carbon atoms, an arylene group having 6 to 10 carbon atoms, a carbonyl group, a sulfonyl group, an oxygen atom or a sulfur atom, and examples thereof include a methylene group or the same as R 204. It is done.
R 206 represents a hydrogen atom, a linear or branched alkyl group having 1 to 8 carbon atoms, an alkenyl group, or a phenyl group or a naphthyl group each substituted with a hydroxyl group. For example, a hydrogen atom, a methyl group, an ethyl group Group, butyl group, propyl group, ethynyl group, cyclohexyl group, phenyl group substituted with hydroxyl group, naphthyl group, etc., respectively.
R 208 represents a hydrogen atom or a hydroxyl group.

jは0〜5の整数である。u、hは0又は1である。s、t、s’、t’、s’’、t’’はそれぞれs+t=8、s’+t’=5、s’’+t’’=4を満足し、かつ各フェニル骨格中に少なくとも1つの水酸基を有するような数である。αは式(D8)、(D9)の化合物の重量平均分子量を100〜1,000とする数である。   j is an integer of 0-5. u and h are 0 or 1. s, t, s ′, t ′, s ″, t ″ satisfy s + t = 8, s ′ + t ′ = 5, s ″ + t ″ = 4, respectively, and at least 1 in each phenyl skeleton The number has two hydroxyl groups. α is a number that makes the weight average molecular weight of the compounds of formulas (D8) and (D9) 100 to 1,000.

溶解制御剤の酸不安定基としては、種々用いることができるが、具体的には前記一般式(L1)〜(L4)で示される基、炭素数4〜20の三級アルキル基、各アルキル基の炭素数がそれぞれ1〜6のトリアルキルシリル基、炭素数4〜20のオキソアルキル基等を挙げることができる。なお、それぞれの基の具体例については、先の説明と同様である。   The acid labile group of the dissolution control agent can be variously used. Specifically, the groups represented by the general formulas (L1) to (L4), the tertiary alkyl group having 4 to 20 carbon atoms, and each alkyl Examples thereof include a trialkylsilyl group having 1 to 6 carbon atoms and an oxoalkyl group having 4 to 20 carbon atoms. Specific examples of each group are the same as described above.

上記溶解制御剤の配合量は、レジスト材料中のベース樹脂100質量部に対し、0〜50質量部、好ましくは0〜40質量部、より好ましくは0〜30質量部であり、単独又は2種以上を混合して使用できる。配合量が50質量部以下であれば、パターンの膜減りが生じて、解像度が低下するおそれが少ない。   The compounding amount of the dissolution control agent is 0 to 50 parts by mass, preferably 0 to 40 parts by mass, more preferably 0 to 30 parts by mass with respect to 100 parts by mass of the base resin in the resist material. The above can be mixed and used. When the blending amount is 50 parts by mass or less, the film thickness of the pattern is reduced, and there is little possibility that the resolution is lowered.

なお、上記のような溶解制御剤は、フェノール性水酸基又はカルボキシ基を有する化合物に対し、有機化学的処方を用いて酸不安定基を導入することにより合成される。   In addition, the above solubility control agents are synthesize | combined by introduce | transducing an acid labile group with respect to the compound which has a phenolic hydroxyl group or a carboxy group using an organic chemical prescription.

本発明のレジスト材料に添加することができるカルボン酸化合物としては、例えば下記[I群]及び[II群]から選ばれる1種又は2種以上の化合物を使用することができるが、これらに限定されるものではない。本成分の配合により、レジストのPED(Post Exposure Delay)安定性が向上し、窒化膜基板上でのエッジラフネスが改善される。   As the carboxylic acid compound that can be added to the resist material of the present invention, for example, one or two or more compounds selected from the following [Group I] and [Group II] can be used, but are not limited thereto. Is not to be done. By blending this component, the PED (Post Exposure Delay) stability of the resist is improved, and the edge roughness on the nitride film substrate is improved.

[I群]
下記一般式(A1)〜(A10)で示される化合物のフェノール性水酸基の水素原子の一部又は全部を−R401−COOH(R401は炭素数1〜10の直鎖状又は分岐状のアルキレン基)により置換してなり、かつ分子中のフェノール性水酸基(C)と≡C−COOHで示される基(D)とのモル比率がC/(C+D)=0.1〜1.0である化合物。
[II群]
下記一般式(A11)〜(A15)で示される化合物。
[Group I]
A part or all of the hydrogen atoms of the phenolic hydroxyl groups of the compounds represented by the following general formulas (A1) to (A10) are converted to —R 401 —COOH (R 401 is a linear or branched alkylene having 1 to 10 carbon atoms). The molar ratio of the phenolic hydroxyl group (C) in the molecule to the group (D) represented by ≡C—COOH is C / (C + D) = 0.1 to 1.0. Compound.
[Group II]
Compounds represented by the following general formulas (A11) to (A15).

Figure 2008257166
Figure 2008257166

Figure 2008257166
Figure 2008257166

上式中、R408は水素原子又はメチル基を示す。
402、R403はそれぞれ水素原子又は炭素数1〜8の直鎖状又は分岐状のアルキル基又はアルケニル基を示す。R404は水素原子又は炭素数1〜8の直鎖状又は分岐状のアルキル基又はアルケニル基、あるいは−(R409h−COOR’基(R’は水素原子又は−R409−COOH)を示す。
405は−(CH2i−(i=2〜10)、炭素数6〜10のアリーレン基、カルボニル基、スルホニル基、酸素原子又は硫黄原子を示す。
406は炭素数1〜10のアルキレン基、炭素数6〜10のアリーレン基、カルボニル基、スルホニル基、酸素原子又は硫黄原子を示す。
407は水素原子又は炭素数1〜8の直鎖状又は分岐状のアルキル基、アルケニル基、それぞれ水酸基で置換されたフェニル基又はナフチル基を示す。
409は炭素数1〜10の直鎖状又は分岐状のアルキレン基を示す。
410は水素原子又は炭素数1〜8の直鎖状又は分岐状のアルキル基又はアルケニル基又は−R411−COOH基(式中、R411は炭素数1〜10の直鎖状又は分岐状のアルキレン基を示す。)を示す。
412は水素原子又は水酸基を示す。
In the above formula, R 408 represents a hydrogen atom or a methyl group.
R 402 and R 403 each represent a hydrogen atom or a linear or branched alkyl group or alkenyl group having 1 to 8 carbon atoms. R 404 represents a hydrogen atom, a linear or branched alkyl group or alkenyl group having 1 to 8 carbon atoms, or a — (R 409 ) h —COOR ′ group (R ′ represents a hydrogen atom or —R 409 —COOH). Show.
R 405 represents — (CH 2 ) i — (i = 2 to 10), an arylene group having 6 to 10 carbon atoms, a carbonyl group, a sulfonyl group, an oxygen atom, or a sulfur atom.
R 406 represents an alkylene group having 1 to 10 carbon atoms, an arylene group having 6 to 10 carbon atoms, a carbonyl group, a sulfonyl group, an oxygen atom or a sulfur atom.
R 407 represents a hydrogen atom, a linear or branched alkyl group having 1 to 8 carbon atoms, an alkenyl group, a phenyl group or a naphthyl group each substituted with a hydroxyl group.
R 409 represents a linear or branched alkylene group having 1 to 10 carbon atoms.
R 410 represents a hydrogen atom, a linear or branched alkyl group or alkenyl group having 1 to 8 carbon atoms, or —R 411 —COOH group (wherein R 411 is a linear or branched group having 1 to 10 carbon atoms) Represents an alkylene group.
R 412 represents a hydrogen atom or a hydroxyl group.

jは0〜3の数であり、s1、t1、s2、t2、s3、t3、s4、t4は、それぞれs1+t1=8、s2+t2=5、s3+t3=4、s4+t4=6を満足し、かつ各フェニル骨格中に少なくとも1つの水酸基を有するような数である。
s5、t5は、s5≧0、t5≧0で、s5+t5=5を満足する数である。
uは、1≦u≦4を満足する数であり、hは、1≦h≦4を満足する数である。
κは式(A6)の化合物を重量平均分子量1,000〜5,000とする数である。
λは式(A7)の化合物を重量平均分子量1,000〜10,000とする数である。
j is a number from 0 to 3, and s1, t1, s2, t2, s3, t3, s4, and t4 satisfy s1 + t1 = 8, s2 + t2 = 5, s3 + t3 = 4, s4 + t4 = 6, and each phenyl The number is such that it has at least one hydroxyl group in the skeleton.
s5 and t5 are numbers satisfying s5 + t5 = 5 with s5 ≧ 0 and t5 ≧ 0.
u is a number satisfying 1 ≦ u ≦ 4, and h is a number satisfying 1 ≦ h ≦ 4.
κ is a number that makes the compound of formula (A6) a weight average molecular weight of 1,000 to 5,000.
λ is a number that makes the compound of formula (A7) a weight average molecular weight of 1,000 to 10,000.

本成分として、具体的には下記一般式(AI−1)〜(AI−14)及び(AII−1)〜(AII−10)で示される化合物を挙げることができるが、これらに限定されるものではない。   Specific examples of this component include, but are not limited to, compounds represented by the following general formulas (AI-1) to (AI-14) and (AII-1) to (AII-10). It is not a thing.

Figure 2008257166
Figure 2008257166

Figure 2008257166

(上式中、R’’は水素原子又はCH2COOH基を示し、各化合物においてR’’の10〜100モル%はCH2COOH基である。κとλは上記と同様の意味を示す。)
Figure 2008257166

(In the above formula, R ″ represents a hydrogen atom or a CH 2 COOH group, and in each compound, 10 to 100 mol% of R ″ is a CH 2 COOH group. Κ and λ have the same meaning as described above. .)

なお、上記分子内に≡C−COOHで示される基を有する化合物の添加量は、ベース樹脂100質量部に対して0〜5質量部、好ましくは0.1〜5質量部、より好ましくは0.1〜3質量部、更に好ましくは0.1〜2質量部である。5質量部以下であればレジスト材料の解像度が低下するおそれが少ない。   The amount of the compound having a group represented by ≡C—COOH in the molecule is 0 to 5 parts by mass, preferably 0.1 to 5 parts by mass, and more preferably 0 to 100 parts by mass of the base resin. 0.1-3 parts by mass, more preferably 0.1-2 parts by mass. If it is 5 mass parts or less, there is little possibility that the resolution of a resist material will fall.

本発明のレジスト材料に添加することができるアセチレンアルコール誘導体としては、下記一般式(S1)、(S2)で示されるものを好適に使用することができる。

Figure 2008257166

(上式中、R501、R502、R503、R504、R505はそれぞれ水素原子、又は炭素数1〜8の直鎖状、分岐状又は環状のアルキル基であり、X、Yは0又は正数を示し、下記値を満足する。0≦X≦30、0≦Y≦30、0≦X+Y≦40である。) As the acetylene alcohol derivative that can be added to the resist material of the present invention, those represented by the following general formulas (S1) and (S2) can be preferably used.
Figure 2008257166

(In the above formula, R 501 , R 502 , R 503 , R 504 , and R 505 are each a hydrogen atom or a linear, branched, or cyclic alkyl group having 1 to 8 carbon atoms, and X and Y are 0 Or it represents a positive number and satisfies the following values: 0 ≦ X ≦ 30, 0 ≦ Y ≦ 30, 0 ≦ X + Y ≦ 40.)

アセチレンアルコール誘導体として好ましくは、サーフィノール61、サーフィノール82、サーフィノール104、サーフィノール104E、サーフィノール104H、サーフィノール104A、サーフィノールTG、サーフィノールPC、サーフィノール440、サーフィノール465、サーフィノール485(Air Products and Chemicals Inc.製)、サーフィノールE1004(日信化学工業(株)製)等が挙げられる。   The acetylene alcohol derivative is preferably Surfinol 61, Surfinol 82, Surfinol 104, Surfinol 104E, Surfinol 104H, Surfinol 104A, Surfinol TG, Surfinol PC, Surfinol 440, Surfinol 465, Surfinol 485 (Air Products and Chemicals Inc.), Surfinol E1004 (Nisshin Chemical Industry Co., Ltd.) and the like.

上記アセチレンアルコール誘導体の添加量は、レジスト材料100質量%中0.01〜2質量%、より好ましくは0.02〜1質量%である。0.01質量%以上であれば塗布性及び保存安定性の改善効果が十分に得られ、2質量%以下であればレジスト材料の解像性が低下するおそれが少ない。   The addition amount of the acetylene alcohol derivative is 0.01 to 2% by mass, more preferably 0.02 to 1% by mass in 100% by mass of the resist material. If it is 0.01 mass% or more, the improvement effect of applicability | paintability and storage stability is fully acquired, and if it is 2 mass% or less, there is little possibility that the resolution of a resist material will fall.

本発明のレジスト材料は、液浸露光を採用したパターン形成方法に用いることができるが、この場合、フォトレジスト膜上に保護膜を形成し、フォトレジスト膜と液体との間に保護膜を介在して液浸露光を行うことが好ましいが、本発明のレジスト膜上に適用する保護膜としては、αトリフルオロメチルアルコール基をアルカリ溶解性基として有する高分子化合物をベースとするアルカリ可溶型保護膜が好ましく用いられる。αトリフルオロメチルアルコール基を有する高分子化合物は、一般式(1)中の繰り返し単位(b−1)を形成するモノマーと同様のモノマーの重合によって得ることができる。また、水の浸透を防いだり、後退接触角を向上させるために繰り返し単位(b−2)を形成するモノマーと同様のモノマーを共重合してもよい。更には、下記に挙げられるαトリフルオロメチルアルコール基によるアルカリ溶解性基を有するモノマーを重合してもよい。   The resist material of the present invention can be used in a pattern forming method employing immersion exposure. In this case, a protective film is formed on the photoresist film, and the protective film is interposed between the photoresist film and the liquid. It is preferable to perform immersion exposure, but the protective film applied on the resist film of the present invention is an alkali-soluble type based on a polymer compound having an α-trifluoromethyl alcohol group as an alkali-soluble group. A protective film is preferably used. The high molecular compound which has (alpha) trifluoromethyl alcohol group can be obtained by superposition | polymerization of the monomer similar to the monomer which forms the repeating unit (b-1) in General formula (1). Further, a monomer similar to the monomer forming the repeating unit (b-2) may be copolymerized in order to prevent water penetration and improve the receding contact angle. Furthermore, you may polymerize the monomer which has the alkali solubility group by the (alpha) trifluoromethyl alcohol group mentioned below.

Figure 2008257166
Figure 2008257166

また、撥水性基を有するモノマーとして、下記に挙げられるモノマーを重合してもよい。

Figure 2008257166
Moreover, you may polymerize the monomer mentioned below as a monomer which has a water repellent group.
Figure 2008257166

Figure 2008257166
Figure 2008257166

Figure 2008257166
Figure 2008257166

Figure 2008257166
Figure 2008257166

この場合、αトリフルオロメチルアルコール基を有するモノマーの使用割合をA、(b−2)の繰り返し単位を形成するモノマーと同様のモノマーの使用割合をB、撥水性基を有するモノマーの使用割合をCとし、A+B+C=100モル%とした場合、Aは10〜100モル%、特に30〜100モル%、Bは0〜90モル%、特に0〜70モル%、Cは0〜90モル%、特に0〜70モル%として(共)重合させた高分子化合物を保護膜材料とすることが好ましい。   In this case, the usage rate of the monomer having an α-trifluoromethyl alcohol group is A, the usage rate of the monomer similar to the monomer forming the repeating unit (b-2) is B, and the usage rate of the monomer having a water repellent group is When C and A + B + C = 100 mol%, A is 10 to 100 mol%, particularly 30 to 100 mol%, B is 0 to 90 mol%, particularly 0 to 70 mol%, C is 0 to 90 mol%, In particular, it is preferable to use a polymer compound (co) polymerized as 0 to 70 mol% as a protective film material.

なお、保護膜の2.38質量%のテトラメチルアンモニウムヒドロキシド水溶液中のアルカリ溶解速度は50nm/秒以上、好ましくは100nm/秒以上である。重量平均分子量は1,000〜100,000の範囲が好ましく用いられる。   In addition, the alkali dissolution rate in the 2.38 mass% tetramethylammonium hydroxide aqueous solution of a protective film is 50 nm / second or more, Preferably it is 100 nm / second or more. The weight average molecular weight is preferably in the range of 1,000 to 100,000.

保護膜用の溶媒としては、特に限定されないが、レジスト層を溶解させる溶媒は好ましくない。例えば、レジスト溶媒として用いられるシクロヘキサノン、メチル−2−n−アミルケトン等のケトン類、3−メトキシブタノール、3−メチル−3−メトキシブタノール、1−メトキシ−2−プロパノール、1−エトキシ−2−プロパノール等のアルコール類、プロピレングリコールモノメチルエーテル、エチレングリコールモノメチルエーテル、プロピレングリコールモノエチルエーテル、エチレングリコールモノエチルエーテル、プロピレングリコールジメチルエーテル、ジエチレングリコールジメチルエーテル等のエーテル類、プロピレングリコールモノメチルエーテルアセテート、プロピレングリコールモノエチルエーテルアセテート、乳酸エチル、ピルビン酸エチル、酢酸ブチル、3−メトキシプロピオン酸メチル、3−エトキシプロピオン酸エチル、酢酸tert−ブチル、プロピオン酸tert−ブチル、プロピレングリコールモノ−tert−ブチルエーテルアセテート等のエステル類等は好ましくない。   The solvent for the protective film is not particularly limited, but a solvent that dissolves the resist layer is not preferable. For example, ketones such as cyclohexanone and methyl-2-n-amyl ketone used as a resist solvent, 3-methoxybutanol, 3-methyl-3-methoxybutanol, 1-methoxy-2-propanol, 1-ethoxy-2-propanol Alcohols such as propylene glycol monomethyl ether, ethylene glycol monomethyl ether, propylene glycol monoethyl ether, ethylene glycol monoethyl ether, propylene glycol dimethyl ether, diethylene glycol dimethyl ether, propylene glycol monomethyl ether acetate, propylene glycol monoethyl ether acetate , Ethyl lactate, ethyl pyruvate, butyl acetate, methyl 3-methoxypropionate, 3-ethoxy Ethyl propionate, acetate tert- butyl, tert- butyl propionate, esters such as propylene glycol monobutyl -tert- butyl ether acetate is not preferable.

レジスト層を溶解しない溶媒としては、炭素数4以上の高級アルコール、トルエン、キシレン、アニソール、ヘキサン、シクロヘキサン、エーテル等の非極性溶媒を挙げることができる。特に炭素数4以上の高級アルコールが好ましく用いられ、具体的には1−ブチルアルコール、2−ブチルアルコール、イソブチルアルコール、tert−ブチルアルコール、1−ペンタノール、2−ペンタノール、3−ペンタノール、tert−アミルアルコール、ネオペンチルアルコール、2−メチル−1−ブタノール、3−メチル−1−ブタノール、3−メチル−3−ペンタノール、シクロペンタノール、1−ヘキサノール、2−ヘキサノール、3−ヘキサノール、2,3−ジメチル−2−ブタノール、3,3−ジメチル−1−ブタノール、3,3−ジメチル−2−ブタノール、2−ジエチル−1−ブタノール、2−メチル−1−ペンタノール、2−メチル−2−ペンタノール、2−メチル−3−ペンタノール、3−メチル−1−ペンタノール、3−メチル−2−ペンタノール、3−メチル−3−ペンタノール、4−メチル−1−ペンタノール、4−メチル−2−ペンタノール、4−メチル−3−ペンタノール、シクロヘキサノール、ジイソプロピルエーテル、ジイソブチルエーテル、ジイソペンチルエーテル、ジ−n−ペンチルエーテル、メチルシクロペンチルエーテル、メチルシクロヘキシルエーテルが挙げられる。   Examples of the solvent that does not dissolve the resist layer include non-polar solvents such as higher alcohols having 4 or more carbon atoms, toluene, xylene, anisole, hexane, cyclohexane, and ether. In particular, higher alcohols having 4 or more carbon atoms are preferably used. Specifically, 1-butyl alcohol, 2-butyl alcohol, isobutyl alcohol, tert-butyl alcohol, 1-pentanol, 2-pentanol, 3-pentanol, tert-amyl alcohol, neopentyl alcohol, 2-methyl-1-butanol, 3-methyl-1-butanol, 3-methyl-3-pentanol, cyclopentanol, 1-hexanol, 2-hexanol, 3-hexanol, 2,3-dimethyl-2-butanol, 3,3-dimethyl-1-butanol, 3,3-dimethyl-2-butanol, 2-diethyl-1-butanol, 2-methyl-1-pentanol, 2-methyl 2-pentanol, 2-methyl-3-pentanol, 3-methyl-1-pentanol 3-methyl-2-pentanol, 3-methyl-3-pentanol, 4-methyl-1-pentanol, 4-methyl-2-pentanol, 4-methyl-3-pentanol, cyclohexanol , Diisopropyl ether, diisobutyl ether, diisopentyl ether, di-n-pentyl ether, methylcyclopentyl ether, and methylcyclohexyl ether.

一方、フッ素系の溶媒もレジスト層を溶解しないので好ましく用いることができる。
このようなフッ素置換された溶媒を例示すると、2−フルオロアニソール、3−フルオロアニソール、4−フルオロアニソール、2,3−ジフルオロアニソール、2,4−ジフルオロアニソール、2,5−ジフルオロアニソール、5,8−ジフルオロ−1,4−ベンゾジオキサン、2,3−ジフルオロベンジルアルコール、1,3−ジフルオロ−2−プロパノール、2’,4’−ジフルオロプロピオフェノン、2,4−ジフルオロトルエン、トリフルオロアセトアルデヒドエチルヘミアセタール、トリフルオロアセトアミド、トリフルオロエタノール、2,2,2−トリフルオロエチルブチレート、エチルヘプタフルオロブチレート、エチルヘプタフルオロブチルアセテート、エチルヘキサフルオログルタリルメチル、エチル−3−ヒドロキシ−4,4,4−トリフルオロブチレート、エチル−2−メチル−4,4,4−トリフルオロアセトアセテート、エチルペンタフルオロベンゾエート、エチルペンタフルオロプロピオネート、エチルペンタフルオロプロピニルアセテート、エチルパーフルオロオクタノエート、エチル−4,4,4−トリフルオロアセトアセテート、エチル−4,4,4−トリフルオロブチレート、エチル−4,4,4−トリフルオロクロトネート、エチルトリフルオロスルホネート、エチル−3−(トリフルオロメチル)ブチレート、エチルトリフルオロピルベート、S−エチルトリフルオロアセテート、フルオロシクロヘキサン、2,2,3,3,4,4,4−ヘプタフルオロ−1−ブタノール、1,1,1,2,2,3,3−ヘプタフルオロ−7,7−ジメチル−4,6−オクタンジオン、1,1,1,3,5,5,5−ヘプタフルオロペンタン−2,4−ジオン、3,3,4,4,5,5,5−ヘプタフルオロ−2−ペンタノール、3,3,4,4,5,5,5−ヘプタフルオロ−2−ペンタノン、イソプロピル4,4,4−トリフルオロアセトアセテート、メチルパーフルオロデナノエート、メチルパーフルオロ(2−メチル−3−オキサヘキサノエート)、メチルパーフルオロノナノエート、メチルパーフルオロオクタノエート、メチル−2,3,3,3−テトラフルオロプロピオネート、メチルトリフルオロアセトアセテート、1,1,1,2,2,6,6,6−オクタフルオロ−2,4−ヘキサンジオン、2,2,3,3,4,4,5,5−オクタフルオロ−1−ペンタノール、1H,1H,2H,2H−パーフルオロ−1−デカノール、パーフルオロ(2,5−ジメチル−3,6−ジオキサンアニオニック)酸メチルエステル、2H−パーフルオロ−5−メチル−3,6−ジオキサノナン、1H,1H,2H,3H,3H−パーフルオロノナン−1,2−ジオール、1H,1H,9H−パーフルオロ−1−ノナノール、1H,1H−パーフルオロオクタノール、1H,1H,2H,2H−パーフルオロオクタノール、2H−パーフルオロ−5,8,11,14−テトラメチル−3,6,9,12,15−ペンタオキサオクタデカン、パーフルオロトリブチルアミン、パーフルオロトリヘキシルアミン、パーフルオロ−2,5,8−トリメチル−3,6,9−トリオキサドデカン酸メチルエステル、パーフルオロトリペンチルアミン、パーフルオロトリプロピルアミン、1H,1H,2H,3H,3H−パーフルオロウンデカン−1,2−ジオール、トルフルオロブタノール1,1,1−トリフルオロ−5−メチル−2,4−ヘキサンジオン、1,1,1−トリフルオロ−2−プロパノール、3,3,3−トリフルオロ−1−プロパノール、1,1,1−トリフルオロ−2−プロピルアセテート、パーフルオロブチルテトラヒドロフラン、パーフルオロ(ブチルテトラヒドロフラン)、パーフルオロデカリン、パーフルオロ(1,2−ジメチルシクロヘキサン)、パーフルオロ(1,3−ジメチルシクロヘキサン)、プロピレングリコールトリフルオロメチルエーテルアセテート、プロピレングリコールメチルエーテルトリフルオロメチルアセテート、トリフルオロメチル酢酸ブチル、3−トリフルオロメトキシプロピオン酸メチル、パーフルオロシクロヘキサノン、プロピレングリコールトリフルオロメチルエーテル、トリフルオロ酢酸ブチル、1,1,1−トリフルオロ−5,5−ジメチル−2,4−ヘキサンジオン、1,1,1,3,3,3−ヘキサフルオロ−2−プロパノール、1,1,1,3,3,3−ヘキサフルオロ−2−メチル−2−プロパノール、2,2,3,4,4,4−ヘキサフルオロ−1−ブタノール、2−トリフルオロメチル−2−プロパノール,2,2,3,3−テトラフルオロ−1−プロパノール、3,3,3−トリフルオロ−1−プロパノール、4,4,4−トリフルオロ−1−ブタノール等が挙げられ、これらの1種を単独で又は2種以上を混合して使用することができるが、これらに限定されるものではない。
On the other hand, a fluorine-based solvent can be preferably used because it does not dissolve the resist layer.
Examples of such fluorine-substituted solvents include 2-fluoroanisole, 3-fluoroanisole, 4-fluoroanisole, 2,3-difluoroanisole, 2,4-difluoroanisole, 2,5-difluoroanisole, 5, 8-difluoro-1,4-benzodioxane, 2,3-difluorobenzyl alcohol, 1,3-difluoro-2-propanol, 2 ′, 4′-difluoropropiophenone, 2,4-difluorotoluene, trifluoroacetaldehyde Ethyl hemiacetal, trifluoroacetamide, trifluoroethanol, 2,2,2-trifluoroethyl butyrate, ethyl heptafluorobutyrate, ethyl heptafluorobutyl acetate, ethyl hexafluoroglutaryl methyl, ethyl-3-hydroxy 4,4,4-trifluorobutyrate, ethyl-2-methyl-4,4,4-trifluoroacetoacetate, ethyl pentafluorobenzoate, ethyl pentafluoropropionate, ethyl pentafluoropropynyl acetate, ethyl perfluoroocta Noate, ethyl-4,4,4-trifluoroacetoacetate, ethyl-4,4,4-trifluorobutyrate, ethyl-4,4,4-trifluorocrotonate, ethyltrifluorosulfonate, ethyl-3 -(Trifluoromethyl) butyrate, ethyl trifluoropyruvate, S-ethyl trifluoroacetate, fluorocyclohexane, 2,2,3,3,4,4,4-heptafluoro-1-butanol, 1,1,1 , 2,2,3,3-heptafluoro-7,7-dimethyl Til-4,6-octanedione, 1,1,1,3,5,5,5-heptafluoropentane-2,4-dione, 3,3,4,4,5,5,5-heptafluoro- 2-pentanol, 3,3,4,4,5,5,5-heptafluoro-2-pentanone, isopropyl 4,4,4-trifluoroacetoacetate, methyl perfluorodenanoate, methyl perfluoro (2 -Methyl-3-oxahexanoate), methyl perfluorononanoate, methyl perfluorooctanoate, methyl-2,3,3,3-tetrafluoropropionate, methyl trifluoroacetoacetate, 1,1, 1,2,2,6,6,6-octafluoro-2,4-hexanedione, 2,2,3,3,4,4,5,5-octafluoro-1-pentanol, 1H, H, 2H, 2H-perfluoro-1-decanol, perfluoro (2,5-dimethyl-3,6-dioxane anionic) acid methyl ester, 2H-perfluoro-5-methyl-3,6-dioxanonane, 1H , 1H, 2H, 3H, 3H-perfluorononane-1,2-diol, 1H, 1H, 9H-perfluoro-1-nonanol, 1H, 1H-perfluorooctanol, 1H, 1H, 2H, 2H-perfluoro Octanol, 2H-perfluoro-5,8,11,14-tetramethyl-3,6,9,12,15-pentaoxaoctadecane, perfluorotributylamine, perfluorotrihexylamine, perfluoro-2,5 8-trimethyl-3,6,9-trioxadodecanoic acid methyl ester, perfluorotripentyl Min, perfluorotripropylamine, 1H, 1H, 2H, 3H, 3H-perfluoroundecane-1,2-diol, trifluorobutanol 1,1,1-trifluoro-5-methyl-2,4-hexanedione 1,1,1-trifluoro-2-propanol, 3,3,3-trifluoro-1-propanol, 1,1,1-trifluoro-2-propyl acetate, perfluorobutyltetrahydrofuran, perfluoro (butyl Tetrahydrofuran), perfluorodecalin, perfluoro (1,2-dimethylcyclohexane), perfluoro (1,3-dimethylcyclohexane), propylene glycol trifluoromethyl ether acetate, propylene glycol methyl ether trifluoromethyl acetate, trifluorome Butyl butyl acetate, methyl 3-trifluoromethoxypropionate, perfluorocyclohexanone, propylene glycol trifluoromethyl ether, butyl trifluoroacetate, 1,1,1-trifluoro-5,5-dimethyl-2,4-hexanedione 1,1,1,3,3,3-hexafluoro-2-propanol, 1,1,1,3,3,3-hexafluoro-2-methyl-2-propanol, 2,2,3,4 , 4,4-hexafluoro-1-butanol, 2-trifluoromethyl-2-propanol, 2,2,3,3-tetrafluoro-1-propanol, 3,3,3-trifluoro-1-propanol, 4,4,4-trifluoro-1-butanol and the like can be mentioned, and one of these can be used alone or two or more of them can be used in combination. , But it is not limited thereto.

本発明は、上記のレジスト材料を基板上に塗布する工程と、加熱処理後、高エネルギー線で露光する工程と、現像液を用いて現像する工程とを含むことを特徴とするパターン形成方法を提供する。このとき、高エネルギー線を波長180〜250nmの範囲のものとすることが好ましい。
また、前記高エネルギー線で露光する工程を液体を介して露光する液浸露光により行うことができ、例えば、180〜250nmの範囲の露光波長を用い、前記レジスト材料を塗布した基板と投影レンズの間に液体を挿入し、該液体を介して前記基板を露光することができる。なお、液浸露光に用いる液体としては、水等が挙げられる。
The present invention provides a pattern forming method comprising a step of applying the resist material on a substrate, a step of exposing to high energy rays after heat treatment, and a step of developing using a developer. provide. At this time, it is preferable that the high energy ray has a wavelength in the range of 180 to 250 nm.
In addition, the step of exposing with the high energy beam can be performed by immersion exposure through a liquid, for example, using an exposure wavelength in the range of 180 to 250 nm, and using a substrate coated with the resist material and a projection lens. A liquid can be inserted in between, and the substrate can be exposed through the liquid. In addition, water etc. are mentioned as a liquid used for immersion exposure.

本発明のレジスト材料を使用してパターンを形成するには、公知のリソグラフィー技術を採用して行うことができる。
例えば、集積回路製造用の基板(Si、SiO2、SiN、SiON、TiN、WSi、BPSG、SOG、低誘電率膜等)上にスピンコーティング等の手法で膜厚が10〜200nmとなるようにレジスト材料を塗布し、これをホットプレート上で50〜150℃、1〜10分間、好ましくは60〜140℃、1〜5分間プリベークしてフォトレジスト膜を形成する。
In order to form a pattern using the resist material of the present invention, a known lithography technique can be employed.
For example, on a substrate for manufacturing an integrated circuit (Si, SiO 2 , SiN, SiON, TiN, WSi, BPSG, SOG, low dielectric constant film, etc.) so that the film thickness becomes 10 to 200 nm by a technique such as spin coating. A resist material is applied, and this is prebaked on a hot plate at 50 to 150 ° C. for 1 to 10 minutes, preferably 60 to 140 ° C. for 1 to 5 minutes to form a photoresist film.

集積回路製造用基板とフォトレジストの間に反射防止膜を設けることによって、基板反射を抑えることができる。反射防止膜はアモルファスカーボンやSiONのような無機膜や、スピンコーティングによって成膜する有機膜が挙げられるが、後者が広く用いられている。   By providing an antireflection film between the integrated circuit manufacturing substrate and the photoresist, substrate reflection can be suppressed. Examples of the antireflection film include inorganic films such as amorphous carbon and SiON, and organic films formed by spin coating. The latter is widely used.

液浸露光によって投影レンズのNAが1を超え、レジスト及び反射防止膜への光の入射角が大きくなることにより従来型の1層の反射防止膜では反射制御が困難になってきており、2層の反射防止膜が提案されている。また、レジスト膜の薄膜化に伴ってエッチングのマージンが低下するため、レジストの下層に珪素を含む膜を成膜し、その下の集積回路製造用の基板上に炭素密度の高い下層膜を成膜する3層プロセスが提案されている。
このようにフォトレジスト下の膜としては多種多様かつ多層の膜が成膜される。
Since the NA of the projection lens exceeds 1 due to immersion exposure and the incident angle of light on the resist and the antireflection film increases, reflection control becomes difficult with the conventional single-layer antireflection film. Layer antireflection coatings have been proposed. In addition, since the margin of etching decreases as the resist film becomes thinner, a film containing silicon is formed as a lower layer of the resist, and a lower layer film having a high carbon density is formed on the substrate for manufacturing the integrated circuit therebelow. A three-layer process for filming has been proposed.
As described above, various and multi-layered films are formed as films under the photoresist.

レジスト膜を形成後、フォトレジスト層の上に非水溶性でかつアルカリ可溶性のレジスト保護膜材料をスピンコート法等で成膜する。膜厚は10〜500nmの範囲が好ましい。露光方法はレジスト保護膜と投影レンズの間が空気あるいは窒素等の気体であるドライ露光でもよいが、レジスト保護膜と投影レンズ間が液体で満たされている液浸露光でもよい。液浸露光では水が好ましく用いられる。液浸露光において、ウエハー裏面への水の回り込みや、基板からの溶出を防ぐために、ウエハーエッジや裏面のクリーニングの有無、及びそのクリーニング方法は重要である。例えばレジスト保護膜をスピンコート後に40〜130℃の範囲で10〜300秒間ベークすることによって溶媒を揮発させる。レジスト膜や、ドライ露光の場合はスピンコート時にエッジクリーニングを行うが、液浸露光の場合、親水性の高い基板面が水に接触すると、エッジ部分の基板面に水が残ることがあり、好ましいことではない。そのためレジスト保護膜のスピンコート時にはエッジクリーニングをしない方法も挙げられる。露光後、ポストエクスポジュアーベーク(PEB)を行い、アルカリ現像液で10〜300秒間現像を行う。アルカリ現像液は2.38質量%のテトラメチルアンモニウムヒドロキシド水溶液が一般的に広く用いられている。PEB前に、レジスト膜上に水が残っている場合がある。水が残っている状態でPEBを行うと、水がレジスト中の酸を吸い出してしまい、パターン形成ができなくなる。PEB前に保護膜上の水を完全に除去するため、PEB前のスピンドライ、膜表面の乾燥空気や窒素によるパージ、あるいは露光後のポストソーキングによって膜上の水を乾燥あるいは回収する必要がある。   After forming the resist film, a water-insoluble and alkali-soluble resist protective film material is formed on the photoresist layer by spin coating or the like. The film thickness is preferably in the range of 10 to 500 nm. The exposure method may be dry exposure in which the space between the resist protective film and the projection lens is a gas such as air or nitrogen, but may be immersion exposure in which the space between the resist protective film and the projection lens is filled with liquid. Water is preferably used in the immersion exposure. In immersion exposure, the presence or absence of cleaning of the wafer edge and back surface and the cleaning method are important in order to prevent water from flowing around the wafer back surface and elution from the substrate. For example, after spin-coating the resist protective film, the solvent is volatilized by baking at 40 to 130 ° C. for 10 to 300 seconds. Edge cleaning is performed at the time of spin coating in the case of a resist film or dry exposure, but in the case of immersion exposure, when a highly hydrophilic substrate surface comes into contact with water, water may remain on the substrate surface of the edge portion, which is preferable. Not that. Therefore, there is a method in which edge cleaning is not performed during spin coating of the resist protective film. After exposure, post-exposure baking (PEB) is performed, and development is performed with an alkali developer for 10 to 300 seconds. As the alkali developer, a 2.38 mass% tetramethylammonium hydroxide aqueous solution is generally widely used. Before PEB, water may remain on the resist film. If PEB is performed in a state where water remains, the water absorbs the acid in the resist, and the pattern cannot be formed. In order to completely remove the water on the protective film before PEB, it is necessary to dry or recover the water on the film by spin drying before PEB, purging with dry air or nitrogen on the film surface, or post-soaking after exposure. .

本発明のレジスト材料は、保護膜を用いない液浸用レジスト材料として用いることもできる。ナフトールが置換されていたり、ヒドロキシ基がないナフチル基を有する繰り返し単位の共重合体は撥水性が高く、このものを添加することによってレジスト膜表面の撥水性を高くすることが可能である。   The resist material of the present invention can also be used as an immersion resist material that does not use a protective film. A copolymer of repeating units having naphthol substituted or having a naphthyl group having no hydroxy group has high water repellency, and the addition of this copolymer can increase the water repellency of the resist film surface.

ベースとなるレジスト材料の種類は、特に限定されない。ポジ型でもネガ型でもよく、通常の炭化水素系の単層レジスト材料でもよく、珪素原子等を含んだバイレイヤーレジスト材料でもよい。KrF露光におけるレジスト材料は、ベース樹脂としてポリヒドロキシスチレン又はポリヒドロキシスチレン−(メタ)アクリレート共重合体の、ヒドロキシ基あるいはカルボキシル基の水素原子の一部又はすべてが酸不安定基で置換された重合体が好ましく用いられる。   The kind of resist material used as a base is not particularly limited. It may be a positive type or a negative type, and may be a normal hydrocarbon-based single layer resist material or a bilayer resist material containing silicon atoms or the like. The resist material used in KrF exposure is a polyhydroxystyrene or polyhydroxystyrene- (meth) acrylate copolymer as a base resin, in which a part or all of the hydrogen atoms of hydroxy groups or carboxyl groups are substituted with acid labile groups. Coalescence is preferably used.

ArF露光におけるレジスト材料は、ベース樹脂としてナフタレン以外の芳香族を含まない構造が必須であり、具体的にはポリアクリル酸及びその誘導体、ノルボルネン誘導体−無水マレイン酸交互重合体及びポリアクリル酸又はその誘導体との3あるいは4元共重合体、テトラシクロドデセン誘導体−無水マレイン酸交互重合体及びポリアクリル酸又はその誘導体との3あるいは4元共重合体、ノルボルネン誘導体−マレイミド交互重合体及びポリアクリル酸又はその誘導体との3あるいは4元共重合体、テトラシクロドデセン誘導体−マレイミド交互重合体及びポリアクリル酸又はその誘導体との3あるいは4元共重合体、及びこれらの2つ以上の、あるいはポリノルボルネン及びメタセシス開環重合体から選択される1種あるいは2種以上の高分子重合体が好ましく用いられる。   The resist material in ArF exposure must have a structure that does not contain aromatics other than naphthalene as the base resin. Specifically, polyacrylic acid and its derivatives, norbornene derivative-maleic anhydride alternating polymer and polyacrylic acid or its Tri- or quaternary copolymers with derivatives, tetracyclododecene derivatives-maleic anhydride alternating polymers and terpolymers with polyacrylic acid or its derivatives, norbornene derivatives-maleimide alternating polymers and polyacrylic Ternary or quaternary copolymers with acids or derivatives thereof, tetracyclododecene derivative-maleimide alternating polymers and ternary or quaternary copolymers with polyacrylic acid or derivatives thereof, and two or more of these, or One or two selected from polynorbornene and metathesis ring-opening polymers High molecular weight polymer above is preferably used.

上述したように、本発明のレジスト材料を用いて形成したフォトレジスト膜は、保護膜に対してミキシング層を形成しずらく、現像後の親水性が高いために残渣等の欠陥の発生がない。   As described above, the photoresist film formed using the resist material of the present invention is difficult to form a mixing layer with respect to the protective film, and since it has high hydrophilicity after development, there is no occurrence of defects such as residues. .

マスクブランクス用のレジスト材料としては、ノボラック、ヒドロキシスチレンベースの樹脂が主に用いられる。これらの樹脂のヒドロキシ基を酸不安定基で置換されたものがポジ型として、架橋剤を添加したものがネガ型として用いられる。ヒドロキシスチレンと(メタ)アクリル誘導体、スチレン、ビニルナフタレン、ビニルアントラセン、ビニルピレン、ヒドロキシビニルナフタレン、ヒドロキシビニルアントラセン、インデン、ヒドロキシインデン、アセナフチレン、ノルボルナジエン類を共重合したポリマーをベースとしてもよい。
マスクブランクス用レジスト膜として用いる場合、SiO2、Cr、CrO、CrN、MoSi等のマスクブランクス基板上に本発明のフォトレジスト材料を塗布し、レジスト膜を形成する。フォトレジストとブランクス基板の間にSOG膜と有機下層膜を形成し、3層構造を形成してもよい。
レジスト膜を形成後、電子ビーム描画機で露光する。露光後、ポストエクスポジュアーベーク(PEB)を行い、アルカリ現像液で10〜300秒間現像を行う。
As resist materials for mask blanks, novolak and hydroxystyrene-based resins are mainly used. Those obtained by substituting hydroxy groups of these resins with acid labile groups are used as positive types, and those added with a crosslinking agent are used as negative types. A polymer obtained by copolymerizing hydroxystyrene and a (meth) acryl derivative, styrene, vinylnaphthalene, vinylanthracene, vinylpyrene, hydroxyvinylnaphthalene, hydroxyvinylanthracene, indene, hydroxyindene, acenaphthylene, or norbornadiene may be used as a base.
When used as a mask blank resist film, the photoresist material of the present invention is applied onto a mask blank substrate such as SiO 2 , Cr, CrO, CrN, or MoSi to form a resist film. A three-layer structure may be formed by forming an SOG film and an organic underlayer film between a photoresist and a blank substrate.
After forming the resist film, exposure is performed with an electron beam drawing machine. After exposure, post-exposure baking (PEB) is performed, and development is performed with an alkali developer for 10 to 300 seconds.

以下、実施例及び比較例を示して本発明を具体的に説明するが、本発明はこれらの記載によって限定されるものではない。   EXAMPLES Hereinafter, although an Example and a comparative example are shown and this invention is demonstrated concretely, this invention is not limited by these description.

(高分子化合物の調製)
レジスト材料に添加される高分子化合物(高分子添加剤)として、各々のモノマーを組み合わせてイソプロピルアルコール溶媒下で共重合反応を行い、ヘキサンに晶出し、更にヘキサンで洗浄を繰り返した後に単離、乾燥して以下に示す組成の高分子化合物を得た。得られた高分子化合物の組成は1H−NMR、分子量及び分散度はゲルパーミエーションクロマトグラフにより確認した。
(Preparation of polymer compound)
As a polymer compound (polymer additive) added to the resist material, each monomer is combined and subjected to a copolymerization reaction in an isopropyl alcohol solvent, crystallized in hexane, and further washed repeatedly with hexane, and then isolated. The polymer compound having the composition shown below was obtained by drying. The composition of the obtained polymer compound was confirmed by 1 H-NMR, and the molecular weight and dispersity were confirmed by gel permeation chromatography.

ポリマー1(Polymer 1)
分子量(Mw)=8,300
分散度(Mw/Mn)=1.85

Figure 2008257166
Polymer 1 (Polymer 1)
Molecular weight (Mw) = 8,300
Dispersity (Mw / Mn) = 1.85
Figure 2008257166

ポリマー2(Polymer 2)
分子量(Mw)=8,100
分散度(Mw/Mn)=1.82

Figure 2008257166
Polymer 2
Molecular weight (Mw) = 8,100
Dispersity (Mw / Mn) = 1.82
Figure 2008257166

ポリマー3(Polymer 3)
分子量(Mw)=8,200
分散度(Mw/Mn)=1.68

Figure 2008257166
Polymer 3 (Polymer 3)
Molecular weight (Mw) = 8,200
Dispersity (Mw / Mn) = 1.68
Figure 2008257166

ポリマー4(Polymer 4)
分子量(Mw)=8,000
分散度(Mw/Mn)=1.80

Figure 2008257166
Polymer 4 (Polymer 4)
Molecular weight (Mw) = 8,000
Dispersity (Mw / Mn) = 1.80
Figure 2008257166

ポリマー5(Polymer 5)
分子量(Mw)=8,100
分散度(Mw/Mn)=1.74

Figure 2008257166
Polymer 5 (Polymer 5)
Molecular weight (Mw) = 8,100
Dispersity (Mw / Mn) = 1.74
Figure 2008257166

ポリマー6(Polymer 6)
分子量(Mw)=8,100
分散度(Mw/Mn)=1.82

Figure 2008257166
Polymer 6 (Polymer 6)
Molecular weight (Mw) = 8,100
Dispersity (Mw / Mn) = 1.82
Figure 2008257166

ポリマー7(Polymer 7)
分子量(Mw)=8,500
分散度(Mw/Mn)=1.82

Figure 2008257166
Polymer 7 (Polymer 7)
Molecular weight (Mw) = 8,500
Dispersity (Mw / Mn) = 1.82
Figure 2008257166

ポリマー8(Polymer 8)
分子量(Mw)=8,300
分散度(Mw/Mn)=1.78

Figure 2008257166
Polymer 8 (Polymer 8)
Molecular weight (Mw) = 8,300
Dispersity (Mw / Mn) = 1.78
Figure 2008257166

ポリマー9(Polymer 9)
分子量(Mw)=8,600
分散度(Mw/Mn)=1.89

Figure 2008257166
Polymer 9 (Polymer 9)
Molecular weight (Mw) = 8,600
Dispersity (Mw / Mn) = 1.89
Figure 2008257166

ポリマー10(Polymer 10)
分子量(Mw)=9,900
分散度(Mw/Mn)=1.61

Figure 2008257166
Polymer 10 (Polymer 10)
Molecular weight (Mw) = 9,900
Dispersity (Mw / Mn) = 1.61
Figure 2008257166

ポリマー11(Polymer 11)
分子量(Mw)=10,400
分散度(Mw/Mn)=1.82

Figure 2008257166
Polymer 11 (Polymer 11)
Molecular weight (Mw) = 10,400
Dispersity (Mw / Mn) = 1.82
Figure 2008257166

ポリマー12(Polymer 12)
分子量(Mw)=10,300
分散度(Mw/Mn)=1.85

Figure 2008257166
Polymer 12 (Polymer 12)
Molecular weight (Mw) = 10,300
Dispersity (Mw / Mn) = 1.85
Figure 2008257166

ポリマー13(Polymer 13)
分子量(Mw)=8,900
分散度(Mw/Mn)=1.83

Figure 2008257166
Polymer 13 (Polymer 13)
Molecular weight (Mw) = 8,900
Dispersity (Mw / Mn) = 1.83
Figure 2008257166

ポリマー14(Polymer 14)
分子量(Mw)=8,300
分散度(Mw/Mn)=1.89

Figure 2008257166
Polymer 14 (Polymer 14)
Molecular weight (Mw) = 8,300
Dispersity (Mw / Mn) = 1.89
Figure 2008257166

ポリマー15(Polymer 15)
分子量(Mw)=7,300
分散度(Mw/Mn)=1.63

Figure 2008257166
Polymer 15 (Polymer 15)
Molecular weight (Mw) = 7,300
Dispersity (Mw / Mn) = 1.63
Figure 2008257166

ポリマー16(Polymer 16)
分子量(Mw)=8,300
分散度(Mw/Mn)=1.81

Figure 2008257166
Polymer 16 (Polymer 16)
Molecular weight (Mw) = 8,300
Dispersity (Mw / Mn) = 1.81
Figure 2008257166

ポリマー17(Polymer 17)
分子量(Mw)=8,900
分散度(Mw/Mn)=1.85

Figure 2008257166
Polymer 17 (Polymer 17)
Molecular weight (Mw) = 8,900
Dispersity (Mw / Mn) = 1.85
Figure 2008257166

(レジスト材料の調製)
下記に示した組成で、ベース樹脂、光酸発生剤、塩基性化合物及び有機溶剤を混合、溶解後にそれらをテフロン(登録商標)製フィルター(孔径0.2μm)で濾過し、レジスト材料(レジスト1)を調製した。
レジスト1
混合組成:ベース樹脂1(100質量部)、光酸発生剤(5質量部)、塩基性化合物(1質量部)、有機溶剤1(1,330質量部)、有機溶剤2(570質量部)
(Preparation of resist material)
In the composition shown below, the base resin, photoacid generator, basic compound and organic solvent were mixed and dissolved, and then filtered through a Teflon (registered trademark) filter (pore size 0.2 μm) to obtain a resist material (resist 1 ) Was prepared.
Resist 1
Mixed composition: base resin 1 (100 parts by mass), photoacid generator (5 parts by mass), basic compound (1 part by mass), organic solvent 1 (1,330 parts by mass), organic solvent 2 (570 parts by mass)

ベース樹脂1(下記構造式参照)

Figure 2008257166
分子量(Mw)=7,600
分散度(Mw/Mn)=1.76
光酸発生剤(PAG1):ノナフルオロブタンスルホン酸トリフェニルスルホニウム
塩基性化合物(クエンチャー1):2−シクロヘキシルカルボキシエチルモルホリン
有機溶剤1:酢酸1−メトキシイソプロピル
有機溶剤2:シクロヘキサノン Base resin 1 (see the structural formula below)
Figure 2008257166
Molecular weight (Mw) = 7,600
Dispersity (Mw / Mn) = 1.76
Photoacid generator (PAG1): Nonafluorobutanesulfonic acid triphenylsulfonium basic compound (quencher 1): 2-cyclohexylcarboxyethylmorpholine organic solvent 1: acetic acid 1-methoxyisopropyl organic solvent 2: cyclohexanone

(保護膜材料の調製)
下記に示した組成で、ベース樹脂(TC用ポリマー1、TC用ポリマー2、TC用ポリマー3)、有機溶剤を混合、溶解後にそれらをテフロン(登録商標)製フィルター(孔径0.2μm)で濾過し、保護膜材料(TC1、TC2、TC3)を調製した。
TC1
混合組成:TC用ポリマー1(100質量部)、有機溶剤3(2,600質量部)、有機溶剤4(260質量部)
TC2
混合組成:TC用ポリマー2(100質量部)、有機溶剤3(2,600質量部)、有機溶剤4(260質量部)
TC3
混合組成:TC用ポリマー3(100質量部)、有機溶剤3(2,600質量部)、有機溶剤4(260質量部)
(Preparation of protective film material)
In the composition shown below, base resin (TC polymer 1, TC polymer 2, TC polymer 3) and organic solvent are mixed and dissolved, and then filtered through a Teflon (registered trademark) filter (pore size 0.2 μm). Then, protective film materials (TC1, TC2, TC3) were prepared.
TC1
Mixed composition: Polymer 1 for TC (100 parts by mass), organic solvent 3 (2,600 parts by mass), organic solvent 4 (260 parts by mass)
TC2
Mixed composition: Polymer 2 for TC (100 parts by mass), organic solvent 3 (2,600 parts by mass), organic solvent 4 (260 parts by mass)
TC3
Mixed composition: Polymer 3 for TC (100 parts by mass), organic solvent 3 (2,600 parts by mass), organic solvent 4 (260 parts by mass)

TC用ポリマー1、TC用ポリマー2、TC用ポリマー3(下記構造式参照)

Figure 2008257166

有機溶剤3:イソアミルエーテル
有機溶剤4:2−メチル−1−ブタノール TC polymer 1, TC polymer 2, TC polymer 3 (see structural formula below)
Figure 2008257166

Organic solvent 3: Isoamyl ether Organic solvent 4: 2-methyl-1-butanol

[実施例1〜14、比較例1〜4]
上記の母材となるレジスト材料に前記調製した高分子化合物(ポリマー1〜12)を任意の比率で配合し、レジスト溶液1〜12を調製した。下記表1に高分子化合物と母材レジスト材料の組み合わせ、及び配合比率を示す。なお、高分子化合物の配合比率はレジストのベース樹脂100質量部に対する混合質量部で表記する。
[Examples 1-14, Comparative Examples 1-4]
Resist solutions 1 to 12 were prepared by blending the prepared polymer compound (polymers 1 to 12) in an arbitrary ratio with the resist material as the base material. Table 1 below shows combinations and blending ratios of the polymer compound and the base material resist material. The blending ratio of the polymer compound is expressed as a mixed mass part with respect to 100 parts by mass of the resist base resin.

現像後のパターン形状観察と現像後の接触角の測定
Si基板上に作製した反射防止膜ARC−29Aの87nm膜厚上に上記で得られたレジスト溶液1〜12を塗布し、110℃で60秒間ベークして膜厚150nmのレジスト膜を作製した。その上にレジスト保護膜を塗布し、100℃で60秒間ベークし、膜厚50nmの保護膜(TC−1、TC−2、TC−3)を形成した。(株)ニコン製ArFスキャナーS307E(NA0.85 σ0.93 4/5輪帯照明、6%ハーフトーン位相シフトマスク)で露光し、110℃で60秒間ポストエクスポジュアーベーク(PEB)を行い、2.38質量%TMAH(テトラメチルアンモニウムヒドロキシド)現像液で60秒間現像を行った。ウエハーを割断し、75nmラインアンドスペースのパターン形状を比較した。
比較例1〜3としては、本発明の添加剤を添加しないレジスト溶液13を塗布し、上記と同様のプロセスでの露光を行った。比較例4では本発明の添加剤を加えず、かつ保護膜を適用しないプロセスでの露光を行った。
接触角計Drop Master 500(協和界面科学(株)製)を用いて、現像後のフォトレジスト膜上に5μLの水滴をディスペンスした時の接触角を測定した。結果を表1に示す。
Observation of pattern shape after development and measurement of contact angle after development The resist solutions 1 to 12 obtained above were applied on the 87 nm film thickness of the antireflection film ARC-29A produced on the Si substrate, and the coating was performed at 110 ° C. at 60 ° C. A resist film having a thickness of 150 nm was prepared by baking for 2 seconds. A resist protective film was applied thereon and baked at 100 ° C. for 60 seconds to form protective films (TC-1, TC-2, TC-3) having a thickness of 50 nm. Exposure with Nikon ArF scanner S307E (NA0.85 σ0.93 4/5 annular illumination, 6% halftone phase shift mask), post exposure bake (PEB) at 110 ° C. for 60 seconds, Development was performed for 60 seconds with a 2.38 mass% TMAH (tetramethylammonium hydroxide) developer. The wafers were cleaved and the 75 nm line and space pattern shapes were compared.
As Comparative Examples 1 to 3, the resist solution 13 to which the additive of the present invention was not added was applied, and exposure was performed in the same process as above. In Comparative Example 4, exposure was performed in a process in which the additive of the present invention was not added and the protective film was not applied.
Using a contact angle meter Drop Master 500 (manufactured by Kyowa Interface Science Co., Ltd.), the contact angle when 5 μL of water droplets were dispensed on the developed photoresist film was measured. The results are shown in Table 1.

Figure 2008257166
Figure 2008257166

実施例1と比較例1のレジスト溶液を、0.02ミクロンサイズの高密度ポリエチレンフィルターで精密濾過した。TC−1も同様に精密濾過した。
8インチのSi基板上に作製した日産化学工業(株)製反射防止膜ARC−29Aの87nm膜厚上にレジスト溶液を塗布し、110℃で60秒間ベークして膜厚150nmのレジスト膜を作製した。その上にレジスト保護膜TC−1を塗布し、100℃で60秒間ベークした。(株)ニコン製ArFスキャナーS307E(NA0.85 σ0.93、Crマスク)でウエハー全面を20mm角の面積でオープンフレームの露光部と未露光部を交互に露光するチェッカーフラッグ露光を行い、110℃で60秒間ポストエクスポジュアーベーク(PEB)を行い、2.38質量%TMAH現像液で30秒間現像を行った。
チェッカーフラッグの未露光部分の欠陥個数を(株)東京精密製欠陥検査装置WinWin−50−1200を用いてピクセルサイズ0.125ミクロンで計測した。結果を表2に示す。
The resist solutions of Example 1 and Comparative Example 1 were microfiltered with a high density polyethylene filter of 0.02 micron size. TC-1 was similarly microfiltered.
A resist solution is applied on the 87 nm film thickness of an anti-reflective film ARC-29A manufactured by Nissan Chemical Industries, Ltd., which is fabricated on an 8-inch Si substrate, and baked at 110 ° C. for 60 seconds to produce a resist film having a film thickness of 150 nm. did. A resist protective film TC-1 was applied thereon and baked at 100 ° C. for 60 seconds. A Nikon ArF scanner S307E (NA0.85 σ0.93, Cr mask) is used to perform a checkered flag exposure that exposes the exposed and unexposed portions of the open frame alternately in a 20 mm square area on the entire wafer surface at 110 ° C. And post-exposure baking (PEB) for 60 seconds, and development was performed for 30 seconds with a 2.38 mass% TMAH developer.
The number of defects in the unexposed portion of the checker flag was measured at a pixel size of 0.125 microns using a defect inspection apparatus WinWin-50-1200 manufactured by Tokyo Seimitsu Co., Ltd. The results are shown in Table 2.

Figure 2008257166
Figure 2008257166

[実施例15〜17、比較例5]
上記の母材となるレジスト材料に前記調製した高分子化合物(ポリマー13〜15)を任意の比率で配合し、レジスト溶液14〜16を調製した。
比較例5としては、本発明の添加剤を添加しないレジスト溶液13を用いた。
傾斜法接触角計Drop Master 500(協和界面科学(株)製)を用いて、上記方法で作製したフォトレジスト膜を形成したウエハーを水平に保ち、フォトレジスト膜上に50μLの超純水を滴下して水玉を形成した。次にこのウエハーを徐々に傾斜させ、水玉が転落し始めるウエハーの角度(転落角)と後退接触角を測定した。結果を表3に示す。
[Examples 15 to 17, Comparative Example 5]
The prepared polymer compound (polymers 13 to 15) was blended in an arbitrary ratio with the resist material to be the base material, thereby preparing resist solutions 14 to 16.
As Comparative Example 5, a resist solution 13 to which the additive of the present invention was not added was used.
Using an inclination method contact angle meter Drop Master 500 (manufactured by Kyowa Interface Science Co., Ltd.), the wafer on which the photoresist film produced by the above method is kept horizontal, and 50 μL of ultrapure water is dropped on the photoresist film. And formed polka dots. Next, the wafer was gradually tilted, and the wafer angle (falling angle) and receding contact angle at which the polka dots began to fall were measured. The results are shown in Table 3.

Figure 2008257166
Figure 2008257166

転落角が小さいことは、レジスト膜上で水が流動し易いことを示し、後退接触角が大きいことは高速の走査露光においてもレジスト膜上に液滴が残りづらいことを示す。本発明による特にヒドロキシ基を有しないナフチル基を有する共重合体を配合したレジスト溶液から形成されたフォトレジスト膜(実施例15〜17)は、該高分子化合物を配合しないフォトレジスト膜(比較例5)と比較して後退接触角が大きく、転落角は小さい。この結果から、本発明による高分子化合物を配合することで、フォトレジスト膜の後退接触角を飛躍的に向上させることができ、かつ、転落角は悪化しないことことより、滑水性能を向上させ、保護膜無しの液浸レジストとして適用可能であることが示されている。   A small falling angle indicates that water easily flows on the resist film, and a large receding contact angle indicates that it is difficult for droplets to remain on the resist film even in high-speed scanning exposure. A photoresist film (Examples 15 to 17) formed from a resist solution containing a copolymer having a naphthyl group having no hydroxy group according to the present invention is a photoresist film (Comparative Example) not containing the polymer compound. Compared with 5), the receding contact angle is large and the sliding angle is small. From this result, by blending the polymer compound according to the present invention, the receding contact angle of the photoresist film can be drastically improved, and the sliding angle is not deteriorated, thereby improving the water sliding performance. It is shown that it can be applied as an immersion resist without a protective film.

[実施例18〜34、比較例6]
電子ビーム描画評価
描画評価では、ラジカル重合で合成した下記EB用ポリマーを用いて、下記表4に示される組成で溶解させたプロピレングリコールモノメチルエーテルアセテート(PGMEA)、乳酸エチル(EL)溶液を0.2μmサイズのフィルターで濾過してポジ型レジスト材料を調製した。
得られたポジ型レジスト材料を直径6インチφのSi基板上に、クリーントラックMark 5(東京エレクトロン(株)製)を用いてスピンコートし、ホットプレート上で、110℃で60秒間プリベークして200nmのレジスト膜を作製した。これに、(株)日立製作所製HL−800Dを用いてHV電圧50keVで真空チャンバー内描画を行った。その後真空チャンバー内に20時間放置し、描画場所を変えて更に追加で描画を行った。
描画後直ちにクリーントラックMark 5(東京エレクトロン(株)製)を用いてホットプレート上で、90℃で60秒間ポストエクスポジュアーベーク(PEB)を行い、2.38質量%のTMAH水溶液で30秒間パドル現像を行い、ポジ型のパターンを得た。
得られたレジストパターンを次のように評価した。
(株)日立製作所製測長SEM(S−7280)を用いて現像直前に露光した場所において、0.12μmのラインアンドスペースを1:1で解像する露光量における0.12μmのラインアンドスペースのライン寸法を測定し、これから20時間前に露光した場所の同一露光量の0.12μmラインアンドスペースのライン寸法を引いて真空放置における寸法変動量を求めた。寸法変動量において、プラスは真空中放置によってレジスト感度が高感度化、マイナスは低感度化に変動であることを示す。結果を表4に示す。
[Examples 18 to 34, Comparative Example 6]
Electron beam drawing evaluation In the drawing evaluation, propylene glycol monomethyl ether acetate (PGMEA) and ethyl lactate (EL) solution dissolved in the composition shown in the following Table 4 using the following EB polymer synthesized by radical polymerization were reduced to 0.00. A positive resist material was prepared by filtration through a 2 μm size filter.
The obtained positive resist material was spin-coated on a Si substrate having a diameter of 6 inches φ using a clean track Mark 5 (manufactured by Tokyo Electron Ltd.) and pre-baked on a hot plate at 110 ° C. for 60 seconds. A 200 nm resist film was produced. To this, drawing in a vacuum chamber was performed at an HV voltage of 50 keV using HL-800D manufactured by Hitachi, Ltd. Thereafter, the sample was left in a vacuum chamber for 20 hours, and drawing was performed by changing the drawing place.
Immediately after drawing, a post-exposure bake (PEB) was performed at 90 ° C. for 60 seconds on a hot plate using a clean track Mark 5 (manufactured by Tokyo Electron) for 30 seconds with a 2.38 mass% TMAH aqueous solution for 30 seconds. Paddle development was performed to obtain a positive pattern.
The obtained resist pattern was evaluated as follows.
0.12 μm line and space at an exposure amount for resolving 0.12 μm line and space 1: 1 at a place exposed immediately before development using a length measuring SEM (S-7280) manufactured by Hitachi, Ltd. The line dimension of 0.12 μm line-and-space at the same exposure amount at the place exposed 20 hours ago was subtracted to determine the amount of dimensional variation when left in a vacuum. In the dimension fluctuation amount, plus indicates that the resist sensitivity is increased by being left in vacuum, and minus indicates that the sensitivity is decreased. The results are shown in Table 4.

Figure 2008257166
Figure 2008257166

Figure 2008257166
Figure 2008257166

[実施例35、比較例7,8]
反射防止効果評価
下記に示すレジストポリマー5g、光酸発生剤(PAG3)0.35g、塩基性化合物(Quencher3)0.76g、上記ポリマー13の1gを45gのプロピレングリコールモノエチルエーテルアセテート(PGMEA)溶液に溶解し、0.2ミクロンサイズのポリプロピレンフィルターで濾過し、レジスト溶液を作製した。ヘキサメチルジシラザン(HMDS)ベーパープライム処理したSi基板上にレジスト溶液を回転塗布し、110℃で60秒間ベークしてレジスト膜を作製した。この時、回転数を変えて膜厚200〜300nm膜厚のレジスト膜を作製した。レジスト上層反射防止膜を形成する場合は、レジスト膜上に保護膜を回転塗布し、100℃で60秒間ベークした(実施例35)。
比較例7としては、ポリマー13を加えない組成のレジスト溶液を濾過し、上記と同様のプロセスで膜を形成した。
比較例8としては、ポリマー13の代わりにMw7,000、Mw/Mn1.84のヒドロキシビニルナフタレンを添加したレジストを用いた。
次に、ニコン製ArFスキャナーS307E(NA0.85 σ0.93 4/3輪帯照明、6%ハーフトーン位相シフトマスク)で露光し、100℃で60秒間ベーク(PEB)を行い、2.38質量%TMAH現像液で30秒間現像を行った。
実施例としては露光量38mJ/cm2、比較例としては33mJ/cm2の一定露光量でレジストの膜厚を変化させた時の100nmLSの寸法を測長SEM((株)日立製作所製S−9380)で測長した。結果を図1に示す。
[Example 35, Comparative Examples 7 and 8]
Evaluation of antireflection effect 5 g of resist polymer shown below, 0.35 g of photoacid generator (PAG3), 0.76 g of basic compound (Quencher 3), 45 g of propylene glycol monoethyl ether acetate (PGMEA) solution of 1 g of the above polymer 13 And filtered through a 0.2 micron polypropylene filter to prepare a resist solution. A resist solution was spin-coated on a Si substrate subjected to hexamethyldisilazane (HMDS) vapor prime treatment, and baked at 110 ° C. for 60 seconds to prepare a resist film. At this time, a resist film having a film thickness of 200 to 300 nm was prepared by changing the rotation speed. In the case of forming a resist upper layer antireflection film, a protective film was spin-coated on the resist film and baked at 100 ° C. for 60 seconds (Example 35).
As Comparative Example 7, a resist solution having a composition to which polymer 13 was not added was filtered, and a film was formed by the same process as described above.
As Comparative Example 8, a resist to which hydroxy vinyl naphthalene having Mw of 7,000 and Mw / Mn of 1.84 was added instead of the polymer 13 was used.
Next, it is exposed with a Nikon ArF scanner S307E (NA0.85 σ0.93 4/3 annular illumination, 6% halftone phase shift mask), and baked (PEB) at 100 ° C. for 60 seconds to obtain 2.38 mass. Development was performed with% TMAH developer for 30 seconds.
As an example, an exposure dose of 38 mJ / cm 2 , and as a comparative example, the dimension of 100 nm LS when the resist film thickness was changed at a constant exposure dose of 33 mJ / cm 2 was measured with a length measurement SEM (S-made by Hitachi, Ltd.). 9380). The results are shown in FIG.

本発明の吸収基を有するフッ素系ポリマーを添加したレジスト膜は、添加していない場合に比べてレジスト膜厚を変動させたときの寸法変動の振幅が小さく、優れた反射防止効果を有している。また、フッ素を含有していないヒドロキシビニルナフタレン膜をブレンドした場合、膜厚変動における寸法振幅は小さくなるものの、膜厚増加による寸法増加のバルク効果が極めて大きくなる。フルオロアルキル基を共重合することによって吸収性基がレジスト膜表面に配向するが、フルオロアルキル基がない場合は吸収性基がレジスト膜全体に分布するためにバルク効果が大きくなっている。   The resist film to which the fluoropolymer having an absorbing group of the present invention is added has a small amplitude of dimensional fluctuation when the resist film thickness is changed compared to the case of not adding, and has an excellent antireflection effect. Yes. When a hydroxyvinyl naphthalene film that does not contain fluorine is blended, the dimensional amplitude due to film thickness variation is small, but the bulk effect of dimensional increase due to film thickness increase becomes extremely large. By copolymerizing the fluoroalkyl group, the absorbing group is oriented on the resist film surface. However, when there is no fluoroalkyl group, the absorbing effect is distributed throughout the resist film, so that the bulk effect is increased.

Figure 2008257166
Figure 2008257166

上記表2から明らかなように、本発明による高分子化合物を配合したレジスト材料は、保護膜を適用したときの現像後欠陥数が劇的に少なくなった。
上記表4から明らかなように、本発明による高分子化合物を配合したレジスト材料は、EB露光後の真空中放置による寸法変動が小さく、真空中の安定性が向上していることが明らかになった。
図1から明らかなように、本発明による高分子化合物を配合したレジスト材料は、基板からの反射を効率よく低減することができる。
本発明による高分子化合物をEB用レジスト材料に添加した場合は、真空中の安定性を改善する効果が認められた。
As is clear from Table 2 above, the resist material containing the polymer compound according to the present invention dramatically reduced the number of defects after development when the protective film was applied.
As is clear from Table 4 above, it is clear that the resist material containing the polymer compound according to the present invention has little dimensional variation due to standing in vacuum after EB exposure and improved stability in vacuum. It was.
As is apparent from FIG. 1, the resist material containing the polymer compound according to the present invention can efficiently reduce reflection from the substrate.
When the polymer compound according to the present invention was added to the resist material for EB, an effect of improving the stability in vacuum was recognized.

なお、本発明は、上記実施形態に限定されるものではない。上記実施形態は、例示であり、本発明の特許請求の範囲に記載された技術的思想と実質的に同一な構成を有し、同様な作用効果を奏するものは、いかなるものであっても本発明の技術的範囲に包含される。   The present invention is not limited to the above embodiment. The above-described embodiment is an exemplification, and the present invention has substantially the same configuration as the technical idea described in the claims of the present invention, and any device that exhibits the same function and effect is the present invention. It is included in the technical scope of the invention.

例えば、上記では本発明のレジスト材料を液浸リソグラフィーで用いる場合を中心に述べたが、液浸でない通常のリソグラフィーにおいても本発明のレジスト材料を当然用いることができる。   For example, in the above description, the case where the resist material of the present invention is used in immersion lithography has been mainly described. However, the resist material of the present invention can naturally be used in normal lithography that is not immersion.

フォトレジストの膜厚を変動させた時の同一露光量におけるライン寸法の変動を示したグラフである。It is the graph which showed the fluctuation | variation of the line dimension in the same exposure amount when changing the film thickness of a photoresist.

Claims (15)

酸によってアルカリ溶解性が向上するベース樹脂となる高分子化合物と、高分子添加剤としてナフチル基を有する繰り返し単位と少なくとも1個のフッ素原子を有する繰り返し単位とを共重合した高分子化合物とを含むことを特徴とするレジスト材料。   A polymer compound serving as a base resin whose alkali solubility is improved by an acid, and a polymer compound obtained by copolymerizing a repeating unit having a naphthyl group and a repeating unit having at least one fluorine atom as a polymer additive A resist material characterized by the above. ナフチル基を有する繰り返し単位と少なくとも1個のフッ素原子を有する繰り返し単位とを共重合した高分子化合物が、下記一般式(1)で示されるものであることを特徴とするレジスト材料。
Figure 2008257166

(式中、R1、R3、R6はそれぞれ独立に水素原子又はメチル基を示す。R2、X2はそれぞれ独立に単結合、−O−、−C(=O)−O−R8−又は−C(=O)−NH−R8−であり、R8は単結合、又は炭素数1〜4の直鎖状又は分岐状のアルキレン基であり、エステル基又はエーテル基を有していてもよく、更にR2は、エチレントリイル基であってもよい。nは1又は2であり、n=1の場合、X1は単結合、−O−、−C(=O)−O−R8−又は−C(=O)−NH−R8−であり、R8は単結合、又は炭素数1〜4の直鎖状又は分岐状のアルキレン基であり、エステル基又はエーテル基を有していてもよい。n=2の場合、X1は−C(=O)−O−R81=又は−C(=O)−NH−R81=であり、R81は炭素数1〜10の直鎖状、分岐状又は環状のアルキレン基から水素原子が1個脱離した基であり、エステル基又はエーテル基を有していてもよい。R4は炭素数1〜12の直鎖状、分岐状又は環状のアルキレン基であり、R5は水素原子、フッ素原子、メチル基、トリフルオロメチル基又はジフルオロメチル基、又はR4と結合してこれらが結合する炭素原子と共に炭素数3〜10の環(但し、芳香環は除く)を形成してもよく、環の中にエーテル基、フッ素で置換されたアルキレン基又はトリフルオロメチル基を有していてもよい。R7は炭素数1〜20の直鎖状、分岐状又は環状のアルキル基であり、少なくとも1個のフッ素原子で置換されていて、エーテル基、エステル基又はスルホンアミド基を有していてもよい。Rは水素原子、ハロゲン原子、又は炭素数1〜6の直鎖状、分岐状又は環状のアルキル基、アルコキシ基又はフッ素化されたアルキル基であり、Yは水素原子、又は酸不安定基であり、mは0〜2の整数である。0<a<1.0、0≦(b−1)<1.0、0≦(b−2)<1.0、0<(b−1)+(b−2)<1.0、0.5≦a+(b−1)+(b−2)≦1.0である。)
A resist material, wherein a polymer compound obtained by copolymerizing a repeating unit having a naphthyl group and a repeating unit having at least one fluorine atom is represented by the following general formula (1).
Figure 2008257166

(In the formula, R 1 , R 3 and R 6 each independently represent a hydrogen atom or a methyl group. R 2 and X 2 each independently represent a single bond, —O—, —C (═O) —O—R. 8 — or —C (═O) —NH—R 8 —, wherein R 8 is a single bond or a linear or branched alkylene group having 1 to 4 carbon atoms, and has an ester group or an ether group. R 2 may be an ethylenetriyl group, n is 1 or 2, and when n = 1, X 1 is a single bond, —O—, —C (═O ) —O—R 8 — or —C (═O) —NH—R 8 —, wherein R 8 is a single bond or a linear or branched alkylene group having 1 to 4 carbon atoms, and an ester group or in the case of good .n = 2 also have an ether group, X 1 is -C (= O) -O-R 81 = or -C (= O) -NH-R 81 is =, R 81 Is straight from 1 to 10 carbon atoms A group in which one hydrogen atom is removed from a chain, branched or cyclic alkylene group, and may have an ester group or an ether group, R 4 is a straight chain or branched chain having 1 to 12 carbon atoms. A cyclic or cyclic alkylene group, and R 5 is a hydrogen atom, a fluorine atom, a methyl group, a trifluoromethyl group, a difluoromethyl group, or a carbon atom having 3 to 10 carbon atoms together with the carbon atom to which R 4 is bonded. ring (provided that the aromatic rings are excluded) may be formed, good .R 7 have an ether group, an alkylene group or a trifluoromethyl group substituted by fluorine in the ring is 1 carbon atoms 20 linear, branched or cyclic alkyl groups, which are substituted with at least one fluorine atom, and may have an ether group, an ester group or a sulfonamide group, where R is a hydrogen atom, Halogen atom or carbon number -6 linear, branched or cyclic alkyl groups, alkoxy groups or fluorinated alkyl groups, Y is a hydrogen atom or an acid labile group, and m is an integer of 0-2. 0 <a <1.0, 0 ≦ (b−1) <1.0, 0 ≦ (b−2) <1.0, 0 <(b−1) + (b−2) <1.0, 0.5 ≦ a + (b−1) + (b−2) ≦ 1.0.)
化学増幅ポジ型レジスト材料であることを特徴とする請求項2に記載のレジスト材料。   The resist material according to claim 2, wherein the resist material is a chemically amplified positive resist material. 前記ベース樹脂としての高分子化合物が、上記式(1)の繰り返し単位以外に、酸不安定基を有する繰り返し単位、及びヒドロキシ基及び/又はラクトン環の密着性基を有する繰り返し単位を含むものであることを特徴とする請求項3に記載のレジスト材料。   The polymer compound as the base resin includes a repeating unit having an acid labile group and a repeating unit having an adhesive group of a hydroxy group and / or a lactone ring in addition to the repeating unit of the above formula (1). The resist material according to claim 3. 更に、有機溶剤、酸発生剤、塩基性化合物、溶解制御剤及び界面活性剤のいずれか1つ以上を含有するものであることを特徴とする請求項1乃至4のいずれか1項に記載のレジスト材料。   Furthermore, it contains any one or more of an organic solvent, an acid generator, a basic compound, a dissolution control agent, and a surfactant, according to any one of claims 1 to 4. Resist material. 化学増幅ネガ型レジスト材料であることを特徴とする請求項2に記載のレジスト材料。   The resist material according to claim 2, which is a chemically amplified negative resist material. 更に、有機溶剤、酸発生剤、架橋剤及び界面活性剤のいずれか1つ以上を含有するものであることを特徴とする請求項6に記載のレジスト材料。   The resist material according to claim 6, further comprising at least one of an organic solvent, an acid generator, a crosslinking agent, and a surfactant. 請求項1乃至7のいずれか1項に記載のレジスト材料を基板上に塗布し、これを加熱処理することによって形成されたレジスト表面層にナフチル基を有する繰り返し単位と少なくとも1個のフッ素原子を有する繰り返し単位とを共重合した高分子化合物からなる光吸収性の膜が形成されたレジスト表面反射防止機能を有するフォトレジスト膜。   A resist surface layer formed by applying the resist material according to any one of claims 1 to 7 on a substrate and heat-treating the resist material, and a repeating unit having a naphthyl group and at least one fluorine atom. A photoresist film having a resist surface antireflection function, wherein a light-absorbing film made of a polymer compound copolymerized with a repeating unit is formed. 請求項1乃至7のいずれか1項に記載のレジスト材料を基板上に塗布する工程と、加熱処理後、高エネルギー線で露光する工程と、現像液を用いて現像する工程とを含むことを特徴とするパターン形成方法。   It includes a step of applying the resist material according to any one of claims 1 to 7 on a substrate, a step of exposing to high energy rays after heat treatment, and a step of developing using a developer. A characteristic pattern forming method. 前記高エネルギー線を波長180〜250nmの範囲のものとすることを特徴とする請求項9に記載のパターン形成方法。   The pattern forming method according to claim 9, wherein the high energy ray has a wavelength in a range of 180 to 250 nm. 前記高エネルギー線で露光する工程を液体を介して露光する液浸露光により行うことを特徴とする請求項9又は10に記載のパターン形成方法。   11. The pattern forming method according to claim 9, wherein the step of exposing with the high energy beam is performed by immersion exposure in which exposure is performed through a liquid. 前記液浸露光において、フォトレジスト膜と液体の間に保護膜を設けることを特徴とする請求項11記載のパターン形成方法。   12. The pattern forming method according to claim 11, wherein a protective film is provided between the photoresist film and the liquid in the immersion exposure. 前記液浸露光において、フォトレジスト膜と液体の間に設ける保護膜として、αトリフルオロメチルヒドロキシ基を有する高分子化合物をベースとするアルカリ可溶型保護膜を用いることを特徴とする請求項12記載のパターン形成方法。   13. The alkali-soluble protective film based on a polymer compound having an α-trifluoromethylhydroxy group is used as a protective film provided between the photoresist film and the liquid in the immersion exposure. The pattern formation method of description. 前記液浸露光において、180〜250nmの範囲の露光波長を用い、前記レジスト材料と保護膜を塗布した基板と投影レンズの間に液体を挿入し、該液体を介して前記基板を露光することを特徴とする請求項11乃至13のいずれか1項に記載のパターン形成方法。   In the immersion exposure, using an exposure wavelength in the range of 180 to 250 nm, inserting a liquid between the substrate coated with the resist material and the protective film and the projection lens, and exposing the substrate through the liquid The pattern forming method according to claim 11, wherein the pattern forming method is a pattern forming method. 前記液体として水を用いることを特徴とする請求項11乃至14のいずれか1項に記載のパターン形成方法。   15. The pattern forming method according to claim 11, wherein water is used as the liquid.
JP2007240475A 2006-10-24 2007-09-18 Resist material and pattern forming method using the same Active JP4900603B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2007240475A JP4900603B2 (en) 2006-10-24 2007-09-18 Resist material and pattern forming method using the same

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
JP2006288441 2006-10-24
JP2006288441 2006-10-24
JP2007066395 2007-03-15
JP2007066395 2007-03-15
JP2007240475A JP4900603B2 (en) 2006-10-24 2007-09-18 Resist material and pattern forming method using the same

Publications (2)

Publication Number Publication Date
JP2008257166A true JP2008257166A (en) 2008-10-23
JP4900603B2 JP4900603B2 (en) 2012-03-21

Family

ID=39980761

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007240475A Active JP4900603B2 (en) 2006-10-24 2007-09-18 Resist material and pattern forming method using the same

Country Status (1)

Country Link
JP (1) JP4900603B2 (en)

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010152343A (en) * 2008-11-19 2010-07-08 Rohm & Haas Electronic Materials Llc Compositions and processes for photolithography
JP2010152342A (en) * 2008-11-19 2010-07-08 Rohm & Haas Electronic Materials Llc Compositions containing hetero-substituted carbocyclic aryl component and processes for photolithography
JP2010237661A (en) * 2009-03-09 2010-10-21 Shin-Etsu Chemical Co Ltd Positive resist material and patterning process using the same
WO2010140637A1 (en) * 2009-06-04 2010-12-09 Jsr株式会社 Radiation-sensitive resin composition, polymer and method for forming resist pattern
JP2011053365A (en) * 2009-08-31 2011-03-17 Fujifilm Corp Actinic ray-sensitive or radiation-sensitive resin composition and pattern forming method using the same
JP2011215413A (en) * 2010-03-31 2011-10-27 Fujifilm Corp Actinic ray-sensitive or radiation-sensitive resin composition and pattern forming method using the same
JP2012022100A (en) * 2010-07-13 2012-02-02 Fujifilm Corp Actinic ray sensitive or radiation sensitive resin composition and method for forming pattern using the same
WO2012026621A1 (en) * 2010-08-27 2012-03-01 Fujifilm Corporation Actinic-ray- or radiation-sensitive resin composition and method of forming pattern therewith
KR20120078657A (en) * 2010-12-30 2012-07-10 롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨. Photoresists and methods for use thereof
JP2012522268A (en) * 2009-03-24 2012-09-20 インターナショナル・ビジネス・マシーンズ・コーポレーション Self-forming top anti-reflective coating composition, photoresist mixture containing the same, and method of imaging using the same
JP2012185488A (en) * 2011-02-15 2012-09-27 Sumitomo Chemical Co Ltd Resist composition and method for producing resist pattern
JP2014067013A (en) * 2012-09-05 2014-04-17 Shin Etsu Chem Co Ltd Resist material and pattern forming method using the same
JP2014067012A (en) * 2012-09-05 2014-04-17 Shin Etsu Chem Co Ltd Resist material and pattern forming method using the same
US20140308614A1 (en) * 2013-04-10 2014-10-16 Shin-Etsu Chemical Co., Ltd. Patterning process, resist composition, polymer, and monomer
JP2016099535A (en) * 2014-11-25 2016-05-30 信越化学工業株式会社 Photomask blank, method for forming resist pattern, and method for manufacturing photomask
KR20190072472A (en) * 2017-12-15 2019-06-25 신에쓰 가가꾸 고교 가부시끼가이샤 Antireflective film, method of producing antireflective film, and eyeglass type display
KR20190075837A (en) * 2017-12-21 2019-07-01 신에쓰 가가꾸 고교 가부시끼가이샤 Antireflective film, method of producing antireflective film, and eyeglass type display
JP7423627B2 (en) 2019-02-19 2024-01-29 メルク パテント ゲゼルシャフト ミット ベシュレンクテル ハフツング Polymer, semiconductor composition comprising polymer, and method for producing film using semiconductor composition
TWI838462B (en) 2019-02-19 2024-04-11 德商默克專利有限公司 Polymer, semiconductor composition comprising polymer, and method for producing film using semiconductor composition

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6127832B2 (en) 2012-09-05 2017-05-17 信越化学工業株式会社 Resist material and pattern forming method using the same
JP5817707B2 (en) 2012-11-21 2015-11-18 信越化学工業株式会社 Developer and pattern forming method using the same
JP5790631B2 (en) 2012-12-10 2015-10-07 信越化学工業株式会社 Sulfonium salt and polymer compound, resist material, pattern forming method, and method for producing the polymer compound
JP5835204B2 (en) 2012-12-20 2015-12-24 信越化学工業株式会社 Resist material and pattern forming method using the same
JP5825252B2 (en) 2012-12-26 2015-12-02 信越化学工業株式会社 Resist material and pattern forming method using the same
JP5812030B2 (en) 2013-03-13 2015-11-11 信越化学工業株式会社 Sulfonium salt and polymer compound, resist material and pattern forming method
JP6213296B2 (en) 2013-04-10 2017-10-18 信越化学工業株式会社 Pattern forming method using developer
JP6237470B2 (en) 2013-06-12 2017-11-29 信越化学工業株式会社 Developer for photosensitive resist material and pattern forming method using the same
JP6221939B2 (en) 2013-06-19 2017-11-01 信越化学工業株式会社 Developer for photosensitive resist material and pattern forming method using the same

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002107933A (en) * 2000-09-27 2002-04-10 Shin Etsu Chem Co Ltd Resist material
JP2004163877A (en) * 2002-05-30 2004-06-10 Shipley Co Llc Novel resin and photoresist composition containing the same
JP2006048029A (en) * 2004-07-07 2006-02-16 Fuji Photo Film Co Ltd Positive type resist composition for use in liquid immersion exposure and pattern forming method using the same
JP2006201778A (en) * 2005-01-19 2006-08-03 Rohm & Haas Electronic Materials Llc Photoresist composition containing resin blend
JP2006276458A (en) * 2005-03-29 2006-10-12 Fuji Photo Film Co Ltd Positive photoresist composition and pattern forming method using the same
JP2007114728A (en) * 2005-09-21 2007-05-10 Shin Etsu Chem Co Ltd Positive resist material and pattern forming method using the same
JP2007161987A (en) * 2005-11-16 2007-06-28 Shin Etsu Chem Co Ltd Resist material and method for forming pattern using the same

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002107933A (en) * 2000-09-27 2002-04-10 Shin Etsu Chem Co Ltd Resist material
JP2004163877A (en) * 2002-05-30 2004-06-10 Shipley Co Llc Novel resin and photoresist composition containing the same
JP2006048029A (en) * 2004-07-07 2006-02-16 Fuji Photo Film Co Ltd Positive type resist composition for use in liquid immersion exposure and pattern forming method using the same
JP2006201778A (en) * 2005-01-19 2006-08-03 Rohm & Haas Electronic Materials Llc Photoresist composition containing resin blend
JP2006276458A (en) * 2005-03-29 2006-10-12 Fuji Photo Film Co Ltd Positive photoresist composition and pattern forming method using the same
JP2007114728A (en) * 2005-09-21 2007-05-10 Shin Etsu Chem Co Ltd Positive resist material and pattern forming method using the same
JP2007161987A (en) * 2005-11-16 2007-06-28 Shin Etsu Chem Co Ltd Resist material and method for forming pattern using the same

Cited By (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010152343A (en) * 2008-11-19 2010-07-08 Rohm & Haas Electronic Materials Llc Compositions and processes for photolithography
JP2010152342A (en) * 2008-11-19 2010-07-08 Rohm & Haas Electronic Materials Llc Compositions containing hetero-substituted carbocyclic aryl component and processes for photolithography
EP2189847A3 (en) * 2008-11-19 2010-07-21 Rohm and Haas Electronic Materials LLC Compositions comprising hetero-substituted carbocyclic aryl component and processes for photolithography
JP2015121816A (en) * 2008-11-19 2015-07-02 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC Compositions comprising hetero-substituted carbocyclic aryl component and processes for photolithography
EP3051348A1 (en) * 2008-11-19 2016-08-03 Rohm and Haas Electronic Materials LLC Compositions comprising hetero-substituted carbocyclic aryl component and processes for photolithography
KR101760802B1 (en) * 2008-11-19 2017-07-24 롬 앤드 하스 일렉트로닉 머트어리얼즈 엘엘씨 Compositions comprising hetero-substituted carbocyclic aryl component and processes for photolithography
KR101916756B1 (en) * 2008-11-19 2018-11-08 롬 앤드 하스 일렉트로닉 머트어리얼즈 엘엘씨 Compositions comprising hetero-substituted carbocyclic aryl component and processes for photolithography
US10359698B2 (en) 2008-11-19 2019-07-23 Rohm And Haas Electronic Materials Llc Compositions comprising hetero-substituted carbocyclic aryl component and processes for photolithography
JP2010237661A (en) * 2009-03-09 2010-10-21 Shin-Etsu Chemical Co Ltd Positive resist material and patterning process using the same
JP2012522268A (en) * 2009-03-24 2012-09-20 インターナショナル・ビジネス・マシーンズ・コーポレーション Self-forming top anti-reflective coating composition, photoresist mixture containing the same, and method of imaging using the same
US8815490B2 (en) 2009-06-04 2014-08-26 Jsr Corporation Radiation-sensitive resin composition, polymer, and method for forming resist pattern
WO2010140637A1 (en) * 2009-06-04 2010-12-09 Jsr株式会社 Radiation-sensitive resin composition, polymer and method for forming resist pattern
JP5626207B2 (en) * 2009-06-04 2014-11-19 Jsr株式会社 Radiation-sensitive resin composition and resist pattern forming method
JP2011053365A (en) * 2009-08-31 2011-03-17 Fujifilm Corp Actinic ray-sensitive or radiation-sensitive resin composition and pattern forming method using the same
KR101294644B1 (en) * 2009-08-31 2013-08-09 후지필름 가부시키가이샤 Active ray-sensitive or radiation-sensitive resin composition and pattern forming method using the same
JP2011215413A (en) * 2010-03-31 2011-10-27 Fujifilm Corp Actinic ray-sensitive or radiation-sensitive resin composition and pattern forming method using the same
JP2012022100A (en) * 2010-07-13 2012-02-02 Fujifilm Corp Actinic ray sensitive or radiation sensitive resin composition and method for forming pattern using the same
US20130095429A1 (en) * 2010-07-13 2013-04-18 Fujifilm Corporation Actinic-ray- or radiation-sensitive resin composition and method of forming pattern using the same
JP2012048067A (en) * 2010-08-27 2012-03-08 Fujifilm Corp Actinic ray-sensitive or radiation-sensitive resin composition, and patterning method using the same
WO2012026621A1 (en) * 2010-08-27 2012-03-01 Fujifilm Corporation Actinic-ray- or radiation-sensitive resin composition and method of forming pattern therewith
US9508553B2 (en) 2010-12-30 2016-11-29 Rohm And Haas Electronic Materials Llc Photoresists and methods for use thereof
KR20120078657A (en) * 2010-12-30 2012-07-10 롬 앤드 하스 일렉트로닉 머트어리얼즈, 엘.엘.씨. Photoresists and methods for use thereof
KR101897483B1 (en) * 2010-12-30 2018-09-12 롬 앤드 하스 일렉트로닉 머트어리얼즈 엘엘씨 Photoresists and methods for use thereof
JP2012141613A (en) * 2010-12-30 2012-07-26 Rohm & Haas Electronic Materials Llc Photoresists and methods for use thereof
JP2012185488A (en) * 2011-02-15 2012-09-27 Sumitomo Chemical Co Ltd Resist composition and method for producing resist pattern
JP2014067013A (en) * 2012-09-05 2014-04-17 Shin Etsu Chem Co Ltd Resist material and pattern forming method using the same
JP2014067012A (en) * 2012-09-05 2014-04-17 Shin Etsu Chem Co Ltd Resist material and pattern forming method using the same
KR101772733B1 (en) 2013-04-10 2017-08-29 신에쓰 가가꾸 고교 가부시끼가이샤 Patterning process, resist composition, polymer, and monomer
US9182668B2 (en) * 2013-04-10 2015-11-10 Shin-Etsu Chemical Co., Ltd. Patterning process, resist composition, polymer, and monomer
US20140308614A1 (en) * 2013-04-10 2014-10-16 Shin-Etsu Chemical Co., Ltd. Patterning process, resist composition, polymer, and monomer
JP2016099535A (en) * 2014-11-25 2016-05-30 信越化学工業株式会社 Photomask blank, method for forming resist pattern, and method for manufacturing photomask
US11762127B2 (en) 2017-12-15 2023-09-19 Shin-Etsu Chemical Co., Ltd. Antireflective film including a photoresist material containing a polymer compound having an aromatic group, method of producing antireflective film, and eyeglass type display
KR20190072472A (en) * 2017-12-15 2019-06-25 신에쓰 가가꾸 고교 가부시끼가이샤 Antireflective film, method of producing antireflective film, and eyeglass type display
KR102112423B1 (en) * 2017-12-15 2020-05-18 신에쓰 가가꾸 고교 가부시끼가이샤 Antireflective film, method of producing antireflective film, and eyeglass type display
KR20190075837A (en) * 2017-12-21 2019-07-01 신에쓰 가가꾸 고교 가부시끼가이샤 Antireflective film, method of producing antireflective film, and eyeglass type display
US10996378B2 (en) 2017-12-21 2021-05-04 Shin-Etsu Chemical Co., Ltd. Antireflective film, method of producing antireflective film, and eyeglass type display
KR102112424B1 (en) * 2017-12-21 2020-05-18 신에쓰 가가꾸 고교 가부시끼가이샤 Antireflective film, method of producing antireflective film, and eyeglass type display
JP7423627B2 (en) 2019-02-19 2024-01-29 メルク パテント ゲゼルシャフト ミット ベシュレンクテル ハフツング Polymer, semiconductor composition comprising polymer, and method for producing film using semiconductor composition
TWI838462B (en) 2019-02-19 2024-04-11 德商默克專利有限公司 Polymer, semiconductor composition comprising polymer, and method for producing film using semiconductor composition

Also Published As

Publication number Publication date
JP4900603B2 (en) 2012-03-21

Similar Documents

Publication Publication Date Title
JP4900603B2 (en) Resist material and pattern forming method using the same
JP4771974B2 (en) Resist material and pattern forming method using the same
JP5035560B2 (en) Resist material and pattern forming method using the same
JP4288520B2 (en) Resist material and pattern forming method using the same
JP4849267B2 (en) Resist material and pattern forming method using the same
JP4435196B2 (en) Resist material and pattern forming method using the same
JP4993138B2 (en) Resist material and pattern forming method using the same
JP4842844B2 (en) Resist material and pattern forming method using the same
JP5131461B2 (en) Polymer compound, resist material, and pattern forming method
JP5071658B2 (en) Resist material, resist protective film material, and pattern forming method
JP4858714B2 (en) Polymer compound, resist material, and pattern forming method
JP4650644B2 (en) Resist material and pattern forming method
JP4849268B2 (en) Resist material and pattern forming method using the same
JP4857208B2 (en) Pattern forming method using resist material
JP4314496B2 (en) Positive resist material and pattern forming method
JP2008088343A (en) Polymeric compound, resist material, and pattern forming method
JP2008129388A (en) Positive resist material and pattern forming method
JP2008134528A (en) Positive resist material and pattern forming method
JP4680944B2 (en) Pattern formation method
JP4753043B2 (en) Pattern formation method
JP4840596B2 (en) Resist material and pattern forming method
JP4844756B2 (en) Pattern formation method
JP4831360B2 (en) Positive resist composition and pattern forming method
JP4835869B2 (en) Positive resist composition and pattern forming method
JP2008129389A (en) Positive resist material and pattern forming method

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090826

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110511

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110525

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110706

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110831

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111005

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20111207

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20111220

R150 Certificate of patent or registration of utility model

Ref document number: 4900603

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150113

Year of fee payment: 3