JP2008226199A - Timing analysis method, timing analysis device, and cell library - Google Patents

Timing analysis method, timing analysis device, and cell library Download PDF

Info

Publication number
JP2008226199A
JP2008226199A JP2007067781A JP2007067781A JP2008226199A JP 2008226199 A JP2008226199 A JP 2008226199A JP 2007067781 A JP2007067781 A JP 2007067781A JP 2007067781 A JP2007067781 A JP 2007067781A JP 2008226199 A JP2008226199 A JP 2008226199A
Authority
JP
Japan
Prior art keywords
logic cell
delay
cell
timing analysis
variation value
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2007067781A
Other languages
Japanese (ja)
Inventor
Takeshi Sakata
剛 佐方
Tomoyuki Yamada
智之 山田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Ltd
Original Assignee
Fujitsu Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Ltd filed Critical Fujitsu Ltd
Priority to JP2007067781A priority Critical patent/JP2008226199A/en
Publication of JP2008226199A publication Critical patent/JP2008226199A/en
Withdrawn legal-status Critical Current

Links

Images

Abstract

<P>PROBLEM TO BE SOLVED: To improve analysis accuracy of delay of a semiconductor integrated circuit. <P>SOLUTION: The timing analysis device calculates dispersion values for every logic cells referring to delay and its dispersion for every voltage values applied to a logic cell (S14), and performs analysis of delay of signal path of a semiconductor integrated circuit referring to the calculated dispersion values (S15). Thereby range of dispersion values of delay of the signal paths of the semiconductor integrated circuit can be limited by performing timing analysis considering dispersion values of delay about for every voltage values being changed by voltage drop and placement position of logic cells. <P>COPYRIGHT: (C)2008,JPO&INPIT

Description

本発明はタイミング解析方法、タイミング解析装置およびセルライブラリに関し、特に、論理セルを含む半導体集積回路の信号パスの遅延を解析するタイミング解析方法、タイミング解析装置およびセルライブラリに関する。   The present invention relates to a timing analysis method, a timing analysis apparatus, and a cell library, and more particularly to a timing analysis method, a timing analysis apparatus, and a cell library for analyzing a signal path delay of a semiconductor integrated circuit including a logic cell.

近年、100万ゲートを越える規模の論理回路(論理セル)や動作周波数が数百MHzに達するLSI(Large Scale Integration)が次々と開発されている。こうしたLSIの設計作業の中で、チップ上のすべての論理回路が所望の速度で動作するためのタイミング設計が占める割合が次第に大きくなってきている。   In recent years, logic circuits (logic cells) having a scale exceeding 1 million gates and LSIs (Large Scale Integration) having an operating frequency of several hundred MHz have been developed one after another. In such LSI design work, the ratio of the timing design for operating all the logic circuits on the chip at a desired speed is gradually increasing.

また、LSIは、その製造上の変動要因や動作環境などのため、論理回路を構成するトランジスタの電気的特性のばらつきが生じる。したがって、論理回路同士が配線により接続されて構成されるLSIでは、一連の論理回路間を伝搬する信号の遅延にばらつきが生じる。   In addition, the variation in the electrical characteristics of the transistors constituting the logic circuit occurs due to factors such as manufacturing variations and operating environments. Therefore, in an LSI configured by connecting logic circuits to each other by wiring, variation occurs in the delay of a signal propagating between a series of logic circuits.

このため、LSIはその製造時には設計余裕(設計マージン)が設けられて開発され、さらに、信号パスに応じた遅延のばらつきを個別に評価できる統計的手法を用いることにより、過不足なく設計マージンを設けてLSIを設計することができる(例えば、特許文献1参照。)。
特開2004−252831号公報
For this reason, LSI was developed with a design margin (design margin) at the time of manufacture, and furthermore, by using a statistical method that can individually evaluate delay variations according to signal paths, design margin can be increased without excess or deficiency. An LSI can be designed by providing (see, for example, Patent Document 1).
JP 2004-252831 A

しかし、チップには電圧ドロップが生じるために、論理セルやその配置位置によって電圧値が異なるため、電圧ドロップによる遅延のばらつき値を一律として設計マージンを設定すると、過剰なマージン保証となり、無駄が多い設計であったという問題点があった。   However, since voltage drops occur in the chip, the voltage value differs depending on the logic cell and its arrangement position. Therefore, setting a design margin with a uniform variation value of the delay due to the voltage drop provides an excessive margin guarantee and is wasteful. There was a problem that it was a design.

本発明はこのような点に鑑みてなされたものであり、半導体集積回路の遅延の解析精度を向上させるタイミング解析方法、タイミング解析装置およびセルライブラリを提供することを目的とする。   The present invention has been made in view of these points, and an object of the present invention is to provide a timing analysis method, a timing analysis apparatus, and a cell library that improve the delay analysis accuracy of a semiconductor integrated circuit.

本発明では上記課題を解決するために、論理セルを含む半導体集積回路の信号パスの遅延を解析するタイミング解析方法において、図1に示すように、半導体集積回路のレイアウトデータから、論理セルの配置位置および電圧範囲に関する論理セル情報を算出する工程(S12)と、論理セルにかかる動作電圧値ごとに、論理セルのスルーレートに関するスルー情報と論理セルの配線抵抗および配線容量に関する負荷情報とが記憶された電圧条件パラメータ記憶部を参照して、動作電圧値ごとの遅延および遅延のばらつき値を算出し、遅延およびばらつき値が格納されたセルライブラリを生成する工程(S13)と、論理セル情報およびセルライブラリを参照して、論理セルごとのばらつき値を算出する工程(S14)と、論理セルごとのばらつき値を参照して、論理セルの遅延の解析を行う工程(S15)と、を有することを特徴とするタイミング解析方法が提供される。   In the present invention, in order to solve the above problem, in the timing analysis method for analyzing the delay of the signal path of the semiconductor integrated circuit including the logic cell, the layout of the logic cell is determined from the layout data of the semiconductor integrated circuit as shown in FIG. A step of calculating logic cell information relating to the position and voltage range (S12), and for each operating voltage value applied to the logic cell, through information relating to the slew rate of the logic cell and load information relating to the wiring resistance and wiring capacitance of the logic cell are stored. A step of calculating a delay for each operation voltage value and a variation value of the delay with reference to the voltage condition parameter storage unit generated, and generating a cell library storing the delay and the variation value; A step of calculating a variation value for each logic cell with reference to the cell library (S14), and a step for each logic cell. Referring to per value, a step (S15) for analyzing the delay of the logic cell, timing analysis method characterized in that it comprises a are provided.

このようなタイミング解析方法によれば、論理セルにかかる動作電圧値ごとの遅延および遅延のばらつき値が参照されて、論理セルごとのばらつき値が算出されて、算出されたばらつき値が参照されて、半導体集積回路の信号パスの遅延の解析が行われる。   According to such a timing analysis method, the delay for each operation voltage value applied to the logic cell and the variation value of the delay are referred to, the variation value for each logic cell is calculated, and the calculated variation value is referred to. The signal path delay of the semiconductor integrated circuit is analyzed.

また、本発明では上記課題を解決するために、論理セルを含む半導体集積回路の信号パスの遅延を解析するタイミング解析装置において、前記半導体集積回路のレイアウトデータを保持するレイアウトデータ記憶部と、前記論理セルにかかる動作電圧値ごとの、前記論理セルのスルーレートに関するスルー情報と前記論理セルの配線抵抗および配線容量に関する負荷情報とが記憶された電圧条件パラメータ記憶部と、前記動作電圧値ごとの前記遅延および前記遅延のばらつき値が格納されたセルライブラリと、前記レイアウトデータ記憶部を参照して、前記論理セルの配置位置および電圧範囲に関する論理セル情報を算出する論理セル情報算出部と、前記電圧条件パラメータ記憶部を参照して、前記セルライブラリを生成するセルライブラリ生成部と、前記論理セル情報および前記セルライブラリを参照して、前記論理セルごとの前記ばらつき値を算出するタイミングばらつき値算出部と、前記論理セルごとの前記ばらつき値を参照して、前記論理セルの前記遅延の解析を行うタイミング解析部と、を有することを特徴とするタイミング解析装置が提供される。   According to another aspect of the present invention, there is provided a timing analysis apparatus for analyzing a delay of a signal path of a semiconductor integrated circuit including a logic cell. A voltage condition parameter storage unit storing through information regarding the slew rate of the logic cell and load information regarding wiring resistance and wiring capacity of the logic cell for each operating voltage value applied to the logic cell, and for each operating voltage value A cell library in which the delay and a variation value of the delay are stored; a logic cell information calculating unit that calculates logic cell information related to an arrangement position and a voltage range of the logic cell with reference to the layout data storage unit; A cell library that generates the cell library with reference to a voltage condition parameter storage unit A timing variation value calculating unit that calculates the variation value for each logic cell with reference to the logic cell information and the cell library, and the logic value with reference to the variation value for each logic cell. And a timing analysis unit that analyzes the delay of the cell.

このようなタイミング解析装置によれば、論理セルにかかる動作電圧値ごとの遅延および遅延のばらつき値が参照されて、論理セルごとのばらつき値が算出されて、算出されたばらつき値が参照されて、半導体集積回路の信号パスの遅延の解析が行われる。   According to such a timing analysis device, the delay for each operating voltage value applied to the logic cell and the variation value of the delay are referred to, the variation value for each logic cell is calculated, and the calculated variation value is referred to. The signal path delay of the semiconductor integrated circuit is analyzed.

また、本発明では上記課題を解決するために、半導体集積回路の論理セルにかかる動作電圧値ごとに、前記論理セルのスルーレートに関するスルー情報と前記論理セルの配線抵抗および配線容量に関する負荷情報とが記憶された電圧条件パラメータ記憶部を参照して、前記動作電圧値ごとの前記遅延および前記遅延のばらつき値を算出し、前記遅延および前記ばらつき値が格納されたセルライブラリが提供される。   According to the present invention, in order to solve the above-mentioned problem, for each operating voltage value applied to the logic cell of the semiconductor integrated circuit, through information regarding the slew rate of the logic cell and load information regarding the wiring resistance and wiring capacitance of the logic cell; Is stored, the delay for each operating voltage value and the variation value of the delay are calculated, and a cell library in which the delay and the variation value are stored is provided.

このようなセルライブラリによると、論理セルにかかる動作電圧値ごとの遅延および遅延のばらつき値が参照されるようになる。   According to such a cell library, the delay for each operating voltage value applied to the logic cell and the delay variation value are referred to.

本発明では、論理セルにかかる電圧値ごとの遅延およびその遅延のばらつき値を参照して、論理セルごとのばらつき値を算出し、算出したばらつき値を参照して、半導体集積回路の信号パスの遅延の解析を行うようにした。これにより、論理セルの電圧ドロップや配置位置よって変動する電圧値ごとについて、遅延のばらつき値を考慮してタイミング解析を行うことにより、半導体集積回路の信号パスの遅延のばらつき値の範囲を狭めることができる。   In the present invention, referring to the delay for each voltage value applied to the logic cell and the variation value of the delay, the variation value for each logic cell is calculated, and referring to the calculated variation value, the signal path of the semiconductor integrated circuit is calculated. Delay analysis was performed. As a result, for each voltage value that fluctuates depending on the voltage drop or arrangement position of the logic cell, the timing analysis is performed in consideration of the delay variation value, thereby narrowing the range of the signal path delay variation value of the semiconductor integrated circuit. Can do.

以下、本発明の実施の形態を、図面を参照して詳細に説明する。但し、本発明の技術的範囲はこれらの実施の形態に限定されない。
まず、本発明に至る過程で考えられる形態について説明し、それに対して本発明の概要および実施の形態について順に説明する。
Hereinafter, embodiments of the present invention will be described in detail with reference to the drawings. However, the technical scope of the present invention is not limited to these embodiments.
First, the form considered in the process leading to the present invention will be described, and the outline of the present invention and the embodiment will be described in order.

図7は、本発明に至る過程で考えられるタイミング解析方法の解析フロー図である。
本発明に至る過程で考えられる半導体集積回路の信号パスの遅延のタイミング解析について以下に、解析フロー図500に従って説明する。
FIG. 7 is an analysis flow diagram of a timing analysis method considered in the process leading to the present invention.
The timing analysis of the delay of the signal path of the semiconductor integrated circuit considered in the process leading to the present invention will be described with reference to the analysis flowchart 500 below.

[ステップS501] 論理回路情報を参照して、半導体集積回路のレイアウト設計が行われる。
[ステップS502] 設計された半導体集積回路から各セルの消費電力の計算が行われる。
[Step S501] The layout design of the semiconductor integrated circuit is performed with reference to the logic circuit information.
[Step S502] The power consumption of each cell is calculated from the designed semiconductor integrated circuit.

[ステップS503] ステップS501で設計されたレイアウトデータとセルの消費電力から消費電力が限界値以下である半導体集積回路の電源網解析が行われて、動作電圧値(=標準電圧値−電圧ドロップ値)が算出される。そして、算出された動作電圧値が予め設定された基準値を満たすか否かが判断される。基準値を満たさない場合、ステップS501へ再び進められ、基準値を満たす場合、ステップS504へ進められる。   [Step S503] The power supply network analysis of the semiconductor integrated circuit whose power consumption is below the limit value is performed from the layout data designed in Step S501 and the power consumption of the cell, and the operation voltage value (= standard voltage value−voltage drop value). ) Is calculated. Then, it is determined whether or not the calculated operating voltage value satisfies a preset reference value. If the reference value is not satisfied, the process proceeds to step S501 again. If the reference value is satisfied, the process proceeds to step S504.

[ステップS504] 設計された半導体集積回路の信号パスの遅延のばらつき値が算出される。
[ステップS505] ステップS504で得られた遅延のばらつき値が参照されて、半導体集積回路の信号パスの遅延のタイミング解析が行われる。解析の結果、タイミングエラーがある場合はステップS501へ再び進められ、タイミングエラーが無かった場合は、設計された半導体集積回路が正常範囲で動作することが確認されて、タイミング解析が終了する。
[Step S504] The variation value of the delay of the signal path of the designed semiconductor integrated circuit is calculated.
[Step S505] The delay variation value obtained in step S504 is referred to, and the timing analysis of the delay of the signal path of the semiconductor integrated circuit is performed. As a result of the analysis, if there is a timing error, the process proceeds to step S501 again. If there is no timing error, it is confirmed that the designed semiconductor integrated circuit operates in a normal range, and the timing analysis ends.

図8は、ばらつき値の動作電圧依存性を示したグラフである。
図8にて、横軸は論理セルの動作電圧値(VDD[V])を、縦軸は動作電圧値に対する信号パスの遅延のばらつき値(σ/μ[標準偏差/平均値])を示している。図8から、遅延のばらつき値は論理セルの動作電圧値に依存して変化することがわかる。なお、既に説明したように、論理セル又はその配置位置によって電圧ドロップが生じるために、動作電圧値には、論理セルにおいて標準電圧値に電圧ドロップが考慮された電圧値であるものとしている。
FIG. 8 is a graph showing the operating voltage dependence of the variation value.
In FIG. 8, the horizontal axis represents the operating voltage value (VDD [V]) of the logic cell, and the vertical axis represents the variation value (σ / μ [standard deviation / average value]) of the delay of the signal path with respect to the operating voltage value. ing. FIG. 8 shows that the delay variation value changes depending on the operating voltage value of the logic cell. As described above, since voltage drop occurs depending on the logic cell or its arrangement position, the operating voltage value is assumed to be a voltage value in which the voltage drop is considered in the standard voltage value in the logic cell.

したがって、図7の解析フロー図500の流れに沿った半導体集積回路の信号パスの遅延のタイミング解析では、論理セルやその配置位置による電圧ドロップに起因して変動する遅延のばらつき値の影響が考慮されておらず、ばらつき値を一律として解析しており、正確なタイミング解析が行われていない。このため、半導体集積回路の設計に過剰な設計マージンを設定する必要があり、無駄が多い設計となる。   Therefore, in the timing analysis of the signal path delay of the semiconductor integrated circuit along the flow of the analysis flow diagram 500 of FIG. 7, the influence of the variation value of the delay that varies due to the voltage drop due to the logic cell and its arrangement position is considered. It is not analyzed, and the variation value is analyzed uniformly, and accurate timing analysis is not performed. For this reason, it is necessary to set an excessive design margin in the design of the semiconductor integrated circuit, resulting in a wasteful design.

これに対して、本発明の概要について説明する。
図1は、本発明のタイミング解析方法の概要を示した解析フロー概略図である。
以下に、本発明のタイミング解析方法の概要についてこの解析フロー概要図10を用いて説明する。
On the other hand, the outline | summary of this invention is demonstrated.
FIG. 1 is an analysis flow schematic diagram showing an outline of the timing analysis method of the present invention.
Below, the outline | summary of the timing analysis method of this invention is demonstrated using this analysis flow outline figure 10. FIG.

[ステップS11] レイアウトデータが参照され、半導体集積回路のレイアウト設計が行われる。
[ステップS12] 設計された半導体集積回路について、論理セルの配置位置および電圧範囲に関する論理セル情報が算出される。
[Step S11] With reference to the layout data, the layout design of the semiconductor integrated circuit is performed.
[Step S12] For the designed semiconductor integrated circuit, logic cell information relating to the arrangement position and voltage range of the logic cell is calculated.

[ステップS13] 論理セルの電圧値ごとの、スルーレートに関するスルー情報と、配線抵抗や配線容量に関する負荷情報とが記憶された電圧条件パラメータが参照されて、論理セルの動作電圧値ごとの遅延と遅延のばらつき値とが算出される。そして、論理セルの動作電圧値ごとの遅延と遅延のばらつき値とが格納されたセルライブラリが生成される。   [Step S13] With reference to the voltage condition parameter in which the through information regarding the slew rate and the load information regarding the wiring resistance and the wiring capacitance are stored for each voltage value of the logic cell, the delay for each operating voltage value of the logic cell is determined. A delay variation value is calculated. Then, a cell library is generated in which the delay for each operating voltage value of the logic cell and the variation value of the delay are stored.

[ステップS14] セルライブラリおよび論理セル情報が参照されて、論理セルの遅延のばらつき値を算出する。
[ステップS15] ステップS14で算出された各論理セルの遅延のばらつき値が利用されて半導体集積回路のタイミング解析が行われる。
[Step S14] With reference to the cell library and the logic cell information, a delay variation value of the logic cell is calculated.
[Step S15] Timing analysis of the semiconductor integrated circuit is performed using the delay variation value of each logic cell calculated in Step S14.

本発明では、以上のステップにより、論理セルやその配置位置による電圧ドロップに起因して変動する動作電圧値ごとについて、遅延のばらつき値を考慮してタイミング解析を行うことにより、従来一律で与えていた遅延のばらつき値の範囲を狭めることができる。このため正確に半導体集積回路のタイミング解析を行うことができ、得られる結果は半導体集積回路の実機に近いものとすることができる。したがって、過剰な設計マージン保証を行う必要がなくなり、無駄の無い半導体集積回路の設計を行うことができるようになる。   In the present invention, according to the above steps, the timing analysis is performed in consideration of the variation value of the delay for each operating voltage value that varies due to the voltage drop due to the logic cell and the arrangement position thereof, so that it is given uniformly in the past. The range of variation values of delay can be narrowed. Therefore, the timing analysis of the semiconductor integrated circuit can be accurately performed, and the obtained result can be close to the actual device of the semiconductor integrated circuit. Therefore, it is not necessary to guarantee an excessive design margin, and it becomes possible to design a semiconductor integrated circuit without waste.

次に実施の形態について説明する。
本実施の形態では、1つのタイミング解析装置で構成され、論理セルの動作電圧値に依存して変化する遅延のばらつき値が考慮されて、タイミング解析が行われる。
Next, embodiments will be described.
In the present embodiment, the timing analysis is performed in consideration of a delay variation value that varies depending on the operating voltage value of the logic cell, which is configured by one timing analysis device.

図2は、実施の形態におけるタイミング解析装置のハードウェア構成を示す図である。
タイミング解析装置100は、CPU(Central Processing Unit)101によって装置全体が制御されている。CPU101には、バス106を介してRAM(Random Access Memory)102、ハードディスクドライブ(HDD:Hard Disk Drive)103、グラフィック処理装置104および入力インタフェース105が接続されている。
FIG. 2 is a diagram illustrating a hardware configuration of the timing analysis apparatus according to the embodiment.
The timing analysis apparatus 100 is controlled by a CPU (Central Processing Unit) 101 as a whole. A random access memory (RAM) 102, a hard disk drive (HDD) 103, a graphic processing device 104, and an input interface 105 are connected to the CPU 101 via a bus 106.

RAM102には、CPU101に実行させるOS(Operating System)プログラムやアプリケーションプログラムの少なくとも一部が一時的に格納される。また、RAM102には、CPU101による処理に必要な各種データが格納される。HDD103には、OSプログラムやアプリケーションプログラムが格納される。   The RAM 102 temporarily stores at least part of an OS (Operating System) program and application programs to be executed by the CPU 101. The RAM 102 stores various data necessary for processing by the CPU 101. The HDD 103 stores an OS program and application programs.

グラフィック処理装置104には、モニタ21が接続されている。グラフィック処理装置104は、CPU101からの命令に従って、画像をモニタ21の画面に表示させる。
入力インタフェース105には、キーボード22とマウス23とが接続されている。入力インタフェース105は、キーボード22やマウス23から送られてくる信号を、バス106を介してCPU101に送信する。
A monitor 21 is connected to the graphic processing device 104. The graphic processing device 104 displays an image on the screen of the monitor 21 in accordance with a command from the CPU 101.
A keyboard 22 and a mouse 23 are connected to the input interface 105. The input interface 105 transmits a signal sent from the keyboard 22 or the mouse 23 to the CPU 101 via the bus 106.

以上のようなハードウェア構成によって、本実施の形態の処理機能を実現することができる。
図3は、実施の形態におけるタイミング解析装置の機能を示すブロック図である。
With the hardware configuration as described above, the processing functions of the present embodiment can be realized.
FIG. 3 is a block diagram illustrating functions of the timing analysis apparatus according to the embodiment.

タイミング解析装置100は、レイアウトデータ記憶部110、電圧条件パラメータ記憶部120、セルライブラリ130、初期設定部140、論理セル情報算出部150、セルライブラリ生成部160、タイミングばらつき値算出部170およびタイミング解析部180から構成されている。   The timing analysis apparatus 100 includes a layout data storage unit 110, a voltage condition parameter storage unit 120, a cell library 130, an initial setting unit 140, a logic cell information calculation unit 150, a cell library generation unit 160, a timing variation value calculation unit 170, and a timing analysis. Part 180.

レイアウトデータ記憶部110には、レイアウトデータが格納されている。
電圧条件パラメータ記憶部120には、論理セルにかかる動作電圧値ごとの、論理セルのスルーレートに関するスルー情報と配線抵抗や配線容量の負荷情報とが格納されている。なお、これらの情報はテーブルもしくは関数として管理されて、格納される。
The layout data storage unit 110 stores layout data.
The voltage condition parameter storage unit 120 stores through information on the slew rate of the logic cell and load information on wiring resistance and wiring capacitance for each operating voltage value applied to the logic cell. These pieces of information are managed and stored as a table or a function.

セルライブラリ130には、論理セルの動作電圧値、動作電圧値に対応した遅延およびそのばらつき値が記載される。
初期設定部140は、レイアウト設計部140a、消費電力算出部140bおよび電源網解析部140cによって構成されており、解析対象となる半導体集積回路の初期設定が行われる。
The cell library 130 describes the operating voltage value of the logic cell, the delay corresponding to the operating voltage value, and its variation value.
The initial setting unit 140 includes a layout design unit 140a, a power consumption calculation unit 140b, and a power supply network analysis unit 140c, and performs initial setting of a semiconductor integrated circuit to be analyzed.

レイアウト設計部140aでは、レイアウトデータ記憶部110を参照して、レイアウト設計によって、半導体集積回路における論理セルなどの配置配線が行われる。
消費電力算出部140bは、レイアウト設計部140aで設計された半導体集積回路の消費電力を算出する。算出した消費電力が予め決めてある基準値を満たすか否かを判断する。
The layout design unit 140a refers to the layout data storage unit 110 and performs layout wiring such as logic cells in the semiconductor integrated circuit by layout design.
The power consumption calculation unit 140b calculates the power consumption of the semiconductor integrated circuit designed by the layout design unit 140a. It is determined whether or not the calculated power consumption satisfies a predetermined reference value.

電源網解析部140cは、レイアウト設計部140aで設計された半導体集積回路の電源網を解析し、電圧ドロップ値を算出する。算出した電圧ドロップ値が予め決めた基準値を満たすか否かを判断する。   The power supply network analysis unit 140c analyzes the power supply network of the semiconductor integrated circuit designed by the layout design unit 140a and calculates a voltage drop value. It is determined whether or not the calculated voltage drop value satisfies a predetermined reference value.

論理セル情報算出部150は、レイアウトデータ記憶部110を参照して、論理セルの配置位置および電圧範囲を算出する。
図4は、ネットリストおよび論理セル情報を示すリストの例である。なお、図4(A)のネットリストのリスト161aでは、セル“AAA”が“net1”および“net2”、リスト161bでは、セル“AAA”が“net3”および“net4”に接続されていることを示している。また、図4(B)の論理セル情報リストのリスト162a,162bは、“セル名、最小動作電圧値、最大動作電圧値、セルの接続情報”を示している。
The logic cell information calculation unit 150 refers to the layout data storage unit 110 and calculates the arrangement position and voltage range of the logic cell.
FIG. 4 is an example of a list showing a net list and logic cell information. 4A, the cell “AAA” is connected to “net1” and “net2”, and in the list 161b, the cell “AAA” is connected to “net3” and “net4”. Is shown. The list 162a and 162b of the logic cell information list in FIG. 4B indicates “cell name, minimum operating voltage value, maximum operating voltage value, cell connection information”.

論理セル情報算出部150が、例えば、図4(A)に示すネットリストから論理セルの配置位置および電圧範囲を算出すると、図4(B)に示す論理セル情報リストが得られる。   For example, when the logic cell information calculation unit 150 calculates the arrangement position and voltage range of the logic cell from the net list shown in FIG. 4A, the logic cell information list shown in FIG. 4B is obtained.

セルライブラリ生成部160は、電圧条件パラメータ記憶部120を参照して、論理セルの動作電圧値、動作電圧値ごとに対応した遅延およびその遅延のばらつき値を生成して、セルライブラリ130に格納させる。   The cell library generation unit 160 refers to the voltage condition parameter storage unit 120 to generate an operation voltage value of the logic cell, a delay corresponding to each operation voltage value, and a variation value of the delay, and store the generated delay value in the cell library 130. .

図5は、論理セルの動作電圧値に対する遅延のばらつき値を示したテーブル例である。
セルライブラリ130には、例えば、図5に示すように、各動作電圧値に対する遅延のばらつき値がテーブルとして管理、格納される。またセルライブラリ130では、テーブル以外に、例えば、平均値μ=f(VDD)、標準偏差σ=g(VDD)(f()は平均値の電圧値に対する関数、g()は標準偏差の電圧値に対する関数、VDDは動作電圧値)などの関数として管理、格納することも可能である。
FIG. 5 is an example table showing delay variation values with respect to operating voltage values of logic cells.
In the cell library 130, for example, as shown in FIG. 5, delay variation values for each operating voltage value are managed and stored as a table. In the cell library 130, in addition to the table, for example, the average value μ = f (VDD), the standard deviation σ = g (VDD) (f () is a function with respect to the voltage value of the average value, and g () is the voltage of the standard deviation. It is also possible to manage and store as a function such as a function for a value, VDD is an operating voltage value.

タイミングばらつき値算出部170は、レイアウトデータから論理セルを認識し、論理セル情報とセルライブラリ130とを参照して、論理セルの遅延のばらつき値を算出する。例えば、再び図5を用いると、電源網解析結果により、動作電圧値が1.1V以上の場合は、1.1V時のばらつき値(1σで0.07)を適用させる。   The timing variation value calculation unit 170 recognizes a logic cell from the layout data, refers to the logic cell information and the cell library 130, and calculates a variation value of the delay of the logic cell. For example, referring to FIG. 5 again, when the operating voltage value is 1.1 V or more according to the result of the power supply network analysis, the variation value at 1.1 V (0.07 at 1σ) is applied.

タイミング解析部180は、タイミングばらつき値算出部170が算出したばらつき値を参照して、半導体集積回路の信号パスの遅延の解析を行い、タイミングエラーがあるか否かを判断する。   The timing analysis unit 180 refers to the variation value calculated by the timing variation value calculation unit 170, analyzes the signal path delay of the semiconductor integrated circuit, and determines whether there is a timing error.

以上のようにして、タイミング解析装置100では、タイミングばらつき値算出部170によって生成された論理セルにかかる動作電圧値の信号パスの遅延のばらつき値が考慮されてタイミング解析部180にて解析が行われる。   As described above, in the timing analysis device 100, the timing analysis unit 180 performs analysis in consideration of the signal path delay variation value of the operating voltage value applied to the logic cell generated by the timing variation value calculation unit 170. Is called.

次に、このようなタイミング解析装置100を用いたタイミング解析について、具体的に解析フロー図を用いて説明する。
図6は、実施の形態におけるタイミング解析装置のフローチャート図である。
Next, timing analysis using such a timing analysis apparatus 100 will be specifically described with reference to an analysis flowchart.
FIG. 6 is a flowchart of the timing analysis apparatus according to the embodiment.

タイミング解析装置100によって行われる半導体集積回路のタイミング解析について、解析フロー図20を用いて以下に説明する。
[ステップS21] 初期設定部140のレイアウト設計部140aは、レイアウトデータ記憶部110を参照して、半導体集積回路を設計する。
Timing analysis of the semiconductor integrated circuit performed by the timing analysis apparatus 100 will be described below with reference to an analysis flowchart 20.
[Step S21] The layout design unit 140a of the initial setting unit 140 refers to the layout data storage unit 110 to design a semiconductor integrated circuit.

[ステップS22] 初期設定部140の消費電力算出部140bは、ステップS21で設計した半導体集積回路の各セルの消費電力を算出する。
[ステップS23] 初期設定部140の電源網解析部140cは、ステップS21で設計され、かつ、消費電力が基準値を満たす半導体集積回路の電源網解析を行って、動作電圧値を算出する。電源網解析部140cは、算出した動作電圧値が予め設定された基準値を満たすか否かを判断する。基準値を満たさない場合、ステップS21へ再び進められ、基準値を満たす場合、ステップS24へ進められる。
[Step S22] The power consumption calculation unit 140b of the initial setting unit 140 calculates the power consumption of each cell of the semiconductor integrated circuit designed in step S21.
[Step S23] The power supply network analysis unit 140c of the initial setting unit 140 performs a power supply network analysis of the semiconductor integrated circuit designed in step S21 and whose power consumption satisfies the reference value, and calculates an operating voltage value. The power supply network analysis unit 140c determines whether the calculated operating voltage value satisfies a preset reference value. If the reference value is not satisfied, the process proceeds to step S21 again. If the reference value is satisfied, the process proceeds to step S24.

[ステップS24] 論理セル情報算出部150は、レイアウトデータ記憶部110を参照して、論理セルの配置位置および電圧範囲を算出する。
[ステップS25] セルライブラリ生成部160は、電圧条件パラメータ記憶部120を参照して、論理セルの動作電圧値と、動作電圧値に対応した遅延と、遅延のばらつき値とを算出する。セルライブラリ生成部160は、算出した論理セルの動作電圧値と、動作電圧値に対応した遅延と、その遅延のばらつき値とを保持するセルライブラリ130を生成する。
[Step S24] The logic cell information calculation unit 150 refers to the layout data storage unit 110 to calculate the arrangement position and voltage range of the logic cell.
[Step S <b> 25] The cell library generation unit 160 refers to the voltage condition parameter storage unit 120 and calculates the operating voltage value of the logic cell, the delay corresponding to the operating voltage value, and the delay variation value. The cell library generation unit 160 generates a cell library 130 that holds the calculated operating voltage value of the logic cell, a delay corresponding to the operating voltage value, and a variation value of the delay.

[ステップS26] タイミングばらつき値算出部170は、論理セル情報とセルライブラリ130とを参照して、例えばモンテカルロ法を用いて、論理セルの遅延のばらつき値を算出する。   [Step S26] The timing variation value calculation unit 170 refers to the logic cell information and the cell library 130, and calculates the variation value of the delay of the logic cell using, for example, the Monte Carlo method.

[ステップS27] タイミング解析部180は、タイミングばらつき値算出部170で算出されたばらつき値を利用して、半導体集積回路の遅延の解析を行う。解析の結果、タイミングエラーがあればステップS21へ再び進められ、タイミングエラーが無ければ、設計した半導体集積回路が正常範囲で動作することが確認されて、タイミング解析が終了する。   [Step S27] The timing analysis unit 180 uses the variation value calculated by the timing variation value calculation unit 170 to analyze the delay of the semiconductor integrated circuit. If there is a timing error as a result of the analysis, the process proceeds to step S21 again. If there is no timing error, it is confirmed that the designed semiconductor integrated circuit operates in a normal range, and the timing analysis is completed.

以上のように、論理セルやその配置位置による電圧ドロップに起因して変動する動作電圧値ごとについて、遅延のばらつき値を考慮してタイミング解析を行うことにより、従来一律で与えていた遅延のばらつき値の範囲を狭めることができる。このため正確に半導体集積回路のタイミング解析を行うことができ、得られる結果は半導体集積回路の実機に近いものとすることができる。したがって、過剰な設計マージン保証を行う必要がなくなり、無駄の無い半導体集積回路の設計を行うことができるようになる。   As described above, for each operating voltage value that fluctuates due to a voltage drop due to a logic cell and its arrangement position, timing variation is considered in consideration of the delay variation value, so that the delay variation that was conventionally given uniformly can be obtained. The range of values can be narrowed. Therefore, the timing analysis of the semiconductor integrated circuit can be accurately performed, and the obtained result can be close to the actual device of the semiconductor integrated circuit. Therefore, it is not necessary to guarantee an excessive design margin, and it becomes possible to design a semiconductor integrated circuit without waste.

本発明のタイミング解析方法の概要を示した解析フロー概略図である。It is the analysis flow schematic which showed the outline | summary of the timing analysis method of this invention. 実施の形態におけるタイミング解析装置のハードウェア構成を示す図である。It is a figure which shows the hardware constitutions of the timing analysis apparatus in embodiment. 実施の形態におけるタイミング解析装置の機能を示すブロック図である。It is a block diagram which shows the function of the timing analysis apparatus in embodiment. ネットリストおよび論理セル情報を示すリストの例である。It is an example of the list | wrist which shows a net list and logic cell information. 論理セルの動作電圧値に対する遅延のばらつき値を示したテーブル例である。It is an example of a table showing delay variation values with respect to operating voltage values of logic cells. 実施の形態におけるタイミング解析装置のフローチャート図である。It is a flowchart figure of the timing analysis device in an embodiment. 本発明に至る過程で考えられるタイミング解析方法の解析フロー図である。It is an analysis flowchart of the timing analysis method considered in the process leading to the present invention. ばらつき値の動作電圧依存性を示したグラフである。It is the graph which showed the operating voltage dependence of the variation value.

符号の説明Explanation of symbols

100 タイミング解析装置
110 レイアウトデータ記憶部
120 電圧条件パラメータ記憶部
130 セルライブラリ
140 初期設定部
140a レイアウト設計部
140b 消費電力算出部
140c 電源網解析部
150 論理セル情報算出部
160 セルライブラリ生成部
170 タイミングばらつき値算出部
180 タイミング解析部
DESCRIPTION OF SYMBOLS 100 Timing analysis apparatus 110 Layout data storage part 120 Voltage condition parameter storage part 130 Cell library 140 Initial setting part 140a Layout design part 140b Power consumption calculation part 140c Power supply network analysis part 150 Logic cell information calculation part 160 Cell library generation part 170 Timing variation Value calculation unit 180 Timing analysis unit

Claims (7)

論理セルを含む半導体集積回路の信号パスの遅延を解析するタイミング解析方法において、
前記半導体集積回路のレイアウトデータから、前記論理セルの配置位置および電圧範囲に関する論理セル情報を算出する工程と、
前記論理セルにかかる動作電圧値ごとに、前記論理セルのスルーレートに関するスルー情報と前記論理セルの配線抵抗および配線容量に関する負荷情報とが記憶された電圧条件パラメータ記憶部を参照して、前記動作電圧値ごとの前記遅延および前記遅延のばらつき値を算出し、前記遅延および前記ばらつき値が格納されたセルライブラリを生成する工程と、
前記論理セル情報および前記セルライブラリを参照して、前記論理セルごとの前記ばらつき値を算出する工程と、
前記論理セルごとの前記ばらつき値を参照して、前記論理セルの前記遅延の解析を行う工程と、
を有することを特徴とするタイミング解析方法。
In a timing analysis method for analyzing a delay of a signal path of a semiconductor integrated circuit including a logic cell,
Calculating logic cell information relating to an arrangement position and a voltage range of the logic cell from layout data of the semiconductor integrated circuit;
For each operating voltage value applied to the logic cell, refer to the voltage condition parameter storage unit in which through information regarding the slew rate of the logic cell and load information regarding the wiring resistance and wiring capacitance of the logic cell are stored. Calculating the delay for each voltage value and the variation value of the delay, and generating a cell library storing the delay and the variation value;
Calculating the variation value for each logic cell with reference to the logic cell information and the cell library;
Analyzing the delay of the logic cell with reference to the variation value for each logic cell;
A timing analysis method characterized by comprising:
前記セルライブラリは、テーブルもしくは関数によって前記遅延および前記ばらつき値を管理することを特徴とする請求項1記載のタイミング解析方法。   The timing analysis method according to claim 1, wherein the cell library manages the delay and the variation value by a table or a function. 前記論理セル情報および前記セルライブラリを参照して、モンテカルロ法を用いて、前記論理セルごとの前記ばらつき値を算出することを特徴とする請求項1又は2記載のタイミング解析方法。   The timing analysis method according to claim 1, wherein the variation value for each logic cell is calculated using a Monte Carlo method with reference to the logic cell information and the cell library. 論理セルを含む半導体集積回路の信号パスの遅延を解析するタイミング解析装置において、
前記半導体集積回路のレイアウトデータを保持するレイアウトデータ記憶部と、
前記論理セルにかかる動作電圧値ごとの、前記論理セルのスルーレートに関するスルー情報と前記論理セルの配線抵抗および配線容量に関する負荷情報とが記憶された電圧条件パラメータ記憶部と、
前記動作電圧値ごとの前記遅延および前記遅延のばらつき値が格納されたセルライブラリと、
前記レイアウトデータ記憶部を参照して、前記論理セルの配置位置および電圧範囲に関する論理セル情報を算出する論理セル情報算出部と、
前記電圧条件パラメータ記憶部を参照して、前記セルライブラリを生成するセルライブラリ生成部と、
前記論理セル情報および前記セルライブラリを参照して、前記論理セルごとの前記ばらつき値を算出するタイミングばらつき値算出部と、
前記論理セルごとの前記ばらつき値を参照して、前記論理セルの前記遅延の解析を行うタイミング解析部と、
を有することを特徴とするタイミング解析装置。
In a timing analysis device that analyzes a delay of a signal path of a semiconductor integrated circuit including a logic cell,
A layout data storage unit for holding layout data of the semiconductor integrated circuit;
For each operating voltage value applied to the logic cell, a voltage condition parameter storage unit storing slew information relating to the slew rate of the logic cell and load information relating to wiring resistance and wiring capacitance of the logic cell;
A cell library in which the delay for each operating voltage value and a variation value of the delay are stored;
Referring to the layout data storage unit, a logic cell information calculation unit for calculating logic cell information related to the arrangement position and voltage range of the logic cell;
A cell library generation unit that generates the cell library with reference to the voltage condition parameter storage unit;
Referring to the logic cell information and the cell library, a timing variation value calculating unit for calculating the variation value for each logic cell;
A timing analysis unit for analyzing the delay of the logic cell with reference to the variation value for each logic cell;
A timing analysis apparatus comprising:
前記セルライブラリは、テーブルもしくは関数によって前記遅延および前記ばらつき値を管理することを特徴とする請求項4記載のタイミング解析装置。   The timing analysis apparatus according to claim 4, wherein the cell library manages the delay and the variation value by a table or a function. 前記論理セル情報および前記セルライブラリを参照して、モンテカルロ法を用いて、前記論理セルごとの前記ばらつき値を算出することを特徴とする請求項4又は5記載のタイミング解析装置。   6. The timing analysis apparatus according to claim 4, wherein the variation value for each logic cell is calculated using a Monte Carlo method with reference to the logic cell information and the cell library. 半導体集積回路の論理セルにかかる動作電圧値ごとに、前記論理セルのスルーレートに関するスルー情報と前記論理セルの配線抵抗および配線容量に関する負荷情報とが記憶された電圧条件パラメータ記憶部を参照して、前記動作電圧値ごとの前記遅延および前記遅延のばらつき値を算出し、前記遅延および前記ばらつき値が格納されたセルライブラリ。   For each operating voltage value applied to the logic cell of the semiconductor integrated circuit, refer to the voltage condition parameter storage unit in which the slew information regarding the slew rate of the logic cell and the load information regarding the wiring resistance and wiring capacitance of the logic cell are stored. A cell library in which the delay and the variation value of the delay are calculated for each operating voltage value and the delay and the variation value are stored.
JP2007067781A 2007-03-16 2007-03-16 Timing analysis method, timing analysis device, and cell library Withdrawn JP2008226199A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2007067781A JP2008226199A (en) 2007-03-16 2007-03-16 Timing analysis method, timing analysis device, and cell library

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2007067781A JP2008226199A (en) 2007-03-16 2007-03-16 Timing analysis method, timing analysis device, and cell library

Publications (1)

Publication Number Publication Date
JP2008226199A true JP2008226199A (en) 2008-09-25

Family

ID=39844674

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007067781A Withdrawn JP2008226199A (en) 2007-03-16 2007-03-16 Timing analysis method, timing analysis device, and cell library

Country Status (1)

Country Link
JP (1) JP2008226199A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8680875B2 (en) 2011-02-18 2014-03-25 Samsung Electronics Co., Ltd. System and method for analyzing timing of semiconductor chip

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8680875B2 (en) 2011-02-18 2014-03-25 Samsung Electronics Co., Ltd. System and method for analyzing timing of semiconductor chip

Similar Documents

Publication Publication Date Title
Gupta et al. Gate-length biasing for runtime-leakage control
US20070136705A1 (en) Timing analysis method and device
JP4946573B2 (en) Decoupling cell placement method and decoupling cell placement device
JP2009163655A (en) Method for manufacturing semiconductor device, manufacturing program for semiconductor device, and manufacturing system of semiconductor device
JP2007304957A (en) Timing analyzing method and timing analyzer
JP2010218252A (en) Cell library preparation device for analyzing statistical timing, statistical timing analyzer, method of preparing cell library for analyzing statistical timing, and statistical timing analyzing method
EP3239865A1 (en) Method for analyzing ir drop and electromigration of ic
US6356861B1 (en) Deriving statistical device models from worst-case files
US20100131249A1 (en) Method and apparatus for supporting verification of leakage current distribution
JP2009211655A (en) Semiconductor device design method, design program and design system
US9047434B2 (en) Clustering for processing of circuit design data
JP2008112383A (en) Semiconductor integrated circuit design method and design program
JP2010170180A (en) Circuit verification device and program
JP5561274B2 (en) Power supply design system, power supply design method, and power supply design program
JP2008226199A (en) Timing analysis method, timing analysis device, and cell library
US9953122B2 (en) Integrated circuit design method and associated non-transitory computer-readable medium
JP2010039575A (en) Delay variation analysis unit, and delay variation calculation method
JP5262435B2 (en) Circuit design apparatus and circuit design method
JP2010186320A (en) Device for designing semiconductor integrated circuit
JP2008020953A (en) Semiconductor integrated circuit design method, and semiconductor integrated circuit design device
US20060047492A1 (en) Circuit simulation methods and systems
JP5309538B2 (en) Semiconductor integrated circuit design method
JP2009252140A (en) Cell delay library and method of creating the same, and delay analysis method
JP2010073728A (en) Method and device for designing semiconductor integrated circuit layout
JP2011077426A (en) Method for manufacturing semiconductor device, and semiconductor device

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20080730

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20091211

A761 Written withdrawal of application

Free format text: JAPANESE INTERMEDIATE CODE: A761

Effective date: 20101201