JP2008041783A - Manufacturing method of semiconductor device - Google Patents

Manufacturing method of semiconductor device Download PDF

Info

Publication number
JP2008041783A
JP2008041783A JP2006211478A JP2006211478A JP2008041783A JP 2008041783 A JP2008041783 A JP 2008041783A JP 2006211478 A JP2006211478 A JP 2006211478A JP 2006211478 A JP2006211478 A JP 2006211478A JP 2008041783 A JP2008041783 A JP 2008041783A
Authority
JP
Japan
Prior art keywords
film
insulating film
wiring
forming
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2006211478A
Other languages
Japanese (ja)
Inventor
Teruhiro Kuwajima
照弘 桑島
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Electronics Corp
Original Assignee
NEC Electronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Electronics Corp filed Critical NEC Electronics Corp
Priority to JP2006211478A priority Critical patent/JP2008041783A/en
Publication of JP2008041783A publication Critical patent/JP2008041783A/en
Pending legal-status Critical Current

Links

Images

Landscapes

  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To improve interconnections in yield while keeping a wiring pattern of dual damascene structure good in shape. <P>SOLUTION: When a wiring groove is formed through a via-first method after a viahole is formed through a dual damascene method; an etch stopping film, an insulating film, and a via resist film are formed in this sequence (S102). Further, the insulating film is selectively etched using the via resist film as a mask to bore a viahole in the insulating film (S104). Thereafter, the via resist film is removed (S106). In succession, the etch stopping film is selectively etched using the insulating film as a mask to disclose lower wiring in the viahole (S108). Thereafter, the insulating film is selectively etched using the wiring resist film as a mask to form a wiring groove, and the wiring pattern of damascene structure is formed on the insulating film (S110). Thereafter, the wiring resist film is removed (S112). <P>COPYRIGHT: (C)2008,JPO&INPIT

Description

本発明は、半導体装置の製造方法に関する。   The present invention relates to a method for manufacturing a semiconductor device.

近年、半導体装置においては、その微細化および高速化に伴い、抵抗の低い銅(Cu)配線が用いられるようになっている。銅配線は、ダマシン法により形成される。ダマシン法では、まず下層配線上に層間絶縁膜を形成する。次いで、層間絶縁膜上に所定パターンを有するレジスト膜を形成し、レジスト膜をマスクとして層間絶縁膜を選択的にエッチングし、下層配線に到達するビアホールや配線溝等の凹部を形成する。その後、凹部内に銅を埋め込む。つづいて、凹部外部に露出した銅をCMP(Chemical Mechanical Polishing:化学機械研磨法)で除去する。この手順を繰り返すことにより、多層配線構造が形成される。   In recent years, with miniaturization and speeding up of semiconductor devices, copper (Cu) wiring having a low resistance has been used. The copper wiring is formed by a damascene method. In the damascene method, first, an interlayer insulating film is formed on a lower wiring. Next, a resist film having a predetermined pattern is formed on the interlayer insulating film, and the interlayer insulating film is selectively etched using the resist film as a mask to form recesses such as via holes and wiring grooves reaching the lower layer wiring. Thereafter, copper is embedded in the recess. Subsequently, the copper exposed to the outside of the recess is removed by CMP (Chemical Mechanical Polishing). By repeating this procedure, a multilayer wiring structure is formed.

ところで、ダマシン法には、ビアホールと配線溝とを同時に形成するデュアルダマシン法と、ビアホールと配線溝とを別々に形成するシングルダマシン法とがある。デュアルダマシン法の一つに、銅配線を形成する絶縁膜中にビアパターンを形成した後に配線パターンを形成するビアファースト法がある。   By the way, the damascene method includes a dual damascene method in which a via hole and a wiring groove are simultaneously formed, and a single damascene method in which a via hole and a wiring groove are separately formed. One of the dual damascene methods is a via first method in which a wiring pattern is formed after forming a via pattern in an insulating film for forming a copper wiring.

特許文献1(特開2004−186697号公報、図7D〜図7F)および特許文献2(特開平11−186391号公報)には、ビアファースト法で銅配線を形成する手順が記載されている。これらの文献では、以下の手順で銅配線が形成される。まず、ビア形成用のビアパターンを有するビア用レジスト膜をマスクとして、層間絶縁膜およびエッチング阻止膜を選択的にエッチング除去して下層配線に到達するビアホールを形成する。つづいて、ビア用レジスト膜を除去する。次いで、配線形成用の配線パターンを有する配線用レジスト膜をマスクとして、層間絶縁膜を選択的にエッチング除去して配線溝を形成し、デュアルダマシン構造の配線パターンを形成する。その後、配線用レジスト膜を除去し、配線パターン内に銅を埋め込み、パターン外部の銅を除去することにより、配線が形成される。   Patent Document 1 (Japanese Patent Laid-Open No. 2004-186697, FIGS. 7D to 7F) and Patent Document 2 (Japanese Patent Laid-Open No. 11-186391) describe a procedure for forming a copper wiring by the via first method. In these documents, copper wiring is formed by the following procedure. First, using a via resist film having a via pattern for forming vias as a mask, the interlayer insulating film and the etching stopper film are selectively removed by etching to form a via hole reaching the lower layer wiring. Subsequently, the via resist film is removed. Next, using a wiring resist film having a wiring pattern for wiring formation as a mask, the interlayer insulating film is selectively removed by etching to form a wiring groove, thereby forming a wiring pattern having a dual damascene structure. Thereafter, the wiring resist film is removed, copper is embedded in the wiring pattern, and the copper outside the pattern is removed to form wiring.

しかし、特許文献1や特許文献2に記載された上記の手順を用いた場合、以下のような問題があった。層間絶縁膜にビアホールや配線溝を形成するために用いるレジスト膜は、たとえば酸素プラズマアッシング等のアッシングにより除去される。下層配線の銅膜表面が露出した状態で、酸素プラズマアッシングを行うと、銅膜表面が酸化されて配線間の接触抵抗が増大してしまう。そのため、エッチング阻止膜を除去して下層配線表面が露出した状態でレジスト膜を除去するようにすると、レジスト膜除去時のアッシングにより、下層配線が酸化等されてビアの歩留まりが低下し、半導体装置の信頼性が低下してしまう。   However, when the above procedures described in Patent Document 1 and Patent Document 2 are used, there are the following problems. The resist film used for forming the via hole and the wiring groove in the interlayer insulating film is removed by ashing such as oxygen plasma ashing. If oxygen plasma ashing is performed with the copper film surface of the lower layer wiring exposed, the copper film surface is oxidized and the contact resistance between the wirings increases. Therefore, if the etching stopper film is removed and the resist film is removed in a state where the lower layer wiring surface is exposed, ashing at the time of removing the resist film oxidizes the lower layer wiring and lowers the yield of vias. The reliability will be reduced.

特許文献3(特開2000−352827号公報)には、シングルダマシン法で銅配線を形成する手順が記載されている。当該文献には、上記のような酸素プラズマアッシングによる銅配線への影響を防ぐため、レジスト膜をマスクとして、エッチング阻止膜上に形成された層間絶縁膜にビアホールを形成し、レジスト膜を除去した後にエッチング阻止膜を除去する手順が記載されている。   Patent Document 3 (Japanese Patent Laid-Open No. 2000-352827) describes a procedure for forming a copper wiring by a single damascene method. In this document, in order to prevent the influence of the above-described oxygen plasma ashing on the copper wiring, via holes are formed in the interlayer insulating film formed on the etching stopper film using the resist film as a mask, and the resist film is removed. A procedure for removing the etching stopper film is described later.

下層配線を保護する観点からは、デュアルダマシン法で銅配線を形成する場合も、レジスト膜を除去するまで、下層配線をエッチング阻止膜等で保護することが好ましい。特許文献1には、下層配線直上に形成されたエッチング阻止膜を残したままビアホールおよび配線溝を形成し、レジスト膜を除去した後に、エッチング阻止膜を除去する手順が記載されている(図2A〜図2E)。このようにすれば、下層配線が保護された状態で、レジスト膜のアッシングが行われるので、上記のような酸素プラズマアッシングによる銅配線への影響を防ぐことができる。
特開2004−186697号公報 特開平11−186391号公報 特開2000−352827号公報
From the viewpoint of protecting the lower layer wiring, even when the copper wiring is formed by the dual damascene method, it is preferable to protect the lower layer wiring with an etching stopper film or the like until the resist film is removed. Patent Document 1 describes a procedure for forming a via hole and a wiring groove while leaving an etching stopper film formed immediately above a lower wiring, removing a resist film, and then removing the etching stopper film (FIG. 2A). -FIG. 2E). In this way, ashing of the resist film is performed in a state where the lower layer wiring is protected, so that the influence on the copper wiring due to the oxygen plasma ashing as described above can be prevented.
JP 2004-186697 A Japanese Patent Laid-Open No. 11-186391 JP 2000-352827 A

しかし、特許文献1(図2A〜図2E)に記載の手順とすると、エッチング阻止膜をエッチングで除去する際に、層間絶縁膜等もエッチングされてしまい、配線パターンの輪郭やCD(クリティカルディメンジョン)に影響が及ぶという別の問題が生じる。   However, according to the procedure described in Patent Document 1 (FIGS. 2A to 2E), when the etching stopper film is removed by etching, the interlayer insulating film and the like are also etched, resulting in the outline of the wiring pattern and the CD (critical dimension). Another problem arises that affects

本発明によれば、
半導体基板上に形成された下層導電膜上に、エッチング阻止膜を形成する工程と、
前記エッチング阻止膜上に、絶縁膜を形成する工程と、
前記絶縁膜上に、前記下層導電膜に接続するビア形成用のビアパターンを有するビア用レジスト膜を形成する工程と、
前記ビア用レジスト膜をマスクとして、前記絶縁膜を選択的にエッチングして前記絶縁膜にビアホールを形成する工程と、
前記ビア用レジスト膜を除去する工程と、
前記絶縁膜をマスクとして、前記エッチング阻止膜を選択的にエッチングして、前記ビアホール内で前記下層導電膜を露出させる工程と、
前記絶縁膜上に、前記ビアホールに接続する配線溝形成用の配線パターンを有する配線用レジスト膜を形成する工程と、
前記配線用レジスト膜をマスクとして、前記絶縁膜を選択的にエッチングして配線溝を形成し、当該絶縁膜にデュアルダマシン構造の配線パターンを形成する工程と、
前記配線用レジスト膜を除去する工程と、
を含む半導体装置の製造方法が提供される。
即ち、デュアルダマシン法により下層導電体と上層導電体とを接続するビアのためのビアホールをそれら間の絶縁層にこの絶縁層上に選択的に形成されたレジスト層をマスクにして設ける際に、このビアホールを途中まで形成した後に前記レジスト層を除去し、その後に、ビアホールを完成して前記下層導電体の表面の一部を露出し、さらにその後に配線溝を形成することを特徴としている。
According to the present invention,
Forming an etching stopper film on the lower conductive film formed on the semiconductor substrate;
Forming an insulating film on the etching stop film;
Forming a via resist film having a via pattern for forming vias connected to the lower conductive film on the insulating film;
Using the via resist film as a mask, selectively etching the insulating film to form a via hole in the insulating film;
Removing the via resist film;
Selectively etching the etch stop film using the insulating film as a mask to expose the lower conductive film in the via hole;
Forming a wiring resist film having a wiring pattern for forming a wiring groove connected to the via hole on the insulating film;
Using the wiring resist film as a mask, selectively etching the insulating film to form a wiring groove, and forming a dual damascene structure wiring pattern on the insulating film;
Removing the resist film for wiring;
A method for manufacturing a semiconductor device is provided.
That is, when providing via holes for vias for connecting the lower conductor and the upper conductor by the dual damascene method in the insulating layer between them using a resist layer selectively formed on this insulating layer as a mask, The resist layer is removed after the via hole is formed partway, and then the via hole is completed to expose a part of the surface of the lower conductor, and then a wiring groove is formed.

このような構成とすると、デュアルダマシン法のビアファースト法で配線を形成する際に、ビア用レジスト膜を除去する際には、下層導電膜がエッチング阻止膜で覆われているため、下層導電膜表面を保護することができる。一方、配線パターンを形成した後に、エッチング阻止膜を除去する必要がないため、配線パターンの形状を良好に保つことができる。ここで、下層導電膜は、配線またはビアとすることができる。また、下層導電膜は銅を主成分として構成することができる。下層導電膜が銅を主成分として構成されている場合に、ビア用レジスト膜を除去する際に下層導電膜表面が酸化等されるのを防ぐことができ、配線の歩留まりを向上させることができる。他の例において、下層導電膜は、タングステンやポリシリコン等により構成することもできる。このような場合でも、ビア用レジスト膜除去時に下層導電膜表面が露出していると、プラズマアッシングのプラズマの影響により下層導電膜がダメージを受けるおそれがある。本発明によれば、このようなダメージを防ぎ、配線の歩留まりを向上させることができる。   With such a configuration, when the wiring is formed by the dual damascene via first method, the lower conductive film is covered with the etching stopper film when the via resist film is removed. The surface can be protected. On the other hand, since it is not necessary to remove the etching stopper film after the wiring pattern is formed, the shape of the wiring pattern can be kept good. Here, the lower conductive film can be a wiring or a via. The lower conductive film can be composed mainly of copper. When the lower conductive film is composed mainly of copper, the surface of the lower conductive film can be prevented from being oxidized when the via resist film is removed, and the yield of wiring can be improved. . In another example, the lower conductive film can be made of tungsten, polysilicon, or the like. Even in such a case, if the surface of the lower conductive film is exposed at the time of removing the via resist film, the lower conductive film may be damaged by the influence of plasma of plasma ashing. According to the present invention, such damage can be prevented and the yield of wiring can be improved.

また、本発明において、ビア用レジスト膜を除去する工程において、下層導電膜表面がエッチング阻止膜で覆われていればよく、ビアホールを形成する工程において、エッチング阻止膜に達するように絶縁膜をエッチングしてもよく、さらにエッチング阻止膜の上部部分もエッチングしてもよく、また絶縁膜の一部を下層導電膜表面に残すように絶縁膜をエッチングしてもよい。ビアホールを形成する工程において、絶縁膜の一部が下層導電膜表面に残っている場合、下層導電膜を露出させる工程において、絶縁膜も選択的にエッチングすることができ、その後にエッチング阻止膜をエッチングすることができる。   In the present invention, in the step of removing the via resist film, it is only necessary that the surface of the lower conductive film is covered with the etching stopper film. In the step of forming the via hole, the insulating film is etched so as to reach the etching stopper film. Further, the upper portion of the etching stopper film may be etched, or the insulating film may be etched so that a part of the insulating film remains on the surface of the lower conductive film. In the step of forming the via hole, if a part of the insulating film remains on the surface of the lower conductive film, the insulating film can be selectively etched in the step of exposing the lower conductive film, and then the etching stopper film is formed. It can be etched.

本発明によれば、デュアルダマシン構造をビアファースト法で形成する際に、配線パターンの形状を良好に保ちつつ、配線の歩留まりを向上させ、半導体装置の信頼性を向上することができる。   According to the present invention, when the dual damascene structure is formed by the via first method, the wiring yield can be improved and the reliability of the semiconductor device can be improved while keeping the shape of the wiring pattern good.

以下、本発明の実施の形態について、図面を用いて説明する。なお、すべての図面において、同様な構成要素には同様の符号を付し、適宜説明を省略する。   Hereinafter, embodiments of the present invention will be described with reference to the drawings. In all the drawings, the same reference numerals are given to the same components, and the description will be omitted as appropriate.

図1は、本実施の形態における半導体装置の製造手順を示すフローチャートである。   FIG. 1 is a flowchart showing a manufacturing procedure of a semiconductor device according to the present embodiment.

本実施の形態において、まず、半導体基板上に下層配線(下層導電膜)を形成する(S100)。本実施の形態において、下層配線は、銅を主成分として構成することができる。つづいて、下層配線上にエッチング阻止膜および層間絶縁膜(絶縁膜)を形成する(S102)。次いで、ビア用レジスト膜をマスクとして、層間絶縁膜にビアホールを形成する(S104)。このとき、下層配線上には、エッチング阻止膜が残った状態としておく。その後、ビア用レジスト膜をアッシングにより除去する(S106)。つづいて、層間絶縁膜をマスクとして、エッチング阻止膜をエッチングにより除去する(S108)。これにより、下層配線が露出する。次いで、層間絶縁膜上に配線用レジスト膜を形成し、当該配線用レジスト膜をマスクとして、層間絶縁膜に配線溝を形成する(S110)。これにより、デュアルダマシン構造の配線パターンが形成される。その後、配線用レジスト膜を除去する(S112)。この後、配線パターン内を導電材料で埋め込み、上層配線およびビアを形成する(S114)。   In the present embodiment, first, a lower layer wiring (lower layer conductive film) is formed on a semiconductor substrate (S100). In the present embodiment, the lower layer wiring can be composed mainly of copper. Subsequently, an etching stopper film and an interlayer insulating film (insulating film) are formed on the lower wiring (S102). Next, via holes are formed in the interlayer insulating film using the via resist film as a mask (S104). At this time, the etching stopper film remains on the lower wiring. Thereafter, the via resist film is removed by ashing (S106). Subsequently, the etching stopper film is removed by etching using the interlayer insulating film as a mask (S108). Thereby, the lower layer wiring is exposed. Next, a wiring resist film is formed on the interlayer insulating film, and a wiring groove is formed in the interlayer insulating film using the wiring resist film as a mask (S110). Thereby, a wiring pattern having a dual damascene structure is formed. Thereafter, the wiring resist film is removed (S112). Thereafter, the wiring pattern is filled with a conductive material to form upper layer wiring and vias (S114).

このようにすれば、デュアルダマシン法のビアファースト法で配線を形成する際に、ビア用レジスト膜を除去する際には、下層配線がエッチング阻止膜で覆われているため、下層配線表面を保護することができる。とくに、下層配線が銅を主成分として構成されている場合、ビア用レジスト膜を除去する際のプラズマアッシングの影響等により下層配線表面が酸化されて、配線特性が劣化するという問題が生じる。しかし、本実施の形態の方法によれば、下層配線の酸化を防ぐことができる。一方、配線パターンを形成した後に、エッチング阻止膜を除去する必要がないため、配線パターンの形状を良好に保つことができる。   In this way, when forming the wiring by the dual damascene via first method, when removing the via resist film, the lower layer wiring is covered with the etching stopper film, so that the lower layer wiring surface is protected. can do. In particular, when the lower layer wiring is composed mainly of copper, there arises a problem that the surface of the lower layer wiring is oxidized due to the influence of plasma ashing when the via resist film is removed and the wiring characteristics are deteriorated. However, according to the method of the present embodiment, oxidation of the lower layer wiring can be prevented. On the other hand, since it is not necessary to remove the etching stopper film after the wiring pattern is formed, the shape of the wiring pattern can be kept good.

図2〜図4は、本実施の形態における半導体装置の製造手順を示す工程断面図である。
まず、半導体基板(不図示)上に下層絶縁膜102および保護絶縁膜103を形成し、保護絶縁膜103および下層絶縁膜102内に下層配線104を形成する。半導体基板は、たとえばシリコン基板である。下層絶縁膜102は、後述する層間絶縁膜108と同様の低誘電率膜により構成することができる。保護絶縁膜103は、後述する保護絶縁膜110と同様の材料により構成することができる。下層配線104は、後述する上層配線126と同様、銅膜およびその周囲に形成されたバリアメタル膜により構成することができる。つづいて、保護絶縁膜103上全面にエッチング阻止膜106を形成する。エッチング阻止膜106は、たとえばSiCNにより構成することができる。
2 to 4 are process cross-sectional views illustrating the manufacturing procedure of the semiconductor device according to the present embodiment.
First, a lower insulating film 102 and a protective insulating film 103 are formed on a semiconductor substrate (not shown), and a lower wiring 104 is formed in the protective insulating film 103 and the lower insulating film 102. The semiconductor substrate is, for example, a silicon substrate. The lower insulating film 102 can be composed of a low dielectric constant film similar to an interlayer insulating film 108 described later. The protective insulating film 103 can be formed using a material similar to that of the protective insulating film 110 described later. The lower layer wiring 104 can be formed of a copper film and a barrier metal film formed around the copper film, similarly to an upper layer wiring 126 described later. Subsequently, an etching stopper film 106 is formed on the entire surface of the protective insulating film 103. The etching stop film 106 can be made of, for example, SiCN.

次いで、エッチング阻止膜106上全面に、層間絶縁膜108を形成する。層間絶縁膜108は、たとえば比誘電率が3.3以下、より好ましくは2.9以下の低誘電率膜により構成することができる。低誘電率膜は、たとえば、SiOC(SiOCH)、メチルシルセスキオキサン(MSQ)、水素化メチルシルセスキオキサン(MHSQ)、有機ポリシロキサンまたはこれらの膜をポーラス化したもの等の炭素含有材料により構成することができる。   Next, an interlayer insulating film 108 is formed on the entire surface of the etching stopper film 106. The interlayer insulating film 108 can be formed of a low dielectric constant film having a relative dielectric constant of 3.3 or less, more preferably 2.9 or less, for example. The low dielectric constant film is, for example, a carbon-containing material such as SiOC (SiOCH), methylsilsesquioxane (MSQ), hydrogenated methylsilsesquioxane (MHSQ), organic polysiloxane, or a porous version of these films. Can be configured.

その後、層間絶縁膜108上全面に保護絶縁膜110を形成する。保護絶縁膜110は、たとえばSiO等により構成することができる。低誘電率膜は、一般的に、従来配線間絶縁膜として従来用いられてきたSiO膜に比べて薬液耐性および機械的強度が弱い。このため、層間絶縁膜として低誘電率膜材料を用いた場合、CMP工程で層間絶縁膜も削られてしまい、配線抵抗の増大およびばらつきが発生するという課題がある。保護絶縁膜110は、CMP工程において層間絶縁膜を保護する目的で設けられる。そのため、保護絶縁膜110は、その下層の層間絶縁膜108を構成する材料よりも機械的強度の高い材料により構成される。以上により、図2(a)に示した構造が得られる。 Thereafter, a protective insulating film 110 is formed on the entire surface of the interlayer insulating film 108. The protective insulating film 110 can be composed of, for example, SiO 2 . The low dielectric constant film is generally weaker in chemical resistance and mechanical strength than the SiO 2 film conventionally used as an insulating film between wirings. For this reason, when a low dielectric constant film material is used as the interlayer insulating film, the interlayer insulating film is also removed in the CMP process, which causes an increase in wiring resistance and variations. The protective insulating film 110 is provided for the purpose of protecting the interlayer insulating film in the CMP process. Therefore, the protective insulating film 110 is made of a material having a higher mechanical strength than the material constituting the underlying interlayer insulating film 108. Thus, the structure shown in FIG. 2A is obtained.

つづいて、保護絶縁膜110上に、下層配線104に接続するビア形成用のビアパターンを有するビア用レジスト膜112を形成する(図2(b))。ここでは図示していないが、保護絶縁膜110とビア用レジスト膜112との間に、反射防止膜を形成してもよい。次いで、ビア用レジスト膜112をマスクとして、保護絶縁膜110および層間絶縁膜108を順次選択的にドライエッチングして、保護絶縁膜110および層間絶縁膜108にビアホール116を形成する(図2(c))。ここで、エッチング阻止膜106はエッチングしない。   Subsequently, a via resist film 112 having a via pattern for forming vias connected to the lower wiring 104 is formed on the protective insulating film 110 (FIG. 2B). Although not shown here, an antireflection film may be formed between the protective insulating film 110 and the via resist film 112. Next, using the via resist film 112 as a mask, the protective insulating film 110 and the interlayer insulating film 108 are selectively and selectively dry etched to form a via hole 116 in the protective insulating film 110 and the interlayer insulating film 108 (FIG. 2C). )). Here, the etching stopper film 106 is not etched.

その後、ビア用レジスト膜112を酸素プラズマアッシング等のアッシングにより除去する(図3(a))。反射防止膜を形成している場合は、反射防止膜も除去される。また、アッシングの後、剥離液等を用いてビアホール116内を洗浄することができる。   Thereafter, the via resist film 112 is removed by ashing such as oxygen plasma ashing (FIG. 3A). When the antireflection film is formed, the antireflection film is also removed. Further, after the ashing, the inside of the via hole 116 can be cleaned using a stripping solution or the like.

つづいて、保護絶縁膜110および層間絶縁膜108をハードマスクとして、エッチング阻止膜106をドライエッチングして、ビアホール116内で下層配線104表面を露出させる(図3(b))。このとき、エッチング用ガスとしては、たとえばフルオロカーボン系ガスを用いることができる。これにより、ビアホール116が下層配線104に到達した構成となる。   Subsequently, using the protective insulating film 110 and the interlayer insulating film 108 as a hard mask, the etching stopper film 106 is dry-etched to expose the surface of the lower layer wiring 104 in the via hole 116 (FIG. 3B). At this time, for example, a fluorocarbon-based gas can be used as the etching gas. As a result, the via hole 116 reaches the lower layer wiring 104.

本実施の形態において、ビア用レジスト膜112を除去する際に、下層配線104表面がエッチング阻止膜106で覆われて保護されていればよい。図2(c)を参照して説明したビアホール116を形成する工程において、エッチング阻止膜106に達するように層間絶縁膜108をエッチングしてもよく、さらにエッチング阻止膜106の上部部分もエッチングしてもよく、また層間絶縁膜108の一部が残った状態で層間絶縁膜108をエッチングしてもよい。層間絶縁膜108が残っている場合、ビア用レジスト膜112を除去した後に、下層配線104を露出させる工程において、残った層間絶縁膜108も選択的にエッチングすることができ、その後にエッチング阻止膜106をエッチングすることができる。   In this embodiment, when the via resist film 112 is removed, the surface of the lower layer wiring 104 only needs to be covered and protected by the etching stopper film 106. In the step of forming the via hole 116 described with reference to FIG. 2C, the interlayer insulating film 108 may be etched so as to reach the etching stopper film 106, and the upper portion of the etching stopper film 106 is also etched. Alternatively, the interlayer insulating film 108 may be etched with a part of the interlayer insulating film 108 remaining. When the interlayer insulating film 108 remains, the remaining interlayer insulating film 108 can be selectively etched in the step of exposing the lower layer wiring 104 after the removal of the via resist film 112, and then the etching stopper film. 106 can be etched.

次いで、保護絶縁膜110上に第1の配線用レジスト膜118を形成し、ビアホール116内も第1の配線用レジスト膜118で埋め込む。第1の配線用レジスト膜118は、たとえばノボラック型フェノール樹脂等の有機ポリマーにより構成することができる。また、第1の配線用レジスト膜118は、反射防止機能を有する膜とすることができる。図示していないが、ビアホール116を埋め込むレジスト材料が反射防止機能を有しない場合は、保護絶縁膜110上に反射防止機能を有するレジスト膜を別途設けてもよい。その後、第1の配線用レジスト膜118上に、ビアホール116に接続する配線溝形成用の配線パターンを有する第2の配線用レジスト膜120を形成する(図3(c))。   Next, a first wiring resist film 118 is formed on the protective insulating film 110, and the via hole 116 is also filled with the first wiring resist film 118. The first wiring resist film 118 can be made of, for example, an organic polymer such as a novolac type phenol resin. The first wiring resist film 118 can be a film having an antireflection function. Although not shown, when the resist material that fills the via hole 116 does not have an antireflection function, a resist film having an antireflection function may be separately provided over the protective insulating film 110. Thereafter, a second wiring resist film 120 having a wiring pattern for forming a wiring groove connected to the via hole 116 is formed on the first wiring resist film 118 (FIG. 3C).

つづいて、第2の配線用レジスト膜120をマスクとして、第1の配線用レジスト膜118、保護絶縁膜110、および層間絶縁膜108を選択的にエッチングして配線溝124を形成する(図4(a))。層間絶縁膜108が低誘電率膜により構成されている場合、配線溝124底部に低誘電率膜が露出される。これにより、デュアルダマシン構造の配線パターンが形成される。なお、配線溝124を形成する際に、第1の配線用レジスト膜118がビアホール116内に充填されているので、下層配線104表面が保護される。   Subsequently, using the second wiring resist film 120 as a mask, the first wiring resist film 118, the protective insulating film 110, and the interlayer insulating film 108 are selectively etched to form a wiring groove 124 (FIG. 4). (A)). When the interlayer insulating film 108 is composed of a low dielectric constant film, the low dielectric constant film is exposed at the bottom of the wiring trench 124. Thereby, a wiring pattern having a dual damascene structure is formed. Note that when the wiring trench 124 is formed, the surface of the lower layer wiring 104 is protected because the first wiring resist film 118 is filled in the via hole 116.

次いで、第2の配線用レジスト膜120および第1の配線用レジスト膜118を酸素プラズマアッシング等のアッシングにより除去する(図4(b))。このときも、第1の配線用レジスト膜118がビアホール116内に充填されているので、ビアホール116内に充填された第1の配線用レジスト膜118が除去されるまで、下層配線104表面が保護された状態となる。これにより、下層配線104表面の酸化を抑制することができる。また、アッシングの後、剥離液等を用いて配線溝124およびビアホール116内を洗浄することができる。   Next, the second wiring resist film 120 and the first wiring resist film 118 are removed by ashing such as oxygen plasma ashing (FIG. 4B). Also at this time, since the first wiring resist film 118 is filled in the via hole 116, the surface of the lower wiring 104 is protected until the first wiring resist film 118 filled in the via hole 116 is removed. It will be in the state. Thereby, the oxidation of the surface of the lower layer wiring 104 can be suppressed. Further, after the ashing, the inside of the wiring groove 124 and the via hole 116 can be cleaned using a stripping solution or the like.

その後、配線溝124およびビアホール116により構成されるデュアルダマシン構造の配線パターン内に、配線材料を埋め込む。具体的には、たとえばスパッタ法または原子層気相成長(ALD:Atomic Layer Deposition)法により、配線パターン内にバリアメタル膜を形成する。バリアメタル膜は、たとえば、Ta/TaN、Ti、TiN、TiSiN、Ta、TaN、またはTaSiN等とすることができる。つづいて、配線パターン内を銅膜で埋め込む。銅膜は、たとえばめっき法により形成することができる。また、銅膜は、たとえばAg等銅以外の金属を含む構成とすることもできる。次いで、配線パターン外部に露出した銅膜およびバリアメタル膜をCMP法により除去する。これにより、上層配線およびビア126が形成される(図4(c))。さらに、その後、保護絶縁膜110上に第3のエッチング阻止膜111を形成する(図4(d))。第3のエッチング阻止膜111は、エッチング阻止膜106と同様の材料により構成することができる。以上の工程を繰り返すことにより、多層配線構造を有する半導体装置100が形成される。   Thereafter, a wiring material is embedded in a wiring pattern having a dual damascene structure constituted by the wiring trench 124 and the via hole 116. Specifically, for example, a barrier metal film is formed in the wiring pattern by sputtering or atomic layer deposition (ALD). The barrier metal film can be, for example, Ta / TaN, Ti, TiN, TiSiN, Ta, TaN, or TaSiN. Subsequently, the wiring pattern is filled with a copper film. The copper film can be formed by, for example, a plating method. Further, the copper film may be configured to include a metal other than copper, such as Ag. Next, the copper film and the barrier metal film exposed outside the wiring pattern are removed by a CMP method. Thereby, the upper layer wiring and the via 126 are formed (FIG. 4C). Further, after that, a third etching stop film 111 is formed on the protective insulating film 110 (FIG. 4D). The third etching stopper film 111 can be made of the same material as the etching stopper film 106. By repeating the above steps, the semiconductor device 100 having a multilayer wiring structure is formed.

図5は、半導体装置100の製造手順の他の例を示す工程断面図である。
以上では、層間絶縁膜108を単層として示したが、層間絶縁膜108は、種々の絶縁膜の積層構造とすることができる。たとえば、層間絶縁膜108は、低誘電率膜、エッチング阻止膜、および低誘電率膜との積層膜とすることもできる。図5はこのような構成を示す図である。
FIG. 5 is a process cross-sectional view illustrating another example of the manufacturing procedure of the semiconductor device 100.
In the above, the interlayer insulating film 108 is shown as a single layer, but the interlayer insulating film 108 can have a laminated structure of various insulating films. For example, the interlayer insulating film 108 can be a laminated film of a low dielectric constant film, an etching stopper film, and a low dielectric constant film. FIG. 5 is a diagram showing such a configuration.

エッチング阻止膜106上には、第1の層間絶縁膜108a、第2のエッチング阻止膜128、および第2の層間絶縁膜108bがこの順で積層される。第1の層間絶縁膜108aおよび第2の層間絶縁膜108bは、層間絶縁膜108と同様の材料により構成することができる。第2のエッチング阻止膜128は、配線溝124を形成する際のエッチング速度を制御する阻止膜として機能する。第2のエッチング阻止膜128を構成する材料はとくに限定されないが、第2の層間絶縁膜108bに対してエッチング選択比を有する材料により構成することができる。たとえば、第2のエッチング阻止膜128は、エッチング阻止膜106と同様の材料により構成することができる。   On the etching stopper film 106, a first interlayer insulating film 108a, a second etching stopper film 128, and a second interlayer insulating film 108b are stacked in this order. The first interlayer insulating film 108a and the second interlayer insulating film 108b can be formed of a material similar to that of the interlayer insulating film 108. The second etching stop film 128 functions as a stop film for controlling the etching rate when forming the wiring groove 124. The material forming the second etching stopper film 128 is not particularly limited, but may be formed of a material having an etching selectivity with respect to the second interlayer insulating film 108b. For example, the second etching stop film 128 can be made of the same material as the etching stop film 106.

このような構成は、図2から図3を参照して説明したのと同様の手順で形成することができる。エッチング阻止膜106上に第1の層間絶縁膜108a、第2のエッチング阻止膜128、および第2の層間絶縁膜108bをこの順で成膜した後、ビア用レジスト膜112をマスクとして、保護絶縁膜110、第2の層間絶縁膜108b、第2のエッチング阻止膜128、および第1の層間絶縁膜108aを選択的にエッチングしてビアホール116を形成する。ここで、エッチング阻止膜106はエッチングされない。つづいて、ビア用レジスト膜112をアッシングにより除去した後、ビアホール116内のエッチング阻止膜106を除去して下層配線104表面を露出させる。次いで、第1の配線用レジスト膜118および第2の配線用レジスト膜120を形成する(図5(a))。   Such a configuration can be formed by the same procedure as described with reference to FIGS. After the first interlayer insulating film 108a, the second etching blocking film 128, and the second interlayer insulating film 108b are formed in this order on the etching stop film 106, protective insulation is performed using the via resist film 112 as a mask. The via hole 116 is formed by selectively etching the film 110, the second interlayer insulating film 108b, the second etching stop film 128, and the first interlayer insulating film 108a. Here, the etching stopper film 106 is not etched. Subsequently, after the via resist film 112 is removed by ashing, the etching stopper film 106 in the via hole 116 is removed to expose the surface of the lower layer wiring 104. Next, a first wiring resist film 118 and a second wiring resist film 120 are formed (FIG. 5A).

この後、第2の配線用レジスト膜120をマスクとして、第1の配線用レジスト膜118、保護絶縁膜110、第2の層間絶縁膜108bおよび第2のエッチング阻止膜128を選択的にエッチングして配線溝124を形成する。このとき、第2のエッチング阻止膜128を突き抜けてエッチングが行われ、配線溝124底部において、第1の層間絶縁膜108a表面が露出する(図5(b))。   Thereafter, using the second wiring resist film 120 as a mask, the first wiring resist film 118, the protective insulating film 110, the second interlayer insulating film 108b, and the second etching stop film 128 are selectively etched. Thus, the wiring trench 124 is formed. At this time, etching is performed through the second etching stopper film 128, and the surface of the first interlayer insulating film 108a is exposed at the bottom of the wiring trench 124 (FIG. 5B).

この後、第2の配線用レジスト膜120および第1の配線用レジスト膜118を酸素プラズマアッシング等のアッシングにより除去し、配線溝124内に上層配線およびビア126を形成する(図5(c))。   Thereafter, the second wiring resist film 120 and the first wiring resist film 118 are removed by ashing such as oxygen plasma ashing to form upper layer wirings and vias 126 in the wiring grooves 124 (FIG. 5C). ).

本実施の形態において、デュアルダマシン法のビアファースト法で配線を形成する際に、ビア用レジスト膜112を除去する際には、下層配線104がエッチング阻止膜106で覆われているため、下層配線104表面を保護することができる。一方、配線パターンを形成した後に、エッチング阻止膜106を除去する必要がないため、配線パターンの形状を良好に保つことができる。とくに、本実施の形態で説明したように、配線溝124底部に層間絶縁膜108(または第1の層間絶縁膜108a)表面が露出した状態でエッチング阻止膜106を除去する場合、エッチング阻止膜106をエッチングする際に層間絶縁膜108(または第1の層間絶縁膜108a)もエッチングされて配線パターン形状が変形するおそれがある。しかし、本実施の形態においては、配線溝124を形成した後にエッチング阻止膜106を除去する必要がないため、配線パターンを良好に保つことができる。   In this embodiment, when the wiring is formed by the dual damascene via first method, the lower layer wiring 104 is covered with the etching stopper film 106 when the via resist film 112 is removed. 104 surface can be protected. On the other hand, since it is not necessary to remove the etching stopper film 106 after forming the wiring pattern, the shape of the wiring pattern can be kept good. In particular, as described in this embodiment, when the etching stopper film 106 is removed with the surface of the interlayer insulating film 108 (or the first interlayer insulating film 108a) exposed at the bottom of the wiring trench 124, the etching stopper film 106 is removed. When etching is performed, the interlayer insulating film 108 (or the first interlayer insulating film 108a) may also be etched, and the wiring pattern shape may be deformed. However, in this embodiment, it is not necessary to remove the etching stopper film 106 after the wiring trench 124 is formed, so that the wiring pattern can be kept good.

以上、本発明を実施の形態および実施例に基づいて説明した。この実施の形態および実施例はあくまで例示であり、種々の変形例が可能なこと、またそうした変形例も本発明の範囲にあることは当業者に理解されるところである。   The present invention has been described based on the embodiments and examples. It is to be understood by those skilled in the art that the embodiments and examples are merely examples, and various modifications are possible and that such modifications are within the scope of the present invention.

以上の実施の形態において、下層配線104が銅を主成分として構成された例を示したが、下層配線104は、タングステンやポリシリコン等により構成することもできる。このような場合でも、ビア用レジスト膜112除去時に下層配線104表面が露出していると、プラズマアッシングのプラズマの影響により下層配線104がダメージを受けるおそれがある。本発明によれば、このようなダメージを防ぎ、配線の歩留まりを向上させることができる。   In the above embodiment, the example in which the lower layer wiring 104 is composed mainly of copper has been described. However, the lower layer wiring 104 can also be composed of tungsten, polysilicon, or the like. Even in such a case, if the surface of the lower layer wiring 104 is exposed when the via resist film 112 is removed, the lower layer wiring 104 may be damaged by the influence of plasma of plasma ashing. According to the present invention, such damage can be prevented and the yield of wiring can be improved.

さらに、以上の実施の形態において、下層配線104を例として示したが、本発明は、ビア上にデュアルダマシン構造の配線を形成する場合に適用することもできる。すなわち、下層配線104がビアである場合に適用することもできる。   Furthermore, although the lower layer wiring 104 is shown as an example in the above embodiment, the present invention can also be applied to the case where a dual damascene structure wiring is formed on a via. That is, the present invention can be applied when the lower layer wiring 104 is a via.

さらに、以上の実施の形態において、下層絶縁膜102および層間絶縁膜108(または第2の層間絶縁膜108b)上にそれぞれ保護絶縁膜103および保護絶縁膜110が形成された構成を示した。しかし、下層絶縁膜102や層間絶縁膜108がCMP耐性を有する材料により構成されている場合、半導体装置100は、保護絶縁膜103や保護絶縁膜110を含まない構成とすることもできる。   Further, in the above embodiment, the structure in which the protective insulating film 103 and the protective insulating film 110 are formed over the lower insulating film 102 and the interlayer insulating film 108 (or the second interlayer insulating film 108b), respectively, is shown. However, in the case where the lower insulating film 102 and the interlayer insulating film 108 are made of a material having CMP resistance, the semiconductor device 100 can be configured not to include the protective insulating film 103 and the protective insulating film 110.

本発明の実施の形態における半導体装置の製造手順を示すフローチャートである。It is a flowchart which shows the manufacture procedure of the semiconductor device in embodiment of this invention. 本発明の実施の形態における半導体装置の製造手順を示す工程断面図である。It is process sectional drawing which shows the manufacturing procedure of the semiconductor device in embodiment of this invention. 本発明の実施の形態における半導体装置の製造手順を示す工程断面図である。It is process sectional drawing which shows the manufacturing procedure of the semiconductor device in embodiment of this invention. 本発明の実施の形態における半導体装置の製造手順を示す工程断面図である。It is process sectional drawing which shows the manufacturing procedure of the semiconductor device in embodiment of this invention. 本発明の実施の形態における半導体装置の製造手順の他の例を示す工程断面図である。It is process sectional drawing which shows the other example of the manufacturing procedure of the semiconductor device in embodiment of this invention.

符号の説明Explanation of symbols

100 半導体装置
102 下層絶縁膜
103 保護絶縁膜
104 下層配線
106 エッチング阻止膜
108 層間絶縁膜
108a 第1の層間絶縁膜
108b 第2の層間絶縁膜
110 保護絶縁膜
111 第3のエッチング阻止膜
112 ビア用レジスト膜
116 ビアホール
118 第1の配線用レジスト膜
120 第2の配線用レジスト膜
124 配線溝
126 上層配線およびビア
128 第2のエッチング阻止膜128
DESCRIPTION OF SYMBOLS 100 Semiconductor device 102 Lower layer insulating film 103 Protective insulating film 104 Lower layer wiring 106 Etching blocking film 108 Interlayer insulating film 108a First interlayer insulating film 108b Second interlayer insulating film 110 Protective insulating film 111 Third etching blocking film 112 For via Resist film 116 Via hole 118 First wiring resist film 120 Second wiring resist film 124 Wiring groove 126 Upper wiring and via 128 Second etching blocking film 128

Claims (8)

半導体基板上に形成された下層導電膜上に、エッチング阻止膜を形成する工程と、
前記エッチング阻止膜上に、絶縁膜を形成する工程と、
前記絶縁膜上に、前記下層導電膜に接続するビア形成用のビアパターンを有するビア用レジスト膜を形成する工程と、
前記ビア用レジスト膜をマスクとして、前記絶縁膜を選択的にエッチングして前記絶縁膜にビアホールを形成する工程と、
前記ビア用レジスト膜を除去する工程と、
前記絶縁膜をマスクとして、前記エッチング阻止膜を選択的にエッチングして、前記ビアホール内で前記下層導電膜を露出させる工程と、
前記絶縁膜上に、前記ビアホールに接続する配線溝形成用の配線パターンを有する配線用レジスト膜を形成する工程と、
前記配線用レジスト膜をマスクとして、前記絶縁膜を選択的にエッチングして配線溝を形成し、当該絶縁膜にデュアルダマシン構造の配線パターンを形成する工程と、
前記配線用レジスト膜を除去する工程と、
を含む半導体装置の製造方法。
Forming an etching stopper film on the lower conductive film formed on the semiconductor substrate;
Forming an insulating film on the etching stop film;
Forming a via resist film having a via pattern for forming vias connected to the lower conductive film on the insulating film;
Using the via resist film as a mask, selectively etching the insulating film to form a via hole in the insulating film;
Removing the via resist film;
Selectively etching the etch stop film using the insulating film as a mask to expose the lower conductive film in the via hole;
Forming a wiring resist film having a wiring pattern for forming a wiring groove connected to the via hole on the insulating film;
Using the wiring resist film as a mask, selectively etching the insulating film to form a wiring groove, and forming a dual damascene structure wiring pattern on the insulating film;
Removing the resist film for wiring;
A method of manufacturing a semiconductor device including:
請求項1に記載の半導体装置の製造方法において、
前記ビア用レジスト膜を除去する工程において、アッシングにより前記ビア用レジスト膜を除去する半導体装置の製造方法。
In the manufacturing method of the semiconductor device according to claim 1,
A method of manufacturing a semiconductor device, wherein, in the step of removing the via resist film, the via resist film is removed by ashing.
請求項1または2に記載の半導体装置の製造方法において、
前記配線用レジスト膜を形成する工程において、前記ビアホール内部をレジスト材料で埋め込む半導体装置の製造方法。
In the manufacturing method of the semiconductor device according to claim 1 or 2,
A method of manufacturing a semiconductor device in which the via hole is filled with a resist material in the step of forming the wiring resist film.
請求項1から3いずれかに記載の半導体装置の製造方法において、
前記絶縁膜を形成する工程は、低誘電率膜を形成する工程を含み、
前記配線パターンを形成する工程において、前記配線溝底部に前記低誘電率膜を露出させる半導体装置の製造方法。
In the manufacturing method of the semiconductor device in any one of Claim 1 to 3,
The step of forming the insulating film includes the step of forming a low dielectric constant film,
A method of manufacturing a semiconductor device, wherein, in the step of forming the wiring pattern, the low dielectric constant film is exposed at a bottom of the wiring groove.
請求項1から3いずれかに記載の半導体装置の製造方法において、
前記絶縁膜を形成する工程は、第1の絶縁膜を形成する工程と、当該第1の絶縁膜上に第2のエッチング阻止膜を形成する工程と、当該第2のエッチング阻止膜上に第2の絶縁膜を形成する工程と、を含み、
前記配線パターンを形成する工程において、前記配線用レジスト膜をマスクとして、前記第2の絶縁膜および前記第2のエッチング阻止膜を選択的にエッチングして、前記配線溝底部に前記第1の絶縁膜を露出させる半導体装置の製造方法。
In the manufacturing method of the semiconductor device in any one of Claim 1 to 3,
The step of forming the insulating film includes a step of forming a first insulating film, a step of forming a second etching stopper film on the first insulating film, and a step of forming a second etching stopper film on the second etching stopper film. Forming a second insulating film,
In the step of forming the wiring pattern, the second insulating film and the second etching stop film are selectively etched using the wiring resist film as a mask to form the first insulating film at the bottom of the wiring groove. A method of manufacturing a semiconductor device in which a film is exposed.
下層導電体と上層導電体とを接続するビアのためのビアホールを前記下層導電体および上層導電体間の絶縁層にこの絶縁層上に選択的に形成されたレジスト層をマスクにして設ける工程を有する半導体装置の製造法において、
前記ビアホールはデュアルダマシン法により形成されるものであって、前記ビアホールを途中まで形成した後に前記レジスト層を除去し、その後に、前記ビアホールを完成して前記下層導電体の表面の一部を露出し、さらにその後に配線溝を形成する半導体装置の製造方法。
Providing a via hole for a via connecting the lower conductor and the upper conductor in the insulating layer between the lower conductor and the upper conductor using a resist layer selectively formed on the insulating layer as a mask; In a method for manufacturing a semiconductor device having
The via hole is formed by a dual damascene method, and after the via hole is formed partway, the resist layer is removed, and then the via hole is completed to expose a part of the surface of the lower conductor. And a method for manufacturing a semiconductor device, wherein a wiring trench is further formed thereafter.
請求項6に記載の半導体装置の製造方法において、
前記絶縁層は、前記下層導電体を覆うエッチング阻止膜とその上の絶縁膜とを有し、前記エッチング阻止膜が前記レジスト層を除去した後に選択的に除去されて前記ビアホールが完成される半導体装置の製造方法。
In the manufacturing method of the semiconductor device according to claim 6,
The insulating layer has an etching stopper film covering the lower conductor and an insulating film thereover, and the etching stopper film is selectively removed after removing the resist layer to complete the via hole Device manufacturing method.
請求項6に記載の半導体装置の製造方法において、
前記絶縁層は、前記下層導電体を覆う第1のエッチング阻止膜、この阻止膜上を覆う第1の絶縁膜、この絶縁膜上を覆う第2のエッチング阻止膜、およびこの阻止膜上を覆う第2の絶縁膜を有し、前記第1のエッチング阻止膜が前記レジスト層を除去した後に選択的に除去され、前記配線溝は、前記第2の絶縁膜および第2のエッチング阻止膜を貫通して前記第1の絶縁膜の途中で終端している半導体装置の製造方法。
In the manufacturing method of the semiconductor device according to claim 6,
The insulating layer covers a first etching blocking film covering the lower conductor, a first insulating film covering the blocking film, a second etching blocking film covering the insulating film, and the blocking film. A second insulating film, wherein the first etching stopper film is selectively removed after removing the resist layer, and the wiring trench penetrates the second insulating film and the second etching stopper film. A method of manufacturing a semiconductor device terminated in the middle of the first insulating film.
JP2006211478A 2006-08-02 2006-08-02 Manufacturing method of semiconductor device Pending JP2008041783A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2006211478A JP2008041783A (en) 2006-08-02 2006-08-02 Manufacturing method of semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2006211478A JP2008041783A (en) 2006-08-02 2006-08-02 Manufacturing method of semiconductor device

Publications (1)

Publication Number Publication Date
JP2008041783A true JP2008041783A (en) 2008-02-21

Family

ID=39176493

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006211478A Pending JP2008041783A (en) 2006-08-02 2006-08-02 Manufacturing method of semiconductor device

Country Status (1)

Country Link
JP (1) JP2008041783A (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190035734A (en) 2016-07-06 2019-04-03 고쿠리츠켄큐카이하츠호진 상교기쥬츠 소고켄큐쇼 Semiconductor memory element, other element and manufacturing method thereof
DE102012111574B4 (en) 2012-06-19 2022-04-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming a dual damascene conductive contact structure and manufacturing method for a semiconductor device

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004119872A (en) * 2002-09-27 2004-04-15 Sanyo Electric Co Ltd Manufacturing method of semiconductor device
JP2004186697A (en) * 2002-12-05 2004-07-02 Texas Instruments Inc Forming method of single corrugated via or trench cavity, and forming method of double corrugated via cavity
US20040241983A1 (en) * 2003-05-30 2004-12-02 Hynix Semiconductor Inc. Method for manufacturing metal line of semiconductor device
JP2004356521A (en) * 2003-05-30 2004-12-16 Nec Electronics Corp Semiconductor device and its manufacturing method
JP2004363447A (en) * 2003-06-06 2004-12-24 Semiconductor Leading Edge Technologies Inc Semiconductor device and method of manufacturing the same

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004119872A (en) * 2002-09-27 2004-04-15 Sanyo Electric Co Ltd Manufacturing method of semiconductor device
JP2004186697A (en) * 2002-12-05 2004-07-02 Texas Instruments Inc Forming method of single corrugated via or trench cavity, and forming method of double corrugated via cavity
US20040241983A1 (en) * 2003-05-30 2004-12-02 Hynix Semiconductor Inc. Method for manufacturing metal line of semiconductor device
JP2004356521A (en) * 2003-05-30 2004-12-16 Nec Electronics Corp Semiconductor device and its manufacturing method
JP2004363447A (en) * 2003-06-06 2004-12-24 Semiconductor Leading Edge Technologies Inc Semiconductor device and method of manufacturing the same

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102012111574B4 (en) 2012-06-19 2022-04-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming a dual damascene conductive contact structure and manufacturing method for a semiconductor device
KR20190035734A (en) 2016-07-06 2019-04-03 고쿠리츠켄큐카이하츠호진 상교기쥬츠 소고켄큐쇼 Semiconductor memory element, other element and manufacturing method thereof

Similar Documents

Publication Publication Date Title
US6268283B1 (en) Method for forming dual damascene structure
US8164196B2 (en) Semiconductor device and method for manufacturing the same
JP2008294335A (en) Manufacturing method of semiconductor device
US7838415B2 (en) Method of fabricating dual damascene structure
JP2004064046A (en) Semiconductor device and its manufacturing method
JP2009004665A (en) Manufacturing method for semiconductor device
JP5487469B2 (en) Manufacturing method of semiconductor device
JP2006019480A (en) Method for manufacturing semiconductor apparatus
US6372635B1 (en) Method for making a slot via filled dual damascene low k interconnect structure without middle stop layer
JPWO2007078011A1 (en) Multilayer wiring manufacturing method and multilayer wiring structure
US7553757B2 (en) Semiconductor device and method of manufacturing the same
JP2009038061A (en) Semiconductor wafer and manufacturing method of semiconductor device
US7217663B2 (en) Via hole and trench structures and fabrication methods thereof and dual damascene structures and fabrication methods thereof
US6372631B1 (en) Method of making a via filled dual damascene structure without middle stop layer
JP2007059434A (en) Method of manufacturing semiconductor device
US7618887B2 (en) Semiconductor device with a metal line and method of forming the same
JP2009141334A (en) Semiconductor device
JP5047504B2 (en) Method for manufacturing dual damascene wiring of semiconductor device using via capping protective film
KR100739975B1 (en) Method of manufacturing a semiconductor device
US6429116B1 (en) Method of fabricating a slot dual damascene structure without middle stop layer
JP2006179515A (en) Method for manufacturing semiconductor element and etching method
JP2001176965A (en) Semiconductor device and method of fabrication
JP2008021862A (en) Semiconductor device, and its manufacturing method
JP2008041783A (en) Manufacturing method of semiconductor device
JP4525534B2 (en) Manufacturing method of semiconductor device

Legal Events

Date Code Title Description
A621 Written request for application examination

Effective date: 20090518

Free format text: JAPANESE INTERMEDIATE CODE: A621

A131 Notification of reasons for refusal

Effective date: 20110301

Free format text: JAPANESE INTERMEDIATE CODE: A131

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110303

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20110913