JP2007036197A - Constitutional member of semiconductor manufacturing apparatus and semiconductor manufacturing apparatus - Google Patents

Constitutional member of semiconductor manufacturing apparatus and semiconductor manufacturing apparatus Download PDF

Info

Publication number
JP2007036197A
JP2007036197A JP2006148592A JP2006148592A JP2007036197A JP 2007036197 A JP2007036197 A JP 2007036197A JP 2006148592 A JP2006148592 A JP 2006148592A JP 2006148592 A JP2006148592 A JP 2006148592A JP 2007036197 A JP2007036197 A JP 2007036197A
Authority
JP
Japan
Prior art keywords
gas
semiconductor manufacturing
processing
manufacturing apparatus
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2006148592A
Other languages
Japanese (ja)
Inventor
Akitake Tamura
明威 田村
Kazuya Dobashi
和也 土橋
Teruyuki Hayashi
輝幸 林
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2006148592A priority Critical patent/JP2007036197A/en
Publication of JP2007036197A publication Critical patent/JP2007036197A/en
Pending legal-status Critical Current

Links

Images

Abstract

<P>PROBLEM TO BE SOLVED: To improve durability of a constitutional member constituting a semiconductor manufacturing apparatus or corrosion resistance against a corrosive gas by forming a deposited film on the surface of the constitutional member. <P>SOLUTION: A material gas and a reaction gas are alternately supplied to a surface, contacting the processing gas, of a constituent member selected from among a processing container, a part to be provided in the processing container, piping for supplying the processing gas to the processing container, a gas supply apparatus connected to the piping, and an exhaust pipe, and the gas is deposited. In this way, a deposit film containing any one of aluminum, hafnium, zirconium and yttrium is formed on the surface contacting the processing gas. <P>COPYRIGHT: (C)2007,JPO&INPIT

Description

本発明は、半導体製造装置を構成する構成部材の表面に堆積膜を形成する技術に関する。   The present invention relates to a technique for forming a deposited film on the surface of a constituent member constituting a semiconductor manufacturing apparatus.

半導体デバイスやLCD基板の半導体製造プロセスに用いられる半導体製造装置、例えば成膜処理装置や、酸化処理装置、エッチング処理装置等は、例えば半導体デバイスを製造するための半導体ウエハW(以下「ウエハW」という)に対して処理ガスにより成膜処理等の所定の処理を行う処理容器と、この処理容器に処理ガス供給管を介して処理ガスを供給するための処理ガス供給源と、前記処理容器を排気管を介して排気するための排気手段と、を備えている。   A semiconductor manufacturing apparatus used in a semiconductor manufacturing process of a semiconductor device or an LCD substrate, for example, a film forming processing apparatus, an oxidation processing apparatus, an etching processing apparatus, etc. A processing container for performing a predetermined process such as a film forming process using a processing gas, a processing gas supply source for supplying a processing gas to the processing container via a processing gas supply pipe, and the processing container. And an exhaust means for exhausting through the exhaust pipe.

前記処理容器や、処理ガス供給管、排気管等の構成部材は、通常ステンレスの電解研磨品やアルミニウム等の金属により構成されている。また処理容器の内部にも金属製の構成部材が含まれている。これら半導体製造装置を構成する金属製の構成部材に対しては、例えば腐食性ガスを用いた場合の耐食性を向上させるために、腐食性ガスと接触する領域の表面、つまり処理ガス供給管や排気管の内面や、処理容器の内壁、処理容器の内部の構成部材の表面に所定の表面処理が施される場合がある。   The constituent members such as the processing vessel, the processing gas supply pipe, and the exhaust pipe are usually made of stainless steel electrolytic polished product or metal such as aluminum. Moreover, the metal structural member is contained also in the inside of a processing container. For metal components constituting these semiconductor manufacturing apparatuses, for example, in order to improve the corrosion resistance when corrosive gas is used, the surface of the region in contact with the corrosive gas, that is, the processing gas supply pipe and the exhaust A predetermined surface treatment may be applied to the inner surface of the tube, the inner wall of the processing container, or the surface of the constituent members inside the processing container.

前記表面処理としては、フッ化被膜形成処理や、オゾンパッシベーション処理(被膜形成処理)、SiOコーティング処理、セラミック溶射膜形成処理、陽極酸化処理、CVD(Chemical Vapor Deposition)処理等の様々な手法が用いられているが、従来では、このような表面処理が行われた構成部材を個別に購入した後、半導体製造装置を組み立てているので、前記構成部材が高コストになり、半導体製造装置トータルの製造コストが増大してしまうという問題がある。 As the surface treatment, there are various methods such as a fluoride film forming process, an ozone passivation process (film forming process), a SiO 2 coating process, a ceramic sprayed film forming process, an anodizing process, and a CVD (Chemical Vapor Deposition) process. However, in the past, since the semiconductor manufacturing apparatus is assembled after individually purchasing the components that have been subjected to such surface treatment, the components become expensive and the total cost of the semiconductor manufacturing apparatus is increased. There is a problem that the manufacturing cost increases.

さらに各表面処理方法では、次のような問題がある。即ち前記フッ化被膜形成処理では、表面処理が施された配管を装置の組み立て時に曲げ施工を行おうとすると、曲げた領域の不動態膜(表面処理膜)が破壊されて剥離してしまい、メタルコンタミネーションやパーティクル発生の要因になってしまう。酸化被膜形成処理や陽極酸化処理では、十分な厚さの酸化膜の形成が困難であり、耐食性に劣る。SiOコーティング処理では、処理対象である配管の内径が小さい場合には処理が不可能であり、またフッ素雰囲気には適さない。セラミック溶射膜形成処理は、被膜がポーラス構造であり、表面が粗いため、処理中に膜剥がれが発生し、パーティクル発生の要因となる。CVD(Chemical Vapor Deposition)処理では、緻密で良好な膜が成膜できるものの、高温になるため成膜対象が限られ、アルミニウム製の構成部材には適用しにくい。 Further, each surface treatment method has the following problems. In other words, in the above-mentioned fluoride film forming treatment, if bending is performed at the time of assembling the apparatus on the surface-treated pipe, the passivated film (surface treatment film) in the bent region is broken and peeled off. It becomes a factor of contamination and particle generation. In the oxide film forming process or the anodic oxidation process, it is difficult to form a sufficiently thick oxide film, and the corrosion resistance is poor. In the SiO 2 coating process, when the inner diameter of the pipe to be processed is small, the process is impossible and it is not suitable for a fluorine atmosphere. In the ceramic sprayed film forming process, the coating film has a porous structure and the surface is rough, so that film peeling occurs during the process, which causes generation of particles. In a CVD (Chemical Vapor Deposition) process, a dense and good film can be formed, but since the temperature is high, the object of film formation is limited, and it is difficult to apply to aluminum components.

またアルミニウム製の処理容器(成膜チャンバ)は例えばイットリア(Y)やアルミナ(Al)を溶射した、耐食性の大きな溶射膜により表面処理が行われる場合があるが、処理ガスの腐食性が強かったり、プラズマ処理を行う場合であってプラズマに晒される時間が長いと、前記溶射膜はポーラス構造であるため、処理によっては短時間で局所的に膜の剥離が発生してしまい、再溶射を行なわなければならない場合もある。 In addition, an aluminum processing container (film formation chamber) may be subjected to surface treatment with a sprayed film having high corrosion resistance, for example, sprayed with yttria (Y 2 O 3 ) or alumina (Al 2 O 3 ). If the corrosiveness of the material is strong or the plasma treatment is performed and the time of exposure to plasma is long, the sprayed film has a porous structure. In some cases, re-spraying must be performed.

ところで特許文献1には、処理ガスを導入する処理ガス導入管部、及び排気系に通じる排気管部を備えた熱処理装置において、処理炉の炉内環境に晒される金属製部材の接ガス面にクロム酸化物被膜をコーティングしたり、配管の接ガス面にフッ素樹脂被膜をコーティングする技術が記載されている。しかしながら既述のように、クロム酸化物被膜は十分な耐食性を確保するための厚さに形成することが困難であり、またフッ素樹脂被膜は、配管を曲げようとすると、被膜が剥離しやすく、メタルコンタミネーションやパーティクル発生の要因になってしまう。   By the way, in patent document 1, in the heat processing apparatus provided with the processing gas introduction pipe part which introduces processing gas, and the exhaust pipe part which leads to an exhaust system, it is on the gas contact surface of the metal member exposed to the furnace environment of a processing furnace. Techniques for coating a chromium oxide film or coating a fluororesin film on the gas contact surface of a pipe are described. However, as described above, it is difficult to form the chromium oxide film to a thickness for ensuring sufficient corrosion resistance, and the fluororesin film tends to peel off when the pipe is bent, It becomes a factor of metal contamination and particle generation.

また特許文献2には、CVD法にて表面処理を行なう技術が示唆されている。しかしながらCVD法では400℃〜500℃以上の高温に加熱することが必要であり、アルミニウムより構成された構成部材ではアルミニウムの溶解が起こってしまう。またステンレス製の配管に対しては、通常テープヒータを配管の外面に巻回することにより加熱を行っているが、このような手法では400℃〜500℃以上の高温に加熱することが困難であって、CVD法による表面処理は実現できないのが実情である。   Patent Document 2 suggests a technique for performing surface treatment by a CVD method. However, in the CVD method, it is necessary to heat to a high temperature of 400 ° C. to 500 ° C. or higher, and aluminum is melted in a component made of aluminum. Also, stainless steel pipes are usually heated by winding a tape heater around the outer surface of the pipe, but with such a technique, it is difficult to heat to a high temperature of 400 ° C. to 500 ° C. or higher. In fact, the surface treatment by the CVD method cannot be realized.

特開2002−222807号公報JP 2002-222807 A 特開2000−290785号公報JP 2000-290785 A

本発明は、このような事情の下になされたものであり、その目的は、半導体製造装置を構成する構成部材の基材の表面に堆積膜を形成することにより、当該構成部材の耐久性を向上させる技術を提供することにある。   The present invention has been made under such circumstances, and an object thereof is to form a deposited film on the surface of the base material of the constituent member constituting the semiconductor manufacturing apparatus, thereby improving the durability of the constituent member. It is to provide a technology for improvement.

このため本発明は、半導体製造装置に用いられる構成部材であって、
前記構成部材の基材を、アルミニウム、ハフニウム、ジルコニウム、イットリウムからなる群から選択された元素を含む第1の原料ガスの雰囲気に置いて、当該基材の表面に、第1の原料ガスを吸着させ、次いで当該雰囲気を第1の原料ガスと反応する第2の原料ガスの雰囲気に切り替えることにより、前記元素の化合物である化合物層を形成し、こうして基材が置かれる雰囲気を第1の原料ガスの雰囲気と第2の原料ガスの雰囲気との間で、交互に多数回切り替えることにより、前記基材の表面に前記元素を含む化合物層の積層体である堆積膜を形成してなることを特徴とする。前記基材としては、例えばアルミニウム又はステンレスが用いられる。
For this reason, this invention is a structural member used for a semiconductor manufacturing apparatus,
The base material of the component member is placed in a first source gas atmosphere containing an element selected from the group consisting of aluminum, hafnium, zirconium, and yttrium, and the first source gas is adsorbed on the surface of the base material. Then, the atmosphere is switched to the atmosphere of the second source gas that reacts with the first source gas to form a compound layer that is a compound of the element, and thus the atmosphere in which the substrate is placed is changed to the first source material. By alternately switching between the gas atmosphere and the second source gas atmosphere a number of times, a deposited film that is a stack of compound layers containing the element is formed on the surface of the base material. Features. As the base material, for example, aluminum or stainless steel is used.

また前記構成部材は、処理ガスをガス供給路を介して処理容器内に供給することにより処理容器内の基板に対して処理を行い、処理ガスが腐食性ガスであるか、または基板処理後に処理容器内に腐食性ガスであるクリーニングガスを供給して処理容器内をクリーニングする半導体製造装置に用いられる構成部材であり、具体的には、処理容器、処理容器内に設けられる部品、腐食性ガスを処理容器に供給するための配管、この配管に接続されるガス供給機器、または排気管から選択されるものである。また前記構成部材は、プラズマ処理工程を含む半導体製造装置の構成部材であってもよい。   In addition, the component performs processing on the substrate in the processing container by supplying the processing gas into the processing container via the gas supply path, and the processing gas is a corrosive gas or is processed after the substrate processing. A component used in a semiconductor manufacturing apparatus that supplies a cleaning gas, which is a corrosive gas, into the container to clean the inside of the processing container. Specifically, the processing container, parts provided in the processing container, corrosive gas Is selected from a pipe for supplying the gas to the processing container, a gas supply device connected to the pipe, or an exhaust pipe. Further, the constituent member may be a constituent member of a semiconductor manufacturing apparatus including a plasma processing step.

さらに前記構成部材の基材と堆積膜との間には溶射膜が形成されてもよく、前記溶射膜としては、ホウ素、マグネシウム、アルミニウム、ケイ素、ガリウム、クロム、イットリウム、ジルコニウム、ゲルマニウム、タンタル、ネオジムのいずれかを含むものが形成される。さらにまた前記構成部材は、基材にアルマイト処理を施し、その上に堆積膜が形成されているものであってもよい。   Further, a sprayed film may be formed between the base material of the component member and the deposited film, and as the sprayed film, boron, magnesium, aluminum, silicon, gallium, chromium, yttrium, zirconium, germanium, tantalum, Those containing any of neodymium are formed. Furthermore, the constituent member may be a member in which a base film is subjected to alumite treatment and a deposited film is formed thereon.

また本発明は、処理ガスをガス供給路を介して処理容器内に供給することにより処理容器内の基板に対して処理を行い、処理ガスが腐食性ガスであるか、または基板処理後に処理容器内に腐食性ガスであるクリーニングガスを供給して処理容器内をクリーニングする半導体製造装置であって、既述のように堆積膜が形成された構成部材を備える半導体製造装置であることを特徴とする。   The present invention also provides a process for processing a substrate in a processing container by supplying the processing gas into the processing container via a gas supply path, and the processing gas is a corrosive gas or the processing container after the substrate processing. A semiconductor manufacturing apparatus that supplies a cleaning gas, which is a corrosive gas, to clean the inside of a processing container, and is a semiconductor manufacturing apparatus that includes a constituent member on which a deposited film is formed as described above. To do.

さらに本発明は、プラズマ処理工程を含む半導体製造装置であって、既述のように堆積膜が形成された構成部材を備えたことを特徴とする。   Furthermore, the present invention is a semiconductor manufacturing apparatus including a plasma processing step, and includes a constituent member on which a deposited film is formed as described above.

本発明は、半導体製造装置の構成部材の基材の表面に、当該基材が置かれる雰囲気を、堆積しようとする膜の原料ガスを含むガス雰囲気と酸素原子を含むガス雰囲気とに交互に多数回切り替えることにより、極めて薄い膜を多層に積層して堆積膜を形成する手法によって、アルミニウム、ハフニウム、ジルコニウム、イットリウムからなる群から選択された元素を含む緻密な堆積膜を形成しているので、当該構成部材の耐久性を向上させることができる。   In the present invention, the atmosphere in which the base material is placed on the surface of the base material of the constituent member of the semiconductor manufacturing apparatus is alternately changed into a gas atmosphere containing the source gas of the film to be deposited and a gas atmosphere containing oxygen atoms. By switching the number of times, a dense deposited film containing an element selected from the group consisting of aluminum, hafnium, zirconium, and yttrium is formed by a method of forming a deposited film by stacking extremely thin films in multiple layers. The durability of the constituent member can be improved.

また処理容器内に腐食性ガスを導入する半導体製造装置において、前記半導体製造装置の構成部材の表面に前記元素の化合物である化合物層を堆積して堆積膜を形成しているので、構成部材の表面が高耐食性の緻密な膜で被覆され、当該構成部材の耐食性を向上させることができる。このため腐食性ガスを処理容器に導入して処理を行なっても、構成部材の腐食が抑えられ、この腐食が原因となるパーティクルの発生が抑制される。   Further, in the semiconductor manufacturing apparatus for introducing the corrosive gas into the processing container, the compound layer which is a compound of the element is deposited on the surface of the constituent member of the semiconductor manufacturing apparatus to form the deposited film. The surface is covered with a dense film having high corrosion resistance, and the corrosion resistance of the constituent member can be improved. For this reason, even if it introduces a corrosive gas into a processing container and performs processing, corrosion of a constituent member is controlled and generation of particles which cause this corrosion is controlled.

また表面処理が行われていない安価な構成部材を購入し、その後前記堆積膜を形成する表面処理を行うことにより当該構成部材の耐食性を向上させることができるので、安価な構成部材を用いて半導体製造装置を製造することができ、半導体製造装置のトータルの製造コストの低廉化を図ることができる。   In addition, by purchasing an inexpensive component member that has not been surface-treated and then performing the surface treatment to form the deposited film, the corrosion resistance of the component member can be improved. A manufacturing apparatus can be manufactured, and the total manufacturing cost of the semiconductor manufacturing apparatus can be reduced.

さらに溶射膜が形成された構成部材の表面に前記堆積膜を形成することにより、ポーラス構造の溶射膜の孔部に入り込むように前記堆積膜が形成されるので、元々耐食性の大きい溶射膜上に緻密な前記堆積膜が形成され、より強固な膜で構成部材の表面を被覆することができて、腐食性ガスに対するより大きな耐食性を確保することができる。   Further, by forming the deposited film on the surface of the component on which the sprayed film is formed, the deposited film is formed so as to enter the hole portion of the sprayed film having a porous structure. Therefore, the deposited film is originally formed on the sprayed film having high corrosion resistance. The dense deposited film is formed, the surface of the constituent member can be covered with a stronger film, and a greater corrosion resistance against the corrosive gas can be ensured.

本発明は、半導体製造装置に用いられる構成部材の表面に堆積膜を形成することにより、前記構成部材の耐久性や腐食性ガスに対する耐食性を向上させるものである。前記半導体製造装置としては、半導体デバイスのみならずフラットパネルディスプレイを製造するものも含まれ、例えば腐食性ガスを処理ガスとして用いる装置、基板処理後に処理容器内に腐食性ガスであるクリーニングガスを供給して処理容器内をクリーニングする装置、プラズマを用いて処理を行う装置等が挙げられ、具体的にはエッチング装置、成膜装置あるいはアッシング装置等が相当する。   The present invention improves the durability of the structural member and the corrosion resistance against corrosive gas by forming a deposited film on the surface of the structural member used in the semiconductor manufacturing apparatus. The semiconductor manufacturing apparatus includes not only a semiconductor device but also a flat panel display manufacturing apparatus. For example, an apparatus that uses a corrosive gas as a processing gas, and a cleaning gas that is a corrosive gas is supplied into a processing container after processing a substrate. Thus, an apparatus that cleans the inside of the processing container, an apparatus that performs processing using plasma, and the like can be given.

続いて表面処理の対象となる半導体製造装置の構成部材について、図1に示す装置を用いて簡単に説明する。この装置では、処理容器10内に設けられた載置台11上にウエハWが載置され、前記載置台11と対向するように処理容器10内に設けられたガス供給部(ガスシャワーヘッド)12の多数のガス孔13aが形成された下面部材13から、載置台11上のウエハWに対して例えば腐食性の処理ガスが供給されるようになっている。この処理容器10内には処理ガス供給管14からガス供給部12を介して処理ガスが供給されると共に、排気管15を介して図示しない排気手段により処理容器10内が排気されるようになっている。またこの装置は、載置台11の周囲に、例えば複数のガスの排気口16aが載置台11の周囲に環状に配置されるように形成されたバッフル板16が設けられ、処理容器10内の排気が載置台11の周囲から周方向にほぼ均一に行なわれるようになっている。図中17は、ウエハWの周囲を機械的に押圧して、このウエハWを載置台11に保持させるためのメカチャックである。
ここで図1に示す装置では、本発明の表面処理対象となる構成部材は、大きく分けて、内面が処理ガスと接触し、当該内面が表面処理の対象となる構成部材である第1の構成部材21と、内面や外面が処理ガスと接触し、これら内面や外面が表面処理の対象となる構成部材である第2の構成部材22とがある。
Next, constituent members of the semiconductor manufacturing apparatus to be subjected to the surface treatment will be briefly described using the apparatus shown in FIG. In this apparatus, a wafer W is mounted on a mounting table 11 provided in the processing container 10, and a gas supply unit (gas shower head) 12 provided in the processing container 10 so as to face the mounting table 11. For example, a corrosive processing gas is supplied to the wafer W on the mounting table 11 from the lower surface member 13 in which a large number of gas holes 13a are formed. A processing gas is supplied into the processing container 10 from the processing gas supply pipe 14 through the gas supply unit 12, and the processing container 10 is exhausted through an exhaust pipe 15 by exhaust means (not shown). ing. Further, this apparatus is provided with a baffle plate 16 formed so that, for example, a plurality of gas exhaust ports 16 a are annularly arranged around the mounting table 11 around the mounting table 11. Is performed almost uniformly from the periphery of the mounting table 11 in the circumferential direction. In the drawing, reference numeral 17 denotes a mechanical chuck for mechanically pressing the periphery of the wafer W and holding the wafer W on the mounting table 11.
Here, in the apparatus shown in FIG. 1, the constituent member to be surface-treated according to the present invention is roughly divided into a first constitution in which the inner surface is in contact with the processing gas and the inner surface is a constituent member to be surface-treated. There is a member 21 and a second constituent member 22 whose inner and outer surfaces are in contact with the processing gas, and whose inner and outer surfaces are constituent members to be surface-treated.

前記第1の構成部材21としては、例えば前記金属製の処理容器10や、この処理容器10内に処理ガスを供給するための配管である処理ガス供給管14や、処理容器10内を排気するための排気管15、当該配管に設けられるバルブや、流量調整部、圧力計等の計測機器、またこれらバルブや流量調整部、フィルタ等がまとめられたガス供給ユニット等の前記金属製配管に接続され、内面が処理ガスと接触するガス供給機器が含まれ、これらの処理ガスと接触する面に表面処理が行われる。   Examples of the first constituent member 21 include the metal processing container 10, a processing gas supply pipe 14 that is a pipe for supplying a processing gas into the processing container 10, and the processing container 10. Exhaust pipe 15, a valve provided in the pipe, a measuring device such as a flow rate adjustment unit, a pressure gauge, and the metal pipe such as a gas supply unit in which these valves, the flow rate adjustment unit, and a filter are integrated In addition, a gas supply device whose inner surface is in contact with the processing gas is included, and the surface treatment is performed on the surface in contact with the processing gas.

また前記第2の構成部材22としては、例えば図1に示すガス供給部(ガスシャワーヘッド)12の下面部材13や、バッフル板16、メカチャック17等の処理容器10の内部に設けられる部品が含まれ、これらの処理ガスと接触する面に表面処理が行われる。   Further, as the second component member 22, for example, a lower surface member 13 of the gas supply unit (gas shower head) 12 shown in FIG. 1, a part provided inside the processing container 10 such as a baffle plate 16 and a mechanical chuck 17. A surface treatment is performed on the surface that is included and contacts these treatment gases.

続いて本発明の実施の形態について説明する。図2は本発明の表面処理方法が実施される表面処理装置の一例であり、以下に表面処理の対象となる構成部材の表面に、堆積膜として、アルミニウム(Al)を含む化合物であるAl膜を形成する表面処理を行う場合を例にして説明する。 Next, embodiments of the present invention will be described. FIG. 2 shows an example of a surface treatment apparatus in which the surface treatment method of the present invention is carried out. Al 2 which is a compound containing aluminum (Al) as a deposited film on the surface of a component to be subjected to the surface treatment below. A case where surface treatment for forming an O 3 film is performed will be described as an example.

図中31は第1の原料ガスであるトリメチルアルミニウム(TMA:Al(CH)の供給源(第1の原料ガス供給源)であり、この第1の原料ガス供給源31は、前記TMAのガス化機構を備えている。また図中32は第2の原料ガスであるオゾン(O)ガスの供給源(第2の原料ガス供給源)であり、これら第1及び第2の原料ガス供給源31,32の下流側には接続部33が設けられている。前記第1及び第2の原料ガス供給源31,32は、例えば第1及び第2の開閉バルブV1,V2と、第1及び第2のマスフローコントローラM1,M2を備えた第1の原料通流路41を介して前記接続部33に接続されている。 In the drawing, reference numeral 31 denotes a supply source (first source gas supply source) of trimethylaluminum (TMA: Al (CH 3 ) 3 ) which is a first source gas, and the first source gas supply source 31 It has a TMA gasification mechanism. In the figure, reference numeral 32 denotes a supply source (second source gas supply source) of ozone (O 3 ) gas that is the second source gas, and downstream of these first and second source gas supply sources 31 and 32. Is provided with a connecting portion 33. The first and second source gas supply sources 31, 32 are, for example, first source flow channels including first and second on-off valves V1, V2 and first and second mass flow controllers M1, M2. The connection part 33 is connected via a path 41.

この接続部33の下流側は、開閉バルブV3を備えた第2の原料通流路42を介して真空排気手段例えば真空ポンプ5に接続されていると共に、第1の原料通流路41から分岐し、開閉バルブV4を備えた第3の原料通流路43を介して、第2の構成部材22に対して表面処理を行うときに用いられる表面処理用の成膜容器6に接続されている。この成膜容器6は、前記第2の原料通流路42の開閉バルブV3と真空ポンプ5との間に、開閉バルブV5を備えた第4の原料通流路44を介して接続されている。   The downstream side of the connecting portion 33 is connected to a vacuum exhaust means such as a vacuum pump 5 via a second raw material flow path 42 provided with an opening / closing valve V3, and is branched from the first raw material flow path 41. And, it is connected to the film-forming container 6 for surface treatment used when the surface treatment is performed on the second component member 22 through the third raw material flow path 43 provided with the opening / closing valve V4. . The film forming container 6 is connected between the open / close valve V3 of the second raw material flow path 42 and the vacuum pump 5 through a fourth raw material flow path 44 having an open / close valve V5. .

前記接続部33は、表面処理対象が第1の構成部材21であるときに、この第1の構成部材21を、第1の原料通流路41と第2の原料通流路42とに対して接続する部位である。この接続部33には、例えば第1の原料通流路41と第2の原料通流路42の第1の構成部材21との接続側の端部に、これら原料通流路41,42を構成する配管と第1の構成部材21の両側の接続端とを接続するためのコネクタ部材34,35が夫々設けられている。   When the surface treatment target is the first component member 21, the connection portion 33 connects the first component member 21 to the first raw material flow channel 41 and the second raw material flow channel 42. It is a part to be connected. In this connection portion 33, for example, these raw material flow channels 41, 42 are provided at the end of the first raw material flow channel 41 and the second raw material flow channel 42 on the connection side of the first component member 21. Connector members 34 and 35 for connecting the constituent pipes and the connecting ends on both sides of the first constituent member 21 are provided.

このコネクタ部材34,35は、前記原料通流路41,42と、第1の構成部材21の接続端の夫々の開口部の大きさが異なるときに用いられるものであり、一端側に原料通流路41(42)、他端側に第1の構成部材21が夫々接続され、内部に原料ガスの通流路が形成されるように構成されている。   The connector members 34 and 35 are used when the sizes of the opening portions of the connection ends of the raw material passages 41 and 42 and the first constituent member 21 are different, and the raw material passage is provided at one end side. The first constituent member 21 is connected to the flow path 41 (42) and the other end side, respectively, and a flow path for the source gas is formed inside.

そして例えば第1の構成部材21が処理ガス供給管14や排気管15等の金属製配管である場合には、図3に示すように、前記金属製配管の両端に第1の原料通流路41と第2の原料通流路42とが、コネクタ部34,35を介して接続される。図中36は例えば加熱手段をなすテープヒータであり、前記接続部33に前記金属製配管が接続されたときには、例えば当該配管の外面にテープヒータ36が巻回されて、当該配管が加熱されるようになっている。   For example, when the first component member 21 is a metal pipe such as the processing gas supply pipe 14 or the exhaust pipe 15, as shown in FIG. 3, first raw material passages are provided at both ends of the metal pipe. 41 and the second raw material flow path 42 are connected via the connector portions 34 and 35. In the figure, reference numeral 36 denotes a tape heater that forms a heating means, for example. When the metal pipe is connected to the connection portion 33, the tape heater 36 is wound around the outer surface of the pipe, for example, and the pipe is heated. It is like that.

なお前記コネクタ部材34,35は、例えば第1の構成部材21の接続端の開口部に合わせて複数個用意される。また第1の構成部材21と原料通流路41,42を構成する配管との接続部の口径がほぼ同じ大きさである場合には、コネクタ部34,35を用いずに、例えば夫々の配管の接続端に設けられたフランジ部同士を接続することにより、これら同士を直接接続するようにしてもよい。   A plurality of the connector members 34 and 35 are prepared in accordance with, for example, the opening at the connection end of the first component member 21. Further, when the diameters of the connecting portions between the first constituent member 21 and the pipes constituting the raw material passages 41 and 42 are substantially the same size, for example, each pipe without using the connector parts 34 and 35. You may make it connect these directly by connecting the flange parts provided in these connection ends.

続いて第2の構成部材22の表面処理を行う成膜容器6について図4を用いて説明する。この成膜容器6は、例えば内面がアルミナ溶射膜により構成され、内部には上部側にガス供給部61が設けられている。このガス供給部61には前記第3の原料通流路43の他端側が接続されると共に、下面には多数の原料ガスの供給孔61aが形成されている。成膜容器6内部の下部側には、例えばガス供給部61と対向するように、支持台62が設けられており、表面処理対象である第2の構成部材22はこの支持台62上に載置されるようになっている。これらガス供給部61と支持台62とは例えば表面処理の原料ガスとの接触面が例えばアルミニウムにより構成されている。また成膜容器6の壁部には例えば抵抗発熱体よりなるヒータ63が設けられている。さらに成膜容器6の底部には排気口64が形成され、この排気口64は第4の原料通流路44、第2の原料通流路42を介して真空ポンプ5と接続されている。   Next, the film forming container 6 for performing the surface treatment of the second component member 22 will be described with reference to FIG. For example, the inner surface of the film forming container 6 is formed of an alumina sprayed film, and a gas supply unit 61 is provided on the upper side. The gas supply section 61 is connected to the other end of the third material flow path 43, and a plurality of material gas supply holes 61a are formed on the lower surface. A support base 62 is provided on the lower side inside the film forming container 6 so as to face the gas supply unit 61, for example, and the second component member 22 to be surface-treated is placed on the support base 62. It is supposed to be placed. For example, the contact surfaces of the gas supply unit 61 and the support table 62 with the surface treatment source gas are made of aluminum, for example. Further, a heater 63 made of, for example, a resistance heating element is provided on the wall portion of the film forming container 6. Further, an exhaust port 64 is formed at the bottom of the film forming container 6, and the exhaust port 64 is connected to the vacuum pump 5 via the fourth raw material flow channel 44 and the second raw material flow channel 42.

続いて本発明の表面処理方法について、図3及び図5を参照しながら説明する。この表面処理は、例えば装置を組み立てる前、又はメンテナンス時に行なわれる。先ず第1の構成部材21として処理ガス供給管14や排気管15に対して、堆積膜を形成するための表面処理を行う場合について説明する。この際、例えば前記処理ガス供給管14や排気管15がステンレスやアルミニウム等の金属製基材により構成されている場合には、この金属製基材の表面に堆積膜が形成される。   Next, the surface treatment method of the present invention will be described with reference to FIGS. This surface treatment is performed, for example, before assembling the apparatus or during maintenance. First, the case where the surface treatment for forming the deposited film is performed on the processing gas supply pipe 14 and the exhaust pipe 15 as the first constituent member 21 will be described. At this time, for example, when the processing gas supply pipe 14 and the exhaust pipe 15 are made of a metal base material such as stainless steel or aluminum, a deposited film is formed on the surface of the metal base material.

先ず図3に示すように前記処理ガス供給管14や排気管15等の金属製配管を接続部33に既述のように接続し(ステップS1)、例えばテープヒータ36により金属製配管の内面が例えば150℃程度になるように加熱すると共に、バルブV1,V2,V4,V5を閉じ、バルブV3を開いて真空ポンプ5により金属製配管の内部を例えば133Pa(1Torr)程度まで真空排気する。   First, as shown in FIG. 3, metal pipes such as the processing gas supply pipe 14 and the exhaust pipe 15 are connected to the connecting portion 33 as described above (step S1). For example, while heating to about 150 ° C., the valves V1, V2, V4, and V5 are closed, the valve V3 is opened, and the inside of the metal pipe is evacuated to, for example, about 133 Pa (1 Torr) by the vacuum pump 5.

次いでバルブV3を閉じ、バルブV1を開いて金属製配管内部に、第1の原料ガスであるTMAガスを例えば100ml/min程度の流量で1秒程度供給する。これによりTMAガスが表面処理の対象である金属製配管の内面に吸着される(ステップS2)。   Next, the valve V3 is closed, the valve V1 is opened, and the TMA gas as the first source gas is supplied into the metal pipe for about 1 second at a flow rate of about 100 ml / min, for example. Thereby, TMA gas is adsorbed on the inner surface of the metal pipe that is the target of the surface treatment (step S2).

続いてバルブV1を閉じ、バルブV3を開いて金属製配管の内部を2秒程度真空排気する(ステップS3)。これにより金属製配管内面に吸着せずに、金属製配管の内部に浮遊した状態で残存する第1の原料ガスが排出される。次いでバルブV3を閉じ、バルブV2を開いて金属製配管内部に、第2の原料ガスであるOガスを例えば1000ml/min程度の流量で1秒程度供給する。これによりOガスは金属製配管に吸着している液状のTMAと反応してAlの化学式にて示される反応生成物(固相)を生成し、例えば膜厚が0.1nm程度のAlよりなる極めて薄い化合物層(酸化物層)が形成される(ステップS4)。 Subsequently, the valve V1 is closed, the valve V3 is opened, and the inside of the metal pipe is evacuated for about 2 seconds (step S3). As a result, the first source gas remaining in a state of floating inside the metal pipe without being adsorbed on the inner face of the metal pipe is discharged. Next, the valve V3 is closed, the valve V2 is opened, and O 3 gas as the second source gas is supplied into the metal pipe for about 1 second at a flow rate of about 1000 ml / min. As a result, the O 3 gas reacts with the liquid TMA adsorbed on the metal pipe to produce a reaction product (solid phase) represented by the chemical formula of Al 2 O 3. For example, the film thickness is about 0.1 nm. A very thin compound layer (oxide layer) made of Al 2 O 3 is formed (step S4).

続いてバルブV2を閉じ、バルブV3を開いて金属製配管の内部を2秒程度真空排気して、金属製配管の内部に残存するOガスを排気する(ステップS5)。そしてこのステップS2〜ステップS5の工程を例えば数百回繰り返して行うことにより、例えば膜厚が30nmの堆積膜を形成する(ステップS6)。 Subsequently, the valve V2 is closed, the valve V3 is opened, and the inside of the metal pipe is evacuated for about 2 seconds, and the O 3 gas remaining inside the metal pipe is exhausted (step S5). Then, by repeating the steps S2 to S5, for example, several hundred times, a deposited film having a film thickness of, for example, 30 nm is formed (step S6).

このように本発明では、既述のように、処理対象の金属製の基材を、第1の原料ガスの雰囲気に置いて、当該基材の表面に、第1の原料ガスを吸着させ、次いで当該雰囲気を第1の原料ガスと反応する第2の原料ガスの雰囲気に切り替えることにより、例えば膜厚が0.1nm程度の化合物層を形成し、こうして基材が置かれる雰囲気を第1の原料ガスの雰囲気と第2の原料ガスの雰囲気との間で、交互に多数回切り替えることにより、前記基材の表面に化合物層の積層膜である堆積膜を形成している。   Thus, in the present invention, as described above, the metal base material to be treated is placed in the atmosphere of the first source gas, and the first source gas is adsorbed on the surface of the base material, Next, by switching the atmosphere to the atmosphere of the second source gas that reacts with the first source gas, for example, a compound layer having a film thickness of about 0.1 nm is formed, and thus the atmosphere in which the substrate is placed is changed to the first source gas. By alternately switching between the atmosphere of the source gas and the atmosphere of the second source gas many times, a deposited film, which is a laminated film of compound layers, is formed on the surface of the base material.

さらにこの工程を図6により説明すると、この図6は、原料ガスであるTMAガスとOガスとの給断のタイミングを時系列に沿って示したものであり、図示するように第1の構成部材21内にTMAガスとOガスとを交互に供給し、各々のガス供給の間(時刻t2〜t3及び時刻t4〜t5)に金属製配管内を例えば2秒間ずつ引き切りの状態とすることで、金属製配管の内面には極めて薄いAl膜が形成される。そして時刻t1〜t5の各ステップを1サイクルとしたとき、例えば数百サイクル繰り返すことで金属製配管の内表面には例えば30nmの膜厚のAl膜よりなる堆積膜が形成される。 Further, this process will be described with reference to FIG. 6. This FIG. 6 shows the timing of supplying / disconnecting the TMA gas and the O 3 gas which are the raw material gases in time series. As shown in FIG. TMA gas and O 3 gas are alternately supplied into the constituent member 21, and the inside of the metal pipe is pulled out, for example, every 2 seconds during each gas supply (time t 2 to t 3 and time t 4 to t 5). As a result, an extremely thin Al 2 O 3 film is formed on the inner surface of the metal pipe. When each step from time t1 to time t5 is one cycle, for example, by repeating several hundred cycles, a deposited film made of an Al 2 O 3 film having a thickness of, for example, 30 nm is formed on the inner surface of the metal pipe.

また例えば第1の構成部材21が金属製の処理容器10である場合には、例えばこの処理容器10は、その基材が、アルミニウムや、アルミニウムの表面に溶射膜が形成されたものやイットリア溶射膜より形成されているので、これらアルミニウムや、溶射膜が形成されたアルミニウムの溶射膜の表面や、イットリア溶射膜の表面に堆積膜が形成される。前記溶射膜としては、例えばホウ素(B),マグネシウム(Mg),アルミニウム(Al),ケイ素(Si),ガリウム(Ga),クロム(Cr),イットリウム(Y),ジルコニウム(Zr),タンタル(Ta),ゲルマニウム(Ge),ネオジム(Nd)等を含むものが形成される。   For example, when the first component member 21 is a metal processing vessel 10, for example, the processing vessel 10 has a base material made of aluminum, a sprayed film formed on the surface of aluminum, or yttria spraying. Since the film is formed of a film, a deposited film is formed on the surface of the aluminum, the sprayed aluminum film on which the sprayed film is formed, or the surface of the yttria sprayed film. Examples of the sprayed film include boron (B), magnesium (Mg), aluminum (Al), silicon (Si), gallium (Ga), chromium (Cr), yttrium (Y), zirconium (Zr), and tantalum (Ta). ), Germanium (Ge), neodymium (Nd) and the like are formed.

この場合においても、金属製配管と同じように、前記接続部33において、前記処理容器10の処理ガス供給管14との接続部である処理ガス供給口14a(図1参照)に、第1の原料通流路41をコネクタ部34を介して接続し、当該処理容器10の排気管15との接続部である排気口15a(図1参照)に、第2の原料通流路42をコネクタ部35を介して接続し、図5に示す工程に従って、処理容器10の内面に堆積膜を形成する表面処理が行なわれる。   Also in this case, as in the case of the metal pipe, in the connection portion 33, the processing gas supply port 14a (see FIG. 1) that is the connection portion with the processing gas supply pipe 14 of the processing container 10 is connected to the first portion. The raw material flow path 41 is connected via the connector portion 34, and the second raw material flow path 42 is connected to the exhaust port 15 a (see FIG. 1) that is a connection portion with the exhaust pipe 15 of the processing container 10. The surface treatment for forming a deposited film on the inner surface of the processing vessel 10 is performed according to the process shown in FIG.

また例えば図8に示すように、処理容器10の内面を表面処理する専用の装置を設け、この装置にて処理を行うようにしてもよい。この装置は、図2に示す表面処理装置において、第1の原料通流路41と第2の原料通流路42との間に処理容器10を配置し、第1の原料通流路41の下流端と、第2の原料通流路42の上流端とを、夫々前記の処理ガス供給口14aと排気口15aに接続するための専用の接続端として設けるように構成されている。この装置では、成膜容器6と第3及び第4の原料通流路43,44を設けない以外は図2に示す装置と同様に構成されており、第1及び第2の原料通流路41,42の間に、表面処理対象である処理容器10を接続すると、例えば処理容器10の側壁の周囲に、例えば抵抗発熱体37よりなる加熱手段が設けられ、当該処理容器10が加熱されるようになっている。なお処理容器10の内面の表面処理の場合には、処理容器10にガス供給部12を配設した状態で行うようにしてもよいし、ガス供給部12を取り付けない状態で処理を行い、その後、別個表面処理を行ったガス供給部12を処理容器10内に配設するようにしてもよい。   Further, for example, as shown in FIG. 8, a dedicated apparatus for surface-treating the inner surface of the processing container 10 may be provided, and the processing may be performed by this apparatus. This apparatus is the surface treatment apparatus shown in FIG. 2, in which the processing container 10 is disposed between the first raw material flow path 41 and the second raw material flow path 42. The downstream end and the upstream end of the second raw material flow path 42 are provided as dedicated connection ends for connecting to the processing gas supply port 14a and the exhaust port 15a, respectively. This apparatus is configured in the same manner as the apparatus shown in FIG. 2 except that the film forming container 6 and the third and fourth raw material flow paths 43 and 44 are not provided, and the first and second raw material flow paths. When the processing container 10 that is a surface treatment target is connected between 41 and 42, for example, a heating unit made of, for example, a resistance heating element 37 is provided around the side wall of the processing container 10, and the processing container 10 is heated. It is like that. In addition, in the case of surface treatment of the inner surface of the processing container 10, the processing may be performed with the gas supply unit 12 disposed in the processing container 10, or the processing may be performed without the gas supply unit 12 being attached. Alternatively, the gas supply unit 12 that has been subjected to the separate surface treatment may be disposed in the processing container 10.

続いて第2の構成部材22に対して表面処理を行う場合について説明する。この際、前記第2の構成部材21、例えばガス供給部12の下面部材13や、バッフル板16や、メカチャック17の基材は、例えばステンレスやアルミニウム等の金属により構成されているので、これらの表面に堆積膜が形成される。   Next, a case where surface treatment is performed on the second component member 22 will be described. At this time, since the second constituent member 21, for example, the lower surface member 13 of the gas supply unit 12, the baffle plate 16, and the base material of the mechanical chuck 17 are made of metal such as stainless steel or aluminum, these A deposited film is formed on the surface.

この場合においては図4及び図7に示すように、接続部33では第1の原料通流路41と第2の原料通流路42とを直接接続し、第2の構成部材22を成膜容器6内部の支持台62上に載置する(ステップS11)。そして例えばヒータ63により成膜容器6の内面が例えば150℃程度になるように加熱すると共に、バルブV1,V2,V3,V4を閉じ、バルブV5を開いて真空ポンプ5により成膜容器6の内部を例えば133Pa(1Torr)程度まで真空排気する。   In this case, as shown in FIGS. 4 and 7, the first raw material flow channel 41 and the second raw material flow channel 42 are directly connected to each other at the connection portion 33 to form the second constituent member 22. It mounts on the support stand 62 inside the container 6 (step S11). For example, the heater 63 heats the inner surface of the film forming container 6 to, for example, about 150 ° C., closes the valves V 1, V 2, V 3, V 4, opens the valve V 5, and opens the valve V 5 to the inside of the film forming container 6. Is evacuated to about 133 Pa (1 Torr), for example.

次いでバルブV5を閉じ、バルブV1,V4を開いて成膜容器6内部に、第1の原料ガスであるTMAガスを例えば100ml/min程度の流量で1秒程度供給し、第2の構成部材22の第1の原料ガスとの接触面に吸着させる(ステップS12)。続いてバルブV1,V4を閉じ、バルブV5を開いて成膜容器6の内部を2秒程度真空排気して、残存するTMAガスを排気する(ステップS13)。   Next, the valve V5 is closed, the valves V1 and V4 are opened, and the TMA gas that is the first source gas is supplied into the film forming container 6 at a flow rate of, for example, about 100 ml / min for about 1 second. The first material gas is adsorbed on the contact surface (step S12). Subsequently, the valves V1 and V4 are closed, the valve V5 is opened, and the inside of the film formation container 6 is evacuated for about 2 seconds, and the remaining TMA gas is exhausted (step S13).

次いでバルブV5を閉じ、バルブV2,V4を開いて成膜容器6内部に、第2の原料ガスであるOガスを例えば1000ml/min程度の流量で1秒程度供給して、例えば膜厚が0.1nm程度のAlよりなる極めて薄い化合物層(酸化物層)を形成する(ステップS14)。続いてバルブV2,V4を閉じ、バルブV5を開いて成膜容器6の内部を2秒程度真空排気して、残存するOガスを排気する(ステップS15)。そしてこのステップS12〜ステップS15の工程を例えば数百回繰り返して行うことにより、例えば30nm程度の膜厚のAl膜よりなる堆積膜を第2の構成部材22の表面に形成する(ステップS16)。ここで前記堆積膜は、例えば室温〜200℃程度の温度でも形成されるので、テープヒータ36や抵抗発熱体37、ヒータ63による加熱を行なわなくてもよい。 Next, the valve V5 is closed, the valves V2 and V4 are opened, and the O 3 gas as the second source gas is supplied into the film forming container 6 at a flow rate of about 1000 ml / min for about 1 second. An extremely thin compound layer (oxide layer) made of Al 2 O 3 of about 0.1 nm is formed (step S14). Subsequently, the valves V2 and V4 are closed, the valve V5 is opened, and the inside of the film forming container 6 is evacuated for about 2 seconds to exhaust the remaining O 3 gas (step S15). Then, by repeating the steps S12 to S15, for example, several hundred times, a deposited film made of an Al 2 O 3 film having a thickness of, for example, about 30 nm is formed on the surface of the second component member 22 (step S16). Here, since the deposited film is formed at a temperature of, for example, room temperature to 200 ° C., heating by the tape heater 36, the resistance heating element 37, and the heater 63 may not be performed.

また上述した表面処理方法において、ステップ3及びステップ13に示すように処理対象物(上述の例では金属製配管及び成膜容器6)の内部を真空排気する際に、処理対象物内にパージガスである窒素(N2)ガスを供給して、処理対象物内をパージ処理してもよい。このように真空排気時に窒素ガスを導入することで、処理対象物の内部に浮遊した状態で残存するTMAガスを効率よく排気することができる。   Further, in the surface treatment method described above, when the inside of the object to be treated (in the above example, the metal pipe and the film forming container 6) is evacuated as shown in Step 3 and Step 13, purge gas is introduced into the object to be treated. A certain nitrogen (N2) gas may be supplied to purge the inside of the processing object. Thus, by introducing nitrogen gas at the time of evacuation, the TMA gas remaining in the state of being floated inside the processing object can be efficiently exhausted.

またステップ3及びステップ13において、処理対象物内部を真空排気する際に、処理対象物内部の圧力を上述の値よりも高い圧力とすると、処理対象物の内面に対するTMAの吸着量が多くなり1回の反応で形成される膜厚をより厚くすることができる。反対に、処理対象物内部を上述の値よりも低い圧力とすると、1回の反応で形成される膜厚をより薄くすることができる。   Further, in step 3 and step 13, when the inside of the processing object is evacuated, if the pressure inside the processing object is higher than the above value, the amount of adsorption of TMA on the inner surface of the processing object increases. The film thickness formed by one reaction can be made thicker. On the other hand, when the inside of the processing object is set to a pressure lower than the above value, the film thickness formed by one reaction can be made thinner.

そしてこの処理を半導体製造装置の製造時に行う場合には、第1の構成部材21や第2の構成部材22の処理ガスとの接触面に堆積膜を形成する表面処理を行った後、これら第1の構成部材21や第2の構成部材22を組み立てて半導体製造装置を製造する。またこの処理を半導体製造装置のメンテナンス時に、定期的又は必要に応じて行う場合には、先ず表面処理を行う構成部材を半導体製造装置から取り外して、当該構成部材の処理ガスとの接触面に堆積膜を形成する表面処理を行った後、この構成部材を半導体製造装置に取り付ける。   When this process is performed at the time of manufacturing the semiconductor manufacturing apparatus, after performing a surface treatment for forming a deposited film on the contact surfaces of the first component member 21 and the second component member 22 with the process gas, The semiconductor manufacturing apparatus is manufactured by assembling the first structural member 21 and the second structural member 22. In addition, when this processing is performed periodically or as necessary during maintenance of the semiconductor manufacturing apparatus, first, the constituent member to be surface-treated is removed from the semiconductor manufacturing apparatus and deposited on the contact surface of the constituent member with the processing gas. After the surface treatment for forming the film is performed, this constituent member is attached to the semiconductor manufacturing apparatus.

以上において前記堆積膜としては、前記の手法で形成されるAl膜の他に、第1の原料ガスとしてAl(T−OCガス、第2の原料ガスとしてHOガスを用いて形成されるAl,第1の原料ガスとしてHfClガス、第2の原料ガスとしてOガスを用いて形成されるHfO,第1の原料ガスとしてHf(N(CH)(C))ガス、第2の原料ガスとしてOガスを用いて形成されるHfO,第1の原料ガスとしてHf(N(Cガス、第2の原料ガスとしてOガスを用いて形成されるHfO,第1の原料ガスとしてZrClガス、第2の原料ガスとしてOガスを用いて形成されるZrO,第1の原料ガスとしてZr(T−OCガス、第2の原料ガスとしてOガスを用いて形成されるZrO,第1の原料ガスとしてYClガス、第2の原料ガスとしてOガスを用いて形成されるY,第1の原料ガスとしてY(Cガス、第2の原料ガスとしてOガスを用いて形成されるY等の、アルミニウム(Al),ハフニウム(Hf),ジルコニウム(Zr),イットリウム(Y)を含む結合子分有機で囲まれる形状の化合物や、前記アルミニウム(Al),ハフニウム(Hf),ジルコニウム(Zr),イットリウム(Y)を含む塩化物等の化合物よりなるものが形成される。 In the above, as the deposited film, in addition to the Al 2 O 3 film formed by the above-described method, Al (T—OC 4 H 9 ) 3 gas is used as the first source gas, and H 2 is used as the second source gas. Al 2 O 3 formed using O gas, HfCl 4 gas as the first source gas, HfO 2 formed using O 3 gas as the second source gas, Hf (N (CH 3 ) (C 2 H 5 )) 4 gas, HfO 2 formed using O 3 gas as the second source gas, Hf (N (C 2 H 5 ) 2 ) 4 as the first source gas Gas, HfO 2 formed using O 3 gas as the second source gas, ZrCl 4 gas used as the first source gas, ZrO 2 formed using O 3 gas as the second source gas, first as the raw material gas Zr (T-OC 4 H 9 ) Gas, ZrO 2, YCl 3 gas as a first source gas, Y 2 O 3, which is formed using O 3 gas as the second source gas that is formed using O 3 gas as the second source gas, Aluminum (Al), hafnium (Hf), zirconium (such as Y (C 5 H 5 ) 3 gas as the first source gas and Y 2 O 3 formed using O 3 gas as the second source gas) Zr), a compound having a shape surrounded by a binder organic containing yttrium (Y), or a compound such as chloride containing aluminum (Al), hafnium (Hf), zirconium (Zr), yttrium (Y), or the like. Things are formed.

このような実施の形態では、第1の構成部材21の場合には、第1の構成部材21の内部に原料ガスを供給することにより、また第2の構成部材22の場合には、成膜容器6の内部に当該構成部材22を載置して成膜容器6の内部に原料ガスを供給することにより、夫々化合物層を積層して薄膜を形成しているので、前記第1及び第2の構成部材21,22の内面全体に満遍なく堆積膜を形成することができ、当該構成部材21,22の耐久性を大きくすることができる。   In such an embodiment, in the case of the first component member 21, the raw material gas is supplied into the first component member 21, and in the case of the second component member 22, the film is formed. Since the constituent member 22 is placed in the container 6 and the raw material gas is supplied into the film forming container 6, the compound layers are laminated to form a thin film. The deposited film can be uniformly formed on the entire inner surfaces of the constituent members 21 and 22, and the durability of the constituent members 21 and 22 can be increased.

つまりこの堆積法により形成された堆積膜は、極めて薄い化合物層を積層して形成されているので、形成される膜は緻密な膜であって、耐久性や腐食性の処理ガスに対する耐食性が大きい。また表面の平坦性の高い膜が形成されるので、表面の粗さが原因となる膜剥がれ等が発生するおそれがない。   In other words, the deposited film formed by this deposition method is formed by laminating extremely thin compound layers, so that the formed film is a dense film and has high corrosion resistance against durable and corrosive processing gases. . In addition, since a film with high surface flatness is formed, there is no possibility of film peeling due to surface roughness.

この際、本発明では、表面処理対象となる構成部材に対して、腐食性ガス等の処理ガスと同じように原料ガスを供給して表面処理を行っているので、当該構成部材の処理ガスと接触する領域に原料ガスを供給することができ、このため構成部材21の処理ガスと接触する内面に表面処理を行い、堆積膜を形成することができる。   At this time, in the present invention, the surface treatment is performed by supplying the raw material gas in the same manner as the processing gas such as the corrosive gas to the structural member to be surface treated. The source gas can be supplied to the contact area, and therefore, a surface treatment can be performed on the inner surface of the component member 21 in contact with the processing gas to form a deposited film.

さらに堆積膜は真空プロセスにより形成されるので、これにより第1の構成部材21を構成する配管内部に設けられたバルブや流量調整部の内部の処理ガスとの接触面や、第2の構成部材22の複雑な形状であっても、細部まで原料ガスが行き渡り、当該領域まで堆積膜を形成することができる。この際、堆積膜は、既述のように極めて薄い層を一層ずつ積み上げて形成されるので、既述のステップS2〜ステップS5(ステップS12〜ステップS15)の繰り返し回数を制御することにより、所望の厚さの堆積膜を形成することができ、このため例えば表面処理の対象に応じて、堆積膜の厚さを容易に調整できる。これにより多数の配管とそれに接続されるバルブや流量計、フィルタ等をまとめて設けたガス供給機器ユニット等の複雑な形状の部位には、薄い膜厚の堆積膜で表面処理を行うことによって、ガスの通流を妨げずに、例えば腐食性ガスに対する耐食性を高めることができる。   Further, since the deposited film is formed by a vacuum process, the contact surface with the processing gas inside the valve and the flow rate adjusting unit provided inside the pipe constituting the first component 21, and the second component Even in the case of the 22 complex shapes, the raw material gas is distributed to the details, and the deposited film can be formed up to the region. At this time, since the deposited film is formed by stacking very thin layers one by one as described above, it is desired to control the number of repetitions of steps S2 to S5 (steps S12 to S15) as described above. Therefore, the thickness of the deposited film can be easily adjusted according to the surface treatment target, for example. By performing surface treatment with a thin deposited film on a complex shape part such as a gas supply device unit provided with a large number of pipes and valves, flow meters, filters and the like connected together by this, For example, the corrosion resistance against corrosive gas can be enhanced without hindering the gas flow.

また第1の原料ガスと第2の原料ガスの供給の間に真空排気を行い、第1の原料ガスが残存しない状態で第2の原料ガスを供給しているので、構成部材21の内部や成膜容器6の内部での第1の原料ガスと第2の原料ガスとの反応が抑えられ、この反応物の生成によるパーティクルの発生を抑えることができる。   In addition, since evacuation is performed between the supply of the first raw material gas and the second raw material gas and the second raw material gas is supplied in a state where the first raw material gas does not remain, The reaction between the first source gas and the second source gas inside the film forming container 6 is suppressed, and the generation of particles due to the generation of the reaction product can be suppressed.

このように、当該構成部材の処理ガスとの接触面全体に緻密な膜を形成することができるので、当該構成部材21の腐食性の処理ガスに対する耐食性を向上させることができ、これにより構成部材の腐食により生じるパーティクルの発生を抑えることができる。   In this way, since a dense film can be formed on the entire contact surface of the constituent member with the processing gas, the corrosion resistance of the constituent member 21 to the corrosive processing gas can be improved. It is possible to suppress the generation of particles caused by corrosion.

この際、堆積膜は例えば室温〜200℃程度の温度で形成され、CVD法に比較して低温で処理が行われるので、例えばアルミニウムや、アルミニウムの上に溶射膜が形成された処理容器に対しても、アルミニウムの溶解を起こさずに表面処理を行うことができる。ここで溶射膜の上に堆積膜を形成する場合には、ポーラスな溶射膜の多数の孔部に化合物層が入り込んだ状態で堆積膜が形成されるので、より強固な膜が形成されることになる。このため、元々耐食性の大きな溶射膜の上に緻密な堆積膜を形成することによって、より耐食性を大きくすることができる上、ポーラス構造であって表面が粗いという溶射膜の弱点をカバーすることができ、腐食性の処理ガスを用いた場合であっても、処理中の膜剥がれが発生等を抑えることができる。   At this time, the deposited film is formed at a temperature of, for example, room temperature to about 200 ° C., and is processed at a lower temperature than the CVD method. Therefore, for example, for aluminum or a processing container in which a sprayed film is formed on aluminum. However, the surface treatment can be performed without causing dissolution of aluminum. Here, when forming a deposited film on the sprayed film, the deposited film is formed with the compound layer entering a large number of holes in the porous sprayed film, so that a stronger film can be formed. become. Therefore, it is possible to increase the corrosion resistance by forming a dense deposited film on the originally sprayed film having high corrosion resistance, and to cover the weak point of the sprayed film having a porous structure and a rough surface. Even when a corrosive processing gas is used, the occurrence of film peeling during processing can be suppressed.

また金属製配管に対して表面処理を行う場合においても、既述のように堆積膜は低温で処理が行われるので、テープヒータ36による加熱で第1の原料ガスと第2の原料ガスとの反応を十分進行させることができ、簡易な加熱方法で処理を行なうことができて有効である。   In addition, when the surface treatment is performed on the metal pipe, the deposited film is treated at a low temperature as described above, so that the first source gas and the second source gas are heated by the tape heater 36. The reaction can proceed sufficiently, and the treatment can be carried out by a simple heating method, which is effective.

このように本発明では、アルミニウム製やステンレス製の処理容器、配管や下面部材等の、表面処理が行われていない安価な構成部品に堆積膜を形成する表面処理を行なうことにより、耐久性や腐食性ガスに対する耐食性を向上させることができるので、予め表面処理が行われた高価な構成部材を購入することなく、安価な構成部品を用いて半導体製造装置を製造することができ、製造コストの低廉化を図ることができる。   As described above, in the present invention, durability treatment is performed by performing surface treatment to form a deposited film on an inexpensive component that is not subjected to surface treatment, such as a treatment container made of aluminum or stainless steel, piping, or a lower surface member. Since corrosion resistance against corrosive gas can be improved, a semiconductor manufacturing apparatus can be manufactured using inexpensive components without purchasing expensive components that have been surface-treated in advance. Cost reduction can be achieved.

また構成部材に表面処理を行う装置として、図2に示す構成のものを用いれば、原料供給路の開閉バルブの切り替えにより、接続部33に接続して処理を行なう金属製配管等の第1の構成部材21と、成膜容器6内に搬入して処理を行なう第2の構成部材22とのいずれかを選択して表面処理を行なうことができる。また接続部33に金属製配管等の第1の構成部材21を接続すると共に、成膜容器6内に第2の構成部材22を搬入して、原料ガスを供給するときには、第1及び第2の構成部材21,22の両方に原料ガスを供給すると共に、真空排気するときには、第1及び第2の構成部材21,22の両方を真空排気するようにすることにより、第1の構成部材21と第2の構成部材22とに対して同時に表面処理を行うことができる。このように1台の装置にて、第1の構成部材21、第2の構成部材22のいずれか又は両方に対して表面処理を行うことができ、装置の汎用性が高い。   Moreover, if the apparatus shown in FIG. 2 is used as the apparatus for performing the surface treatment on the constituent members, the first pipe such as a metal pipe that performs the treatment by connecting to the connecting portion 33 by switching the opening / closing valve of the raw material supply path The surface treatment can be performed by selecting either the component member 21 or the second component member 22 which is carried into the film forming container 6 and performs the treatment. When the first constituent member 21 such as a metal pipe is connected to the connecting portion 33 and the second constituent member 22 is carried into the film forming container 6 and the source gas is supplied, the first and second members are used. When the raw material gas is supplied to both of the constituent members 21 and 22 and evacuated, both the first and second constituent members 21 and 22 are evacuated to thereby evacuate the first constituent member 21. And the second component 22 can be subjected to surface treatment at the same time. Thus, the surface treatment can be performed on one or both of the first component member 21 and the second component member 22 with one device, and the versatility of the device is high.

但し、図8に、処理容器10の例にて示すように、成膜容器6を設けない金属製配管専用や、処理容器10専用の表面処理装置や、接続部33を設けず成膜容器6のみを設けた第2の構成部材22専用の表面処理装置を設け、各構成部材に対して夫々の専用の装置にて表面処理を行うようにしてもよく、この場合には異なる処理装置にて異なる構成部材に対して並列に表面処理を行うことができ、表面処理のスループットを高めることができる。   However, as shown in the example of the processing container 10 in FIG. 8, the film forming container 6 is not provided with a metal pipe not provided with the film forming container 6, a surface treatment apparatus dedicated to the processing container 10, or the connection portion 33. The surface treatment device dedicated to the second component member 22 provided with only the surface treatment device may be provided, and the surface treatment may be performed on each component member by the dedicated device. In this case, a different treatment device may be used. Surface treatment can be performed in parallel on different components, and the throughput of the surface treatment can be increased.

また本発明の表面対象となる構成部材は、半導体製造プロセスの一工程を実施する装置に用いられる構成部材であって、既述のような金属製の構成部材のみならず、アルミニウム製の基材の表面にアルマイト処理が施された構成部材や、電極板やフォーカスリング、デポシールド等のPEEK(ポリエートルエートルケトン)等の樹脂や石英により構成された部材も含まれ、このような構成部材に堆積膜を形成する表面処理を行うことにより、これら構成部材の耐久性を向上させることができる。   In addition, the constituent member to be a surface object of the present invention is a constituent member used in an apparatus for carrying out one step of a semiconductor manufacturing process, and is not only a metallic constituent member as described above but also an aluminum base material. Also included are structural members with alumite treatment on the surface, and members made of resin or quartz such as PEEK (polyether ketone) such as electrode plates, focus rings, and deposition shields. By performing a surface treatment for forming a deposited film on the members, the durability of these constituent members can be improved.

また本発明は、処理容器10に、第2の構成部材22を取り付けた後、処理容器10の内面と第2の構成部材22に対して、一括して同時に表面処理を行うようにしてもよい。さらに本発明では、処理容器10の内面に対して表面処理を行う場合に、図2の成膜容器6の接続部に、成膜容器6の代わりに処理容器10を接続して当該処理容器10の表面処理を行うようにしてもよい。   In the present invention, after the second constituent member 22 is attached to the processing container 10, the inner surface of the processing container 10 and the second constituent member 22 may be simultaneously subjected to the surface treatment. . Further, in the present invention, when the surface treatment is performed on the inner surface of the processing container 10, the processing container 10 is connected to the connection portion of the film forming container 6 in FIG. The surface treatment may be performed.

また第2の構成部材としては、既述のガス供給部12の下面部材13、バッフル板16、メカチャック17等の、半導体製造プロセスの一工程を実施する装置であって、基板に対して処理を行う処理容器に処理ガスを導入する半導体製造装置の、前記処理容器に設けられる構成部材の全てが含まれる。   The second component member is an apparatus for performing one step of the semiconductor manufacturing process, such as the lower surface member 13 of the gas supply unit 12, the baffle plate 16, and the mechanical chuck 17 described above. All of the components provided in the processing container of the semiconductor manufacturing apparatus for introducing the processing gas into the processing container are included.

次に本発明の効果を確認するために行った実験について述べる。   Next, an experiment conducted for confirming the effect of the present invention will be described.

(サンプルの作成)
図4に示す表面処理装置を用いてステンレス基材の表面にAlよりなる堆積膜を形成した。先ず、図4に示す成膜容器6内部の支持台62上に載置されたステンレス基材を、ヒータ63により200℃に加熱すると共に、成膜容器6内を133Pa程度に真空引きする。次いで、成膜容器6内にTMAガスを100ml/minの流量で1秒程度供給した後、成膜容器6内を5秒程度真空引きする。次いで成膜容器6内に水蒸気を100ml/minの流量で1秒程度供給する。そしてこれらの工程を100回繰り返して、ステンレス基材上に堆積膜を形成した。このステンレス基板をサンプル1とする。
(Create sample)
A deposited film made of Al 2 O 3 was formed on the surface of the stainless steel substrate using the surface treatment apparatus shown in FIG. First, the stainless steel substrate placed on the support base 62 inside the film forming container 6 shown in FIG. 4 is heated to 200 ° C. by the heater 63 and the inside of the film forming container 6 is evacuated to about 133 Pa. Next, after TMA gas is supplied into the film formation container 6 at a flow rate of 100 ml / min for about 1 second, the film formation container 6 is evacuated for about 5 seconds. Next, water vapor is supplied into the film forming container 6 at a flow rate of 100 ml / min for about 1 second. These steps were repeated 100 times to form a deposited film on the stainless steel substrate. This stainless steel substrate is designated as sample 1.

ステンレス基材の表面をブラスト材で粗面化処理した後、プラズマ溶射処理にて当該基材上にAlよりなる溶射膜を形成し、この溶射膜上にサンプル1と同じ処理方法で堆積膜を形成した。このステンレス基材をサンプル2とする。 After the surface of the stainless steel substrate is roughened with a blast material, a sprayed film made of Al 2 O 3 is formed on the substrate by plasma spraying, and the same processing method as that of sample 1 is applied to the sprayed film. A deposited film was formed. This stainless steel substrate is designated as sample 2.

(密着性試験)
サンプル1とサンプル2について、ステンレス基材の表面に形成された堆積膜の密着力の試験を行った。試験方法については、堆積膜表面に粘着テープを貼り付け、粘着テープを引き剥がしたときに、粘着テープへの堆積膜の付着状況を見ることで、堆積膜とステンレス基材との密着強度、及び堆積膜と溶射膜との密着強度を夫々評価した。この試験の結果では、サンプル1及びサンプル2のいずれも粘着テープを引き剥がしたときに、当該粘着テープには堆積膜が全く付着しておらず、堆積膜の剥離はなかった。このようなことから前記堆積膜とステンレス基材との密着強度及び堆積膜と溶射膜との密着強度のいずれについても問題がないと判断できる。
(Adhesion test)
Samples 1 and 2 were tested for adhesion of the deposited film formed on the surface of the stainless steel substrate. As for the test method, when the adhesive tape is applied to the surface of the deposited film and the adhesive tape is peeled off, the adhesion strength of the deposited film and the stainless steel substrate is checked by checking the adhesion of the deposited film to the adhesive tape, and The adhesion strength between the deposited film and the sprayed film was evaluated. As a result of this test, when both the sample 1 and the sample 2 were peeled off the adhesive tape, no deposited film adhered to the adhesive tape, and there was no peeling of the deposited film. From this, it can be determined that there is no problem with any of the adhesion strength between the deposited film and the stainless steel substrate and the adhesion strength between the deposited film and the sprayed film.

(耐腐食性試験)
本発明の処理を行っていない比較サンプルであるステンレス基材とサンプル1について、腐食性試験を行った。先ず、チャンバ内に比較サンプルとサンプル1とを配置して、チャンバ内にフッ素(F)ガスを3L/min、窒素(N)ガスを8L/minの流量で夫々供給すると共に、チャンバ内の圧力を50kPaに設定し、比較サンプル及びサンプル1を1時間放置することによって、これらサンプル表面の耐腐食性を評価した。しかる後、比較サンプル及びサンプル1をチャンバ内から取り出し、X線電子分光分析(XPS)装置でこれらサンプル表面のデプスプロファイルを測定した。比較サンプルのプロファイルでは、堆積膜の表面からクロム(Cr)が抜けて行く様子が観察され、時間の経過と共にステンレス基材が腐食して行った。これに対してサンプル1のプロファイルでは、堆積膜の最表面だけが若干フッ化アルミニウム(AlF)になっただけであり、膜厚は殆ど変わらなかった。このようなことからステンレス基材の表面に堆積膜を形成することで、腐食性ガスに対する大きな耐食性を確保できることから、ステンレス基材上に堆積膜を形成することが有効であることが理解できる。
(Corrosion resistance test)
A corrosion test was performed on the stainless steel base material and sample 1 which were comparative samples not subjected to the treatment of the present invention. First, the comparative sample and the sample 1 are arranged in the chamber, fluorine (F 2 ) gas is supplied into the chamber at a flow rate of 3 L / min, and nitrogen (N 2 ) gas is supplied at a flow rate of 8 L / min. Was set to 50 kPa, and the comparative sample and Sample 1 were allowed to stand for 1 hour to evaluate the corrosion resistance of these sample surfaces. Thereafter, the comparative sample and Sample 1 were taken out from the chamber, and the depth profiles of these sample surfaces were measured with an X-ray electron spectroscopy (XPS) apparatus. In the profile of the comparative sample, it was observed that chromium (Cr) escaped from the surface of the deposited film, and the stainless steel substrate was corroded over time. On the other hand, in the profile of Sample 1, only the outermost surface of the deposited film was slightly aluminum fluoride (AlF 3 ), and the film thickness was hardly changed. For this reason, forming a deposited film on the surface of the stainless steel base material can ensure a high corrosion resistance against corrosive gas, so that it can be understood that it is effective to form the deposited film on the stainless steel base material.

本発明の堆積膜を形成する表面処理の対象となる半導体製造装置を説明するための断面図である。It is sectional drawing for demonstrating the semiconductor manufacturing apparatus used as the object of the surface treatment which forms the deposited film of this invention. 前記半導体製造装置の構成部材に対して堆積膜を形成する表面処理を行うための表面処理装置の一例を示す構成図である。It is a block diagram which shows an example of the surface treatment apparatus for performing the surface treatment which forms a deposited film with respect to the structural member of the said semiconductor manufacturing apparatus. 前記表面処理装置において、金属製配管に対して堆積膜を形成する場合を示す構成図である。In the said surface treatment apparatus, it is a block diagram which shows the case where a deposited film is formed with respect to metal piping. 前記表面処理装置において、処理容器内に用いられる構成部材に対して堆積膜を形成する場合を示す構成図である。In the said surface treatment apparatus, it is a block diagram which shows the case where a deposited film is formed with respect to the structural member used in a processing container. 前記表面処理装置において、金属製配管に対して堆積膜を形成する場合を説明するための工程図である。In the said surface treatment apparatus, it is process drawing for demonstrating the case where a deposited film is formed with respect to metal piping. 前記金属製配管に対して堆積膜を形成する場合の原料ガスの給断の様子を示す構成図である。It is a block diagram which shows the mode of the supply / disconnection of source gas in the case of forming a deposited film with respect to the said metal piping. 前記表面処理装置において、処理容器内に用いられる構成部材に対して堆積膜を形成する場合を説明するための工程図である。In the said surface treatment apparatus, it is process drawing for demonstrating the case where a deposited film is formed with respect to the structural member used in a processing container. 前記半導体製造装置の構成部材である処理容器に対して堆積膜を形成する表面処理を行うための表面処理装置の一例を示す構成図である。It is a block diagram which shows an example of the surface treatment apparatus for performing the surface treatment which forms a deposited film with respect to the process container which is a structural member of the said semiconductor manufacturing apparatus.

符号の説明Explanation of symbols

1 半導体製造装置
10 処理容器
11 載置台
12 ガス供給部
13 下面部材
14 処理ガス供給管
15 排気管
16 バッフル板
17 メカチャック
31 第1の原料ガス供給源
32 第2の原料ガス供給源
33 接続部
41 第1の原料供給路
42 第2の原料供給路
43 第3の原料供給路
44 第4の原料供給路
5 真空ポンプ
6 成膜容器
DESCRIPTION OF SYMBOLS 1 Semiconductor manufacturing apparatus 10 Processing container 11 Mounting stand 12 Gas supply part 13 Lower surface member 14 Process gas supply pipe 15 Exhaust pipe 16 Baffle plate 17 Mechanical chuck 31 1st source gas supply source 32 2nd source gas supply source 33 Connection part 41 First raw material supply path 42 Second raw material supply path 43 Third raw material supply path 44 Fourth raw material supply path 5 Vacuum pump 6 Deposition container

Claims (10)

半導体製造装置に用いられる構成部材であって、
前記構成部材の基材を、アルミニウム、ハフニウム、ジルコニウム、イットリウムからなる群から選択された元素を含む第1の原料ガスの雰囲気に置いて、当該基材の表面に、第1の原料ガスを吸着させ、次いで当該雰囲気を第1の原料ガスと反応する第2の原料ガスの雰囲気に切り替えることにより、前記元素の化合物である化合物層を形成し、こうして基材が置かれる雰囲気を第1の原料ガスの雰囲気と第2の原料ガスの雰囲気との間で、交互に多数回切り替えることにより、前記基材の表面に前記元素を含む化合物層の積層膜である堆積膜を形成してなることを特徴とする半導体製造装置の構成部材。
A component used in a semiconductor manufacturing apparatus,
The base material of the component member is placed in a first source gas atmosphere containing an element selected from the group consisting of aluminum, hafnium, zirconium, and yttrium, and the first source gas is adsorbed on the surface of the base material. Then, the atmosphere is switched to the atmosphere of the second source gas that reacts with the first source gas to form a compound layer that is a compound of the element, and thus the atmosphere in which the substrate is placed is changed to the first source material. By alternately switching between the gas atmosphere and the second source gas atmosphere many times, a deposited film that is a laminated film of the compound layer containing the element is formed on the surface of the base material. A component of a semiconductor manufacturing apparatus.
前記基材はアルミニウム又はステンレスからなることを特徴とする請求項1記載の半導体製造装置の構成部材。   2. The constituent member of a semiconductor manufacturing apparatus according to claim 1, wherein the substrate is made of aluminum or stainless steel. 前記構成部材は、処理ガスをガス供給路を介して処理容器内に供給することにより処理容器内の基板に対して処理を行い、処理ガスが腐食性ガスであるか、または基板処理後に処理容器内に腐食性ガスであるクリーニングガスを供給して処理容器内をクリーニングする半導体製造装置に用いられる構成部材であることを特徴とする請求項1又は2記載の半導体製造装置の構成部材。   The constituent member processes the substrate in the processing container by supplying the processing gas into the processing container through the gas supply path, and the processing gas is a corrosive gas or the processing container after the substrate processing. 3. A component of a semiconductor manufacturing apparatus according to claim 1, wherein the component is used for a semiconductor manufacturing apparatus for cleaning the inside of a processing container by supplying a cleaning gas which is a corrosive gas. 前記構成部材は、処理容器、処理容器内に設けられる部品、腐食性ガスを処理容器に供給するための配管、この配管に接続されるガス供給機器、または排気管から選択されるものであることを特徴とする請求項3記載の半導体製造装置の構成部材。   The constituent member is selected from a processing container, a part provided in the processing container, a pipe for supplying a corrosive gas to the processing container, a gas supply device connected to the pipe, or an exhaust pipe. The constituent member of the semiconductor manufacturing apparatus according to claim 3. 前記構成部材は、プラズマ処理工程を含む半導体製造装置の構成部材であることを特徴とする請求項1又は2に記載の半導体製造装置の構成部材。   The constituent member of a semiconductor manufacturing apparatus according to claim 1, wherein the constituent member is a constituent member of a semiconductor manufacturing apparatus including a plasma processing step. 前記構成部材の基材と堆積膜との間には溶射膜が形成されていることを特徴とする請求項1ないし5のいずれか一に記載の半導体製造装置の構成部材。   6. The component member of a semiconductor manufacturing apparatus according to claim 1, wherein a sprayed film is formed between a base material of the component member and a deposited film. 前記溶射膜は、ホウ素、マグネシウム、アルミニウム、ケイ素、ガリウム、クロム、イットリウム、ジルコニウム、ゲルマニウム、タンタル、ネオジムのいずれかを含むものであることを特徴とする請求項6記載の半導体製造装置の構成部材。   7. The component of a semiconductor manufacturing apparatus according to claim 6, wherein the sprayed film contains any one of boron, magnesium, aluminum, silicon, gallium, chromium, yttrium, zirconium, germanium, tantalum, and neodymium. 前記構成部材は、基材にアルマイト処理を施し、その上に堆積膜が形成されているものであることを特徴とする請求項1ないし5のいずれか一に記載の半導体製造装置の構成部材。   6. The component member of a semiconductor manufacturing apparatus according to claim 1, wherein the component member is obtained by subjecting a base material to an alumite treatment and having a deposited film formed thereon. 処理ガスをガス供給路を介して処理容器内に供給することにより処理容器内の基板に対して処理を行い、処理ガスが腐食性ガスであるか、または基板処理後に処理容器内に腐食性ガスであるクリーニングガスを供給して処理容器内をクリーニングする半導体製造装置であって、
請求項1ないし8のいずれか一に記載の構成部材を備えたことを特徴とする半導体製造装置。
The processing gas is supplied into the processing container through the gas supply path to process the substrate in the processing container, and the processing gas is a corrosive gas, or the corrosive gas in the processing container after the substrate processing. A semiconductor manufacturing apparatus for supplying a cleaning gas and cleaning the inside of a processing container,
A semiconductor manufacturing apparatus comprising the constituent member according to claim 1.
プラズマ処理工程を含む半導体製造装置であって、
請求項1ないし8のいずれか一に記載の構成部材を備えたことを特徴とする半導体製造装置。
A semiconductor manufacturing apparatus including a plasma processing step,
A semiconductor manufacturing apparatus comprising the constituent member according to claim 1.
JP2006148592A 2005-06-23 2006-05-29 Constitutional member of semiconductor manufacturing apparatus and semiconductor manufacturing apparatus Pending JP2007036197A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2006148592A JP2007036197A (en) 2005-06-23 2006-05-29 Constitutional member of semiconductor manufacturing apparatus and semiconductor manufacturing apparatus

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2005183486 2005-06-23
JP2006148592A JP2007036197A (en) 2005-06-23 2006-05-29 Constitutional member of semiconductor manufacturing apparatus and semiconductor manufacturing apparatus

Publications (1)

Publication Number Publication Date
JP2007036197A true JP2007036197A (en) 2007-02-08

Family

ID=37795016

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006148592A Pending JP2007036197A (en) 2005-06-23 2006-05-29 Constitutional member of semiconductor manufacturing apparatus and semiconductor manufacturing apparatus

Country Status (1)

Country Link
JP (1) JP2007036197A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012507630A (en) * 2008-11-04 2012-03-29 プラクスエア・テクノロジー・インコーポレイテッド Thermal spray coating for semiconductor applications

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001164354A (en) * 1999-12-10 2001-06-19 Tocalo Co Ltd Member inside plasma treatment chamber, and manufacturing method therefor
WO2003080892A1 (en) * 2002-03-21 2003-10-02 Lam Research Corporation Low contamination components for semiconductor processing apparatus and methods for making components
JP2004091850A (en) * 2002-08-30 2004-03-25 Tokyo Electron Ltd Treatment apparatus and treatment method
JP2004296887A (en) * 2003-03-27 2004-10-21 Hitachi Kokusai Electric Inc Manufacturing method of semiconductor device and substrate treatment equipment
JP2005026687A (en) * 2003-07-01 2005-01-27 Ips Ltd Vapor disposition method for thin film
WO2005034210A1 (en) * 2003-09-30 2005-04-14 Tokyo Electron Limited Method and processing system for monitoring status of system components

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001164354A (en) * 1999-12-10 2001-06-19 Tocalo Co Ltd Member inside plasma treatment chamber, and manufacturing method therefor
WO2003080892A1 (en) * 2002-03-21 2003-10-02 Lam Research Corporation Low contamination components for semiconductor processing apparatus and methods for making components
JP2004091850A (en) * 2002-08-30 2004-03-25 Tokyo Electron Ltd Treatment apparatus and treatment method
JP2004296887A (en) * 2003-03-27 2004-10-21 Hitachi Kokusai Electric Inc Manufacturing method of semiconductor device and substrate treatment equipment
JP2005026687A (en) * 2003-07-01 2005-01-27 Ips Ltd Vapor disposition method for thin film
WO2005034210A1 (en) * 2003-09-30 2005-04-14 Tokyo Electron Limited Method and processing system for monitoring status of system components

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012507630A (en) * 2008-11-04 2012-03-29 プラクスエア・テクノロジー・インコーポレイテッド Thermal spray coating for semiconductor applications

Similar Documents

Publication Publication Date Title
JP5028755B2 (en) Surface treatment method for semiconductor processing equipment
KR100915722B1 (en) Constitutional member for semiconductor processing apparatus and method for producing same
JP7391047B2 (en) In-situ CVD and ALD coating of chambers to control metal contamination
TWI679713B (en) Method of conditioning vacuum chamber of semiconductor substrate processing apparatus
US10381236B2 (en) Method of processing target object
TW399237B (en) Film forming method and apparatus
CN111593324A (en) Porous matrix filter and method of making same
JP2000299289A (en) Cleaning gas and cleaning method of vacuum processing apparatus
JPH07216589A (en) Surface treating method and plasma treating device
JP2008078448A (en) Substrate treatment device
JP5208756B2 (en) Ti-based film forming method and storage medium
JP2017508891A (en) Diffusion bonded plasma resistant chemical vapor deposition (CVD) chamber heater
KR20220084395A (en) Hafnium Aluminum Oxide Coatings Deposited by Atomic Layer Deposition
WO2017057775A1 (en) Method and apparatus for coating inner surface
JP2007036197A (en) Constitutional member of semiconductor manufacturing apparatus and semiconductor manufacturing apparatus
JP7016920B2 (en) Substrate processing equipment, substrate support, semiconductor device manufacturing method and substrate processing method
WO2021065327A1 (en) Corrosion-resistant member
TW202113118A (en) A corrosion resistant film on a chamber component and methods of depositing thereof
JP2002167673A (en) Cvd film deposition method and method for removing deposition
JP2003188159A (en) Cvd apparatus and manufacturing method for semiconductor device
US20230290615A1 (en) Multilayer coating for corrosion resistance
US20230323531A1 (en) Coating interior surfaces of complex bodies by atomic layer deposition
US8105648B2 (en) Method for operating a chemical deposition chamber
WO2023026412A1 (en) Substrate supporting tool, substrate processing apparatus, and method for producing semiconductor device
JP2006120974A (en) Plasma cvd device

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090403

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090713

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120214

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120416

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20130129