JP2006519992A - Profiling complex surface structures using scanning interferometry - Google Patents

Profiling complex surface structures using scanning interferometry Download PDF

Info

Publication number
JP2006519992A
JP2006519992A JP2006506943A JP2006506943A JP2006519992A JP 2006519992 A JP2006519992 A JP 2006519992A JP 2006506943 A JP2006506943 A JP 2006506943A JP 2006506943 A JP2006506943 A JP 2006506943A JP 2006519992 A JP2006519992 A JP 2006519992A
Authority
JP
Japan
Prior art keywords
test object
scanning interferometry
information
scanning
signal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2006506943A
Other languages
Japanese (ja)
Other versions
JP4791354B2 (en
Inventor
グロート、ピーター ジェイ. デ
ストーナー、ロバート
レガ、ザビエル コロナ デ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Zygo Corp
Original Assignee
Zygo Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Zygo Corp filed Critical Zygo Corp
Publication of JP2006519992A publication Critical patent/JP2006519992A/en
Application granted granted Critical
Publication of JP4791354B2 publication Critical patent/JP4791354B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/24Measuring arrangements characterised by the use of optical techniques for measuring contours or curvatures
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02001Interferometers characterised by controlling or generating intrinsic radiation properties
    • G01B9/0201Interferometers characterised by controlling or generating intrinsic radiation properties using temporal phase variation
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0675Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating using interferometry
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02055Reduction or prevention of errors; Testing; Calibration
    • G01B9/02056Passive reduction of errors
    • G01B9/02057Passive reduction of errors by using common path configuration, i.e. reference and object path almost entirely overlapping
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02083Interferometers characterised by particular signal processing and presentation
    • G01B9/02084Processing in the Fourier or frequency domain when not imaged in the frequency domain
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/02083Interferometers characterised by particular signal processing and presentation
    • G01B9/02088Matching signals with a database
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B9/00Measuring instruments characterised by the use of optical techniques
    • G01B9/02Interferometers
    • G01B9/0209Low-coherence interferometers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2290/00Aspects of interferometers not specifically covered by any group under G01B9/02
    • G01B2290/70Using polarization in the interferometer

Abstract

試験対象物の第1の表面箇所に対する走査干渉分光信号から導出可能な情報と試験対象物の複数のモデルに対応する情報とを比較することを含む方法であって、複数のモデルは、試験対象物に対する一連の特性によってパラメータ化される方法。複数のモデルに対応する情報は、試験対象物の各モデルに対応する走査干渉分光信号の変換分(例えば、フーリエ変換分)の少なくとも1つの振幅成分についての情報を含んでもよい。第2の側面では、モデルは固定された表面高さに対応するとともに、固定された表面高さとは異なる一連の特性によってパラメータ化されている。第3の側面では、比較は、走査干渉分光信号の系統的な影響を明確にすることを含む。A method comprising comparing information derivable from a scanning interferometry signal for a first surface location of a test object with information corresponding to a plurality of models of the test object, wherein the plurality of models is a test object A method that is parameterized by a set of properties for an object. The information corresponding to the plurality of models may include information on at least one amplitude component of the converted part (for example, Fourier transform part) of the scanning interference spectral signal corresponding to each model of the test object. In the second aspect, the model is parameterized by a set of characteristics corresponding to a fixed surface height and different from the fixed surface height. In a third aspect, the comparison includes clarifying the systematic effects of the scanning interferometry signal.

Description

本発明は、複雑な表面構造を有する対象物の表面トポグラフィおよび/または他の特性を、走査干渉分光法を用いて測定することに関する。複雑な表面構造としては、たとえば薄膜、材料が異なる別個の構造、または干渉顕微鏡の光学分解能では十分に分解できない別個の構造が挙げられる。このような測定は、フラット・パネル・ディスプレイ・コンポーネント、半導体ウェハ計測学、および、その現場での薄膜および異材料分析の特徴付けに関連する。   The present invention relates to measuring surface topography and / or other properties of objects having complex surface structures using scanning interferometry. Complex surface structures include, for example, thin films, separate structures of different materials, or separate structures that cannot be resolved sufficiently with the optical resolution of an interference microscope. Such measurements are related to the characterization of flat panel display components, semiconductor wafer metrology, and in-situ thin film and foreign material analysis.

本出願は、米国特許法第119条(e)に基づき、以下の米国仮出願に対して優先権を主張する。米国特許出願第10/452,615号明細書(2003年3月6日出願)、発明の名称「高さ走査干渉分光法を用いた複雑な表面構造のプロファイリング」、米国特許出願第10/452,465号明細書(2003年3月6日出願)、発明の名称「高さ走査干渉分光法からの信号を用いた複雑な表面構造のプロファイリング」、および米国特許出願第10/539,437号明細書(2004年1月26日出願)、発明の名称「干渉パターン・マッチング・テンプレートを用いた表面プロファイリング」。これらはすべて、参照により本明細書において組み込まれる。   This application claims priority to the following US provisional application under 35 USC 119 (e). US patent application Ser. No. 10 / 452,615 (filed Mar. 6, 2003), entitled “Profiling Complex Surface Structures Using Height Scanning Interferometry”, US Patent Application No. 10/452. , 465 (filed Mar. 6, 2003), title of invention "profiling complex surface structures using signals from height-scanning interferometry", and US patent application Ser. No. 10 / 539,437. Description (filed Jan. 26, 2004), title of invention “Surface Profiling Using Interference Pattern Matching Template”. All of which are incorporated herein by reference.

干渉分光技術は、対象物の表面プロファイルを測定するために広く用いられている。測定を行なうために、干渉計では、対象とする表面から反射される測定波面と、基準表面から反射される基準波面とを組み合わせて、インターフェログラムを生成する。インターフェログラムにおけるフリンジは、対象とする表面と基準表面との間の空間的な変化を示す。   Interferometry techniques are widely used to measure the surface profile of an object. In order to perform the measurement, the interferometer generates an interferogram by combining the measurement wavefront reflected from the target surface and the reference wavefront reflected from the reference surface. The fringe in the interferogram indicates a spatial change between the target surface and the reference surface.

走査型干渉計は、干渉計の基準脚と測定脚との間の光路長差(OPD)を、干渉波面のコヒーレンス長に匹敵するか、または、それよりも長い範囲に亘って走査して、インターフェログラムを測定するために用いられる各カメラ画素に対して走査干渉分光信号を生成する。白色光源を用いるなどすれば、制限されたコヒーレンス長を生成することができる。これは、走査型白色光干渉分光法(SWLI)と言われる。典型的な走査型白色光干渉分光法(SWLI)の信号は、少数のフリンジがゼロ光路差(OPD)位置付近に局所化したものである。信号の特徴は典型的には、正弦波の搬送波変調(「フリンジ」)に釣鐘形のフリンジ・コントラスト包絡線が伴ったものである。SWLI計測学の基礎となる従来の考え方は、局所化したフリンジを用いて、表面プロファイルを測定することである。   A scanning interferometer scans the optical path length difference (OPD) between the reference and measurement legs of the interferometer over a range that is comparable to or longer than the coherence length of the interference wavefront, A scanning interferometry signal is generated for each camera pixel used to measure the interferogram. If a white light source is used, a limited coherence length can be generated. This is referred to as scanning white light interferometry (SWLI). A typical scanning white light interferometry (SWLI) signal is a small number of fringes localized near the zero optical path difference (OPD) position. Signal characteristics are typically sinusoidal carrier modulation ("fringe") with a bell-shaped fringe contrast envelope. The traditional idea underlying SWLI metrology is to measure the surface profile using localized fringes.

SWLI処理技術には、2つの原理的な傾向がある。第1のアプローチは、包絡線のピークまたは中心の位置を見つけることである。その際、この位置は2本ビーム干渉計のゼロ光路差(OPD)に対応すると仮定している。2本ビーム干渉計の一方のビームは、対象物表面から反射する。第2のアプローチは、信号を周波数ドメインに変換して、波長に対する位相変化レートを計算することである。その際、基本的に線形である勾配は、対象物位置に正比例していると仮定している。たとえば、米国特許第1,398,113号明細書(ピータ・デ・グルート(Peter de Groot))を参照されたい。この後者のアプローチは、周波数ドメイン解析(FDA)と言われる。   There are two principal trends in SWLI processing technology. The first approach is to find the position of the peak or center of the envelope. In this case, it is assumed that this position corresponds to the zero optical path difference (OPD) of the two beam interferometer. One beam of the two-beam interferometer reflects from the object surface. The second approach is to convert the signal to the frequency domain and calculate the phase change rate with respect to wavelength. In this case, it is assumed that the gradient that is basically linear is directly proportional to the object position. See, for example, US Pat. No. 1,398,113 (Peter de Grot). This latter approach is referred to as frequency domain analysis (FDA).

残念ながら、このような仮定は、薄膜が設けられた試験対象物に適用した場合には機能しなくなることが考えられる。その理由は、反射が、最上面だけでなくその下の膜/基板界面でも起こるからである。最近、米国特許第6,545,763号明細書(S.W.キムおよびG.H.キム)に、このような構造に対処するための方法が開示された。この方法では、薄膜構造に対するSWLI信号の周波数ドメイン位相プロファイルを、種々の膜厚および表面高さに対して推定された周波数ドメイン位相プロファイルに、適合させる。正確な膜厚および表面高さが、最適化を同時に行なうことによって決定された。   Unfortunately, such assumptions may not work when applied to a test object provided with a thin film. The reason is that reflection occurs not only at the top surface but also at the underlying film / substrate interface. Recently, US Pat. No. 6,545,763 (SW Kim and GH Kim) disclosed a method for dealing with such structures. In this method, the frequency domain phase profile of the SWLI signal for the thin film structure is matched to the estimated frequency domain phase profile for various film thicknesses and surface heights. The exact film thickness and surface height were determined by performing optimization simultaneously.

発明者は、走査干渉分光信号の中に大量の情報が存在することを見出した。この情報は、従来の処理においてはほとんど無視されているものである。複雑な表面構造の場合、たとえば薄膜の場合だと、従来の処理技術では、性能が低下する恐れがある。従来の技術は、フリンジ・コントラスト包絡線におけるピーク箇所を特定すること、または周波数ドメイン位相プロファイルに対する勾配を計算することに基づいている。しかし、本明細書で開示する新規な処理技術によれば、表面高さ情報および/またはその複雑な表面構造についての情報を、抽出することができる。   The inventor has found that there is a large amount of information in the scanning interferometry signal. This information is almost ignored in conventional processing. In the case of a complicated surface structure, for example, in the case of a thin film, the performance may be degraded by the conventional processing technology. Prior art is based on identifying the peak location in the fringe contrast envelope or calculating the slope for the frequency domain phase profile. However, according to the novel processing technique disclosed herein, surface height information and / or information about its complex surface structure can be extracted.

たとえば、表面高さ情報が、フリンジ・コントラスト包絡線におけるピークに直接関係していると仮定しない場合、本発明のいくつかの実施形態においては、表面高さが変化することによって、基準走査位置に対する走査干渉分光信号が変化し、そうでない場合には、走査干渉分光信号の形状は保たれる。特に、複雑な表面構造を特徴付ける際には、走査干渉分光信号の形状が有用である。その理由は、信号の形状は、表面高さには無関係だからである。同様に、周波数ドメインでは、表面高さが変化することにより周波数ドメイン位相プロファイルに線形項が導入されることを、いくつかの実施形態において仮定している。これは、たとえ周波数ドメイン・プロファイルそれ自体が線形ではない場合にも、仮定される。しかし表面高さが変化しても、周波数ドメイン振幅プロファイルは変わらない。したがって、複雑な表面構造を特徴付ける際には、周波数ドメイン振幅プロファイルが特に有用である。   For example, if it is not assumed that the surface height information is directly related to the peak in the fringe contrast envelope, in some embodiments of the present invention, the surface height changes to If the scanning interferometry signal changes, otherwise, the shape of the scanning interferometry signal is maintained. In particular, when characterizing complex surface structures, the shape of the scanning interferometry signal is useful. This is because the shape of the signal is independent of the surface height. Similarly, in the frequency domain, it is assumed in some embodiments that a linear term is introduced into the frequency domain phase profile by changing the surface height. This is assumed even if the frequency domain profile itself is not linear. However, the frequency domain amplitude profile does not change when the surface height changes. Thus, frequency domain amplitude profiles are particularly useful in characterizing complex surface structures.

複雑な表面構造が特徴付けられれば、表面高さを効率的に決定することができる。たとえば、走査干渉分光信号と、複雑な表面構造に対応する形状を有するモデル信号との間の相互相関によって、表面高さに対応する走査座標においてピークを生成することができる。同様に、周波数ドメインにおいては、複雑な表面構造に起因する位相の影響を、周波数ドメイン位相プロファイルから差し引くことができる。また表面高さを、従来のFDA解析を用いて抽出することができる。   If complex surface structures are characterized, the surface height can be determined efficiently. For example, a peak can be generated at the scan coordinates corresponding to the surface height by cross-correlation between the scanning interferometry signal and a model signal having a shape corresponding to a complex surface structure. Similarly, in the frequency domain, phase effects due to complex surface structures can be subtracted from the frequency domain phase profile. The surface height can also be extracted using conventional FDA analysis.

複雑な表面構造の例としては、以下のものが挙げられる。単純な薄膜(この場合、たとえば、対象とする可変パラメータは、膜厚、膜の屈折率、基板の屈折率、またはそれらの何らかの組み合わせであってもよい);多層の薄膜;回折するか、その他の場合には複雑な干渉効果を生成する鋭いエッジおよび表面特徴;未処理の表面荒さ;未処理の表面特徴、たとえばその他の点では滑らかな表面上のサブ波長幅溝;異なる材料(たとえば、表面に薄膜および固体金属の組み合わせが含まれていてもよい。この場合、ライブラリは、両方の表面構造タイプを含み、薄膜または固体金属を、対応する周波数ドメイン・スペクトルに対するマッチングによって自動的に特定してもよい);光学活性たとえば蛍光性を生じる表面構造;表面の分光学特性、たとえば色彩および波長依存性の反射率;表面の偏光依存性の特性;干渉信号の乱れを招く表面または変形可能な表面特徴の歪み、振動、または運動。   Examples of complex surface structures include the following. Simple thin film (in this case, for example, the variable parameter of interest may be film thickness, film refractive index, substrate refractive index, or some combination thereof); multilayer thin film; diffracted or otherwise Sharp edges and surface features that generate complex interference effects; untreated surface roughness; untreated surface features, eg sub-wavelength grooves on otherwise smooth surfaces; different materials (eg, surface May contain a combination of thin film and solid metal, in which case the library will include both surface structure types and automatically identify the thin film or solid metal by matching against the corresponding frequency domain spectrum. Optical activity such as surface structures that produce fluorescence; surface spectroscopic properties such as color and wavelength dependent reflectivity; surface polarization Presence of characteristic, distortion of the surface or deformable surface features leading to disturbance of the interference signal, vibration or movement.

いくつかの実施形態においては、走査干渉分光信号を生成するために使用される光の制限されたコヒーレンス長は、白色光源、より一般的には広帯域の光源に基づいている。他の実施形態においては、光源は単色であってもよく、制限されたコヒーレンス長は、高い開口数(NA)を用いて試験対象物に光を送り、および/または試験対象物から光を受け取ることによって生成することができる。NAが高いことによって、光線は、ある角度範囲に亘って試験表面に接触し、OPDを走査したときに記録信号内に種々の空間周波数成分が生成される。さらなる実施形態においては、制限されたコヒーレンスを両方の効果の組み合わせから生成することができる。   In some embodiments, the limited coherence length of the light used to generate the scanning interferometry signal is based on a white light source, more generally a broadband light source. In other embodiments, the light source may be monochromatic and the limited coherence length uses a high numerical aperture (NA) to send light to and / or receive light from the test object. Can be generated. Due to the high NA, the light ray contacts the test surface over a range of angles and various spatial frequency components are generated in the recorded signal when the OPD is scanned. In further embodiments, limited coherence can be generated from a combination of both effects.

制限されたコヒーレンス長の原因も、走査干渉分光信号内に情報が存在することに対する物理的な基礎である。すなわち、走査干渉分光信号は、複雑な表面構造についての情報を含む。その理由は、この信号は、光線が、多くの異なる波長および/または多くの異なる角度で試験表面に接触することによって生成されるからである。   The cause of the limited coherence length is also the physical basis for the presence of information in the scanning interferometry signal. That is, the scanning interferometry signal includes information about a complex surface structure. The reason is that this signal is generated by contacting the test surface with many different wavelengths and / or many different angles.

本明細書で説明する処理技術では、試験対象物の第1の表面箇所に対する走査干渉分光信号から導出可能な情報(走査干渉分光信号それ自体を含む)を、試験対象物の複数のモデルに対応する情報と比較する。複数のモデルは、試験対象物に対する一連の特性によってパラメータ化される。たとえば、試験対象物を、薄膜としてモデリングすることができ、一連の特性を、薄膜の厚みに対する一連の値とすることができる。比較されている情報は、たとえば、周波数ドメイン位相プロファイルについての情報を含んでもよく、さらには、走査干渉分光データの形状についての情報および/または周波数ドメイン振幅プロファイルについての情報を含んでもよい。さらに、比較を、第1の表面箇所における表面高さではなく、複雑な表面構造に絞るために、複数のモデルはすべて、試験対象物の第1の表面箇所における固定された表面高さに対応することができる。比較それ自体は、実際の走査干渉分光信号からの情報と各モデルからの情報との間の類似性を示すメリット関数の計算に基づくことができる。たとえば、メリット関数は、走査干渉分光データから導出可能な情報と一連の特性によってパラメータ化された関数との間の適合性を示し得る。   In the processing techniques described herein, information that can be derived from the scanning interference spectral signal for the first surface location of the test object (including the scanning interference spectral signal itself) corresponds to multiple models of the test object. Compare with the information you want. The multiple models are parameterized by a series of characteristics for the test object. For example, the test object can be modeled as a thin film, and the set of properties can be a set of values for the thickness of the thin film. The information being compared may include, for example, information about the frequency domain phase profile, and may further include information about the shape of the scanning interferometry data and / or information about the frequency domain amplitude profile. In addition, all the models correspond to a fixed surface height at the first surface location of the test object in order to focus the comparison on a complex surface structure rather than a surface height at the first surface location. can do. The comparison itself can be based on the calculation of a merit function that indicates the similarity between the information from the actual scanning interferometry signal and the information from each model. For example, a merit function may indicate a fit between information derivable from scanning interferometry data and a function parameterized by a set of characteristics.

さらに、いくつかの実施形態においては、一連の特性は、第1の箇所とは異なる第2の箇所における試験対象物の特性に対応する。このような試験対象物としては、たとえば、第1の表面箇所に対する界面信号に影響する回折性の表面構造が挙げられる。そのため、複雑な表面構造を、走査干渉分光信号に対応する第1の表面箇所における表面高さ以外の何々である、と言う場合が多いが、複雑な表面構造は、走査干渉分光信号に対応する第1の表面箇所から離間して配置された表面高さ特徴に対応していてもよい。   Further, in some embodiments, the set of characteristics corresponds to the characteristics of the test object at a second location that is different from the first location. Examples of such a test object include a diffractive surface structure that affects an interface signal with respect to the first surface portion. Therefore, in many cases, the complex surface structure is anything other than the surface height at the first surface location corresponding to the scanning interference spectral signal, but the complicated surface structure corresponds to the scanning interference spectral signal. It may correspond to a surface height feature that is spaced from the first surface location.

本明細書で説明する方法および技術は、半導体チップのイン・プロセス計測測定に対して用いることができる。たとえば、ウェハ上の誘電体層を化学的機械的研磨(CMP)する間に、走査干渉分光測定を、非接触の表面トポグラフィ測定用半導体ウェハに対して用いることができる。CMPは、誘電体層に対して滑らかな表面を形成するために使用される。滑らかな表面は、精密な光リソグラフィにとって好適である。干渉分光トポグラフィ法の結果に基づいて、CMPに対するプロセス条件(たとえば、パッド圧力、研磨用スラリ組成など)を調整して、表面不均一性を許容限界内に保つことができる。   The methods and techniques described herein can be used for in-process metrology measurements of semiconductor chips. For example, scanning interferometry can be used on a contactless surface topography measurement semiconductor wafer while chemical mechanical polishing (CMP) of a dielectric layer on the wafer. CMP is used to form a smooth surface for the dielectric layer. A smooth surface is suitable for precision photolithography. Based on the results of the interferometric topography method, process conditions for CMP (eg, pad pressure, polishing slurry composition, etc.) can be adjusted to keep the surface non-uniformity within acceptable limits.

次に、本発明の種々の態様および特徴を要約する。
一般的に、一態様においては、本発明の特徴は、試験対象物の第1の表面箇所に対する走査干渉分光信号から導出可能な情報と試験対象物の複数のモデルに対応する情報とを比較することを含む方法であって、複数のモデルは、試験対象物に対する一連の特性によってパラメータ化される方法である。
The various aspects and features of the invention will now be summarized.
In general, in one aspect, features of the present invention compare information derivable from scanning interferometry signals for a first surface location of a test object with information corresponding to multiple models of the test object. The plurality of models is a method that is parameterized by a set of characteristics for the test object.

本発明の実施形態は、以下の特徴のうちのどれを含んでいてもよい。
本方法はさらに、比較に基づいて試験対象物に対する正確な特性を決定することを含んでいてもよい。
Embodiments of the invention may include any of the following features.
The method may further include determining an exact characteristic for the test object based on the comparison.

本方法はさらに、比較に基づいて第1の表面箇所に対する相対的な表面高さを決定することを含んでいてもよい。相対的な表面高さを決定することは、どのモデルが、試験対象物に対する特性のうちの正確な特性に対応するかを比較に基づいて決定すること、正確な特性に対応するモデルを用いて相対的な表面高さを計算することを含んでもよい。   The method may further include determining a relative surface height relative to the first surface location based on the comparison. Determining the relative surface height is based on a comparison that determines which model corresponds to the exact one of the properties for the test object, using a model that corresponds to the exact property. It may include calculating the relative surface height.

たとえば、正確な特性に対応するモデルを用いることは、走査干渉分光信号からのデータを補正して、正確な特性から生じる影響を減らすことを含んでもよい。データを補正することは、正確な特性から生じる位相の影響を、試験対象物に対する走査干渉分光信号の変換分の位相成分から取り出すことを含み、正確な特性に対応するモデルを用いることはさらに、正確な特性から生じる位相の影響を取り除いた後に、変換分の位相成分から相対的な表面高さを計算することを含んでもよい。   For example, using a model corresponding to the exact characteristic may include correcting data from the scanning interferometry signal to reduce the effects resulting from the exact characteristic. Correcting the data includes extracting the phase effect resulting from the exact characteristic from the phase component of the transform of the scanning interferometry signal on the test object, and using the model corresponding to the exact characteristic further It may include calculating the relative surface height from the phase component of the transformation after removing the phase effect resulting from the exact characteristic.

他の例では、正確な特性に対応するモデルを用いて相対的な表面高さを計算することは、試験対象物に対する情報と正確な特性に対応するモデルに対する情報とを比較するために使用される相関関数におけるピークの位置を決定することを含んでもよい。   In another example, calculating the relative surface height using a model corresponding to the exact property is used to compare information for the test object with information for the model corresponding to the exact property. Determining the position of the peak in the correlation function.

本方法はさらに、さらなる表面箇所に対する走査干渉分光信号から導出可能な情報と複数のモデルに対応する情報とを比較することを含んでいてもよい。また本方法はさらに、比較に基づいて試験対象物に対する表面高さプロファイルを決定することを含んでいてもよい。   The method may further include comparing information derivable from the scanning interferometry signal for additional surface locations with information corresponding to the plurality of models. The method may also further include determining a surface height profile for the test object based on the comparison.

比較することは、走査干渉分光信号から導出可能な情報と各モデルに対応する情報との間の類似性を示す1つまたは複数のメリット関数を計算することを含んでもよい。
比較することは、走査干渉分光信号から導出可能な情報を、モデルに対応する情報に対する表現にフィッティングすることを含んでもよい。
Comparing may include calculating one or more merit functions that indicate the similarity between information derivable from the scanning interferometry signal and information corresponding to each model.
Comparing may include fitting information derivable from the scanning interferometry signal into a representation for the information corresponding to the model.

複数のモデルに対応する情報は、試験対象物の各モデルに対応する走査干渉分光信号の変換分(たとえばフーリエ変換分)の少なくとも1つの振幅成分についての情報を含んでもよい。同様に、走査干渉分光信号から導出可能な情報は、試験対象物に対する走査干渉分光信号の変換分の少なくとも1つの振幅成分についての情報を含んでもよい。   The information corresponding to the plurality of models may include information on at least one amplitude component of the converted part (for example, Fourier transform part) of the scanning interference spectral signal corresponding to each model of the test object. Similarly, information derivable from the scanning interference spectral signal may include information on at least one amplitude component of the converted scanning interference spectral signal for the test object.

比較することは、試験対象物に対する少なくとも1つの振幅成分の相対的強さと、各モデルに対する少なくとも1つの振幅成分の相対的強さとを比較することを含んでもよい。
複数のモデルに対応する情報は、変換分に対する座標の関数であってもよい。たとえば、複数のモデルに対応する情報は、各モデルに対する変換分の振幅プロファイルを含んでもよい。さらに、比較することは、試験対象物に対する走査干渉分光信号の変換分の振幅プロファイルと、モデルに対する各振幅プロファイルとを比較することを含んでもよい。
Comparing may include comparing the relative strength of the at least one amplitude component for the test object with the relative strength of the at least one amplitude component for each model.
The information corresponding to the plurality of models may be a function of coordinates with respect to the converted amount. For example, the information corresponding to a plurality of models may include a converted amplitude profile for each model. Further, the comparing may include comparing the amplitude profile of the converted scanning interference spectral signal for the test object with each amplitude profile for the model.

比較することはさらに、試験対象物に対する走査干渉分光信号の変換分の位相プロファイルにおける情報と、各モデルに対する変換分の位相プロファイルにおける情報とを比較することを含んでもよい。たとえば、位相プロファイルにおける情報は、変換座標に関する位相プロファイルの非線形性および/または位相ずれ値についての情報を含んでもよい。   Comparing may further include comparing information in the phase profile of the conversion of the scanning interferometry signal for the test object with information in the phase profile of the conversion for each model. For example, the information in the phase profile may include information about the phase profile non-linearity and / or phase shift values with respect to the transformed coordinates.

走査干渉分光信号から導出可能でおよび比較されている情報は、数であってもよい。あるいは、走査干渉分光信号から導出可能でおよび比較されている情報は、関数であってもよい。たとえば関数は、走査位置の関数であってもよいし、空間周波数の関数であってもよい。   The information that can be derived and compared from the scanning interferometry signal may be a number. Alternatively, the information that can be derived and compared from the scanning interferometry signal may be a function. For example, the function may be a scan position function or a spatial frequency function.

試験対象物に対する情報を、試験対象物に対する走査干渉分光信号を空間周波数ドメインに変換(たとえばフーリエ変換)することから得てもよい。試験対象物に対する情報は、変換分の振幅プロファイルおよび/または変換分の位相プロファイルについての情報を含んでもよい。   Information for the test object may be obtained from transforming the scanning interferometry signal for the test object into the spatial frequency domain (eg, Fourier transform). The information on the test object may include information on the converted amplitude profile and / or the converted phase profile.

試験対象物に対する情報は、試験対象物の第1の箇所における走査干渉分光信号の形状に関していてもよい。たとえば、試験対象物に対する情報は、走査干渉分光信号の形状におけるフリンジ・コントラストの振幅に関していてもよい。また試験対象物に対する情報は、走査干渉分光信号の形状におけるゼロ交差間の相対間隔に関していてもよい。また試験対象物に対する情報を、走査位置の関数として表現し、関数を走査干渉分光信号の形状から得てもよい。   Information about the test object may relate to the shape of the scanning interferometry signal at the first location of the test object. For example, the information for the test object may relate to the fringe contrast amplitude in the shape of the scanning interferometry signal. Information about the test object may also relate to the relative spacing between zero crossings in the shape of the scanning interferometry signal. Further, information on the test object may be expressed as a function of the scanning position, and the function may be obtained from the shape of the scanning interference spectral signal.

比較することは、試験対象物に対する情報と各モデルに対する情報との間の相関関数(たとえば複素相関関数)を計算することを含んでもよい。比較することは、各相関関数における1つまたは複数のピーク値を決定することをさらに含んでもよい。そして本方法はさらに、最大のピーク値に対応するモデルのパラメータ化に基づいて試験対象物に対する正確な特性を決定することを含んでいてもよい。代替的に、または加えて、本方法はさらに、相関関数における少なくとも1つのピーク値に対する座標に基づいて試験対象物の第1の表面箇所における相対的な表面高さを決定することを含んでいてもよい。   Comparing may include calculating a correlation function (eg, a complex correlation function) between information for the test object and information for each model. Comparing may further include determining one or more peak values in each correlation function. And the method may further comprise determining an exact characteristic for the test object based on the parameterization of the model corresponding to the maximum peak value. Alternatively or additionally, the method further includes determining a relative surface height at the first surface location of the test object based on coordinates for at least one peak value in the correlation function. Also good.

複数のモデルは、試験対象物の第1の箇所における固定された表面高さに対応していてもよい。
一連の特性は、試験対象物の少なくとも1つの物理パラメータに対する一連の値を含んでもよい。たとえば、試験対象物は、厚みを有する薄膜層を含み、物理パラメータは、第1の箇所における薄膜の厚みであってもよい。
The plurality of models may correspond to a fixed surface height at the first location of the test object.
The set of characteristics may include a set of values for at least one physical parameter of the test object. For example, the test object may include a thin film layer having a thickness, and the physical parameter may be the thickness of the thin film at the first location.

一連の特性は、第1の表面箇所とは異なる第2の表面箇所における試験対象物の一連の特性を含んでもよい。たとえば、光を回折して第1の表面箇所に対する走査干渉分光信号に影響する第2の表面箇所における構造を含んでもよい。ある例では、第2の表面箇所における一連の特性は、第2の箇所におけるステップ高さに対する振幅と第2の箇所に対する位置との置換を含んでもよい。他の例では、第2の表面箇所における一連の特性は、格子に対する変調深さと格子のオフセット位置との置換を含み、格子は第2の箇所に亘って延びていてもよい。   The set of properties may include a set of properties of the test object at a second surface location that is different from the first surface location. For example, it may include a structure at the second surface location that diffracts light and affects the scanning interferometry signal for the first surface location. In one example, the set of characteristics at the second surface location may include a substitution of the amplitude for the step height at the second location and the position for the second location. In another example, the series of characteristics at the second surface location may include permutation of the modulation depth relative to the grating and the offset position of the grating, and the grating may extend across the second location.

一連の特性は、試験対象物に対する一連の表面材料であってもよい。
一連の特性は、試験対象物に対する一連の表面層構成であってもよい。
走査干渉分光信号が、走査干渉分光システムによって生成されてもよく、また比較することは、走査干渉分光システムから生じる走査干渉分光信号に対する系統的な影響を明確にすることを含んでいてもよい。たとえば、系統的な影響は、走査干渉分光システムのコンポーネントからの反射時の位相変化の分散についての情報を含んでもよい。さらに本方法は、さらなる表面箇所に対する走査干渉分光信号から導出可能な情報と複数のモデルに対応する情報とを比較することを含んでいてもよい。この場合、系統的な影響を、表面箇所の複数に対して解析してもよい。本方法はさらに、特性が既知の他の試験対象物を用いて、走査干渉分光システムの系統的な影響を校正することを含んでいてもよい。
The series of properties may be a series of surface materials for the test object.
The series of properties may be a series of surface layer configurations for the test object.
The scanning interferometry signal may be generated by the scanning interferometry system and comparing may include defining systematic effects on the scanning interferometry signal that results from the scanning interferometry system. For example, the systematic effects may include information about the dispersion of phase changes upon reflection from components of the scanning interferometry system. The method may further include comparing information derivable from the scanning interferometry signal for additional surface locations with information corresponding to the plurality of models. In this case, systematic influences may be analyzed for a plurality of surface locations. The method may further include calibrating the systematic effects of the scanning interferometry system with other test objects of known characteristics.

走査干渉分光信号の生成を、試験対象物から現れる試験光を結像して検出器上で基準光と干渉させることと、試験光の干渉部分と基準光の干渉部分との間における共通の光源から検出器までの光路長差を変えることとによって行ない、試験光および基準光を共通の光源(たとえば、空間的に拡張された光源)から引き出して、走査干渉分光信号は、光路長差を変えたときに検出器によって測定される干渉強度に対応していてもよい。   The generation of the scanning interferometry signal is formed by imaging the test light emerging from the test object and causing it to interfere with the reference light on the detector, and a common light source between the interference part of the test light and the interference part of the reference light The scanning interferometry signal changes the optical path length difference by extracting the test light and the reference light from a common light source (eg, a spatially extended light source) by changing the optical path length difference from the sensor to the detector. May correspond to the interference intensity measured by the detector.

試験光および基準光は、スペクトルの帯域幅が、試験光および基準光に対する中心周波数の5%より大きくてもよい。
共通の光源がスペクトルのコヒーレンス長を有し、光路長差をスペクトルのコヒーレンス長よりも長い範囲に亘って変えて、走査干渉分光信号を生成してもよい。
The test light and the reference light may have a spectral bandwidth greater than 5% of the center frequency for the test light and the reference light.
The common light source may have a spectral coherence length, and the optical path length difference may be changed over a range longer than the spectral coherence length to generate the scanning interference spectral signal.

試験光を試験対象物上に送って検出器上に結像するために使用されるオプティクスによって、試験光に対する開口数が、0.8よりも大きな値に規定されてもよい。
本方法はさらに、走査干渉分光信号を生成することを含んでいてもよい。
Depending on the optics used to send the test light onto the test object and image it on the detector, the numerical aperture for the test light may be defined as a value greater than 0.8.
The method may further include generating a scanning interferometry signal.

他の態様においては、本発明の特徴は、コンピュータ内のプロセッサに、試験対象物の第1の表面箇所に対する走査干渉分光信号から導出可能な情報と試験対象物の複数のモデルに対応する情報とを比較させるプログラムを有するコンピュータ読取可能な媒体を含む装置であって、複数のモデルは、試験対象物に対する一連の特性によってパラメータ化される装置である。   In another aspect, the invention features a processor in a computer that can derive information from a scanning interferometry signal for a first surface location of a test object and information corresponding to a plurality of models of the test object. An apparatus including a computer readable medium having a program for comparing the plurality of models, wherein the plurality of models are parameterized by a series of characteristics for the test object.

本装置は、本方法と関連して前述した特徴のどれを含んでもよい。
他の態様においては、本発明の特徴は、走査干渉分光信号を生成するように構成された走査干渉分光システムと、走査干渉分光システムに結合されて走査干渉分光信号を受け取る電子プロセッサであって、試験対象物の第1の表面箇所に対する走査干渉分光信号から導出可能な情報と、試験対象物の複数のモデルに対応する情報とを比較するようにプログラムされた電子プロセッサと、を含む装置であって、複数のモデルは、試験対象物に対する一連の特性によってパラメータ化される装置である。
The apparatus may include any of the features described above in connection with the method.
In another aspect, the invention features a scanning interferometry system configured to generate a scanning interferometry signal and an electronic processor coupled to the scanning interferometry system to receive the scanning interferometry signal, An apparatus comprising: an electronic processor programmed to compare information derivable from scanning interferometry signals for a first surface location of a test object with information corresponding to a plurality of models of the test object. Thus, the multiple models are devices that are parameterized by a series of characteristics for the test object.

本装置は、本方法と関連して前述した特徴のどれを含んでもよい。
一般的に、他の態様においては、本発明の特徴は、試験対象物を化学的機械的研磨することと、試験対象物の表面トポグラフィに対する走査干渉分光データを収集することと、走査干渉分光データから得られる情報に基づいて試験対象物の化学的機械的研磨に対するプロセス条件を調整することと、を含む方法である。たとえば、プロセス条件は、パッド圧力および/または研磨用スラリ組成であってもよい。好ましい実施形態においては、走査干渉分光データから得られる情報に基づいてプロセス条件を調整することは、試験対象物の少なくとも第1の表面箇所に対する走査干渉分光信号から導出可能な情報と、試験対象物の複数のモデルに対応する情報とを比較することを含み、複数のモデルは、試験対象物に対する一連の特性によってパラメータ化されていてもよい。走査干渉分光信号の解析はさらに、最初に述べた方法とともに前述した特徴のどれを含んでもよい。
The apparatus may include any of the features described above in connection with the method.
In general, in another aspect, features of the present invention include chemical mechanical polishing of a test object, collecting scanning interferometry data for the surface topography of the test object, and scanning interferometry data Adjusting the process conditions for chemical mechanical polishing of the test object based on the information obtained from the method. For example, the process conditions may be pad pressure and / or polishing slurry composition. In a preferred embodiment, adjusting the process conditions based on information obtained from scanning interferometry data includes information derivable from scanning interferometry signals for at least a first surface location of the test object, and the test object. The plurality of models may be parameterized by a series of characteristics for the test object. The analysis of the scanning interferometry signal may further include any of the features described above in conjunction with the first described method.

別に定義のない限り、本明細書で用いるすべての技術用語および科学用語の意味は、本発明が属する分野の当業者が普通に理解するものと同じである。本明細書において参照により述べられ取り入れられた刊行物、特許出願、特許、および他の参考文献と相容れない場合には、本明細書は、定義も含めて、照査される。   Unless defined otherwise, all technical and scientific terms used herein have the same meaning as commonly understood by one of ordinary skill in the art to which this invention belongs. In case of conflict with publications, patent applications, patents, and other references mentioned and incorporated herein by reference, the specification, including definitions, will be reviewed.

本発明の他の特徴、目的、および優位性は、以下の詳細な説明から明らかになる。
異なる図面における同様の参照数字は、共通の要素を指している。
Other features, objects, and advantages of the present invention will become apparent from the following detailed description.
Like reference numerals in different drawings refer to common elements.

図1に示すのは、走査干渉分光データの解析が空間周波数ドメイン内で行なわれる本発明の一実施形態を概略的に説明するフロー・チャートである。
図1を参照して、試験対象物の表面からのデータを測定するために、干渉計を用いて、基準経路と測定経路との間の光路差(OPD)を機械的または電気光学的に走査する。測定経路は、対象物表面に向けられている。OPDは、走査の開始時には、対象物表面の局所的な高さの関数である。複数のカメラ画素が、対象物表面の異なる表面箇所に対応しており、各カメラ画素に対するOPD走査中の干渉強度信号を、コンピュータが記録する。次に、異なる表面箇所のそれぞれに対して、干渉強度信号をOPD走査位置の関数として記憶した後に、コンピュータが変換(たとえばフーリエ変換)を行なって、信号の周波数ドメイン・スペクトルを生成する。スペクトルは、振幅情報および位相情報の両方を、走査範囲における信号の空間周波数の関数として含む。たとえば、このようなスペクトルを生成するための好適な周波数ドメイン解析(FDA)が、以下の文献に開示されている。共通所有の米国特許第5,398,113号明細書(ピータ・デ・グルート)、発明の名称「インターフェログラムの空間周波数解析による表面トポグラフィ測定のための方法および装置」。なお、この文献の内容は本明細書において参照により組み込まれる。
FIG. 1 is a flow chart that schematically illustrates one embodiment of the present invention in which scanning interferometry data is analyzed in the spatial frequency domain.
Referring to FIG. 1, to measure data from the surface of a test object, an optical path difference (OPD) between a reference path and a measurement path is mechanically or electro-optically scanned using an interferometer. To do. The measurement path is directed to the object surface. The OPD is a function of the local height of the object surface at the start of the scan. A plurality of camera pixels correspond to different surface locations on the surface of the object, and the computer records an interference intensity signal during OPD scanning for each camera pixel. Next, after storing the interference intensity signal as a function of the OPD scan position for each of the different surface locations, the computer performs a transform (eg, a Fourier transform) to generate a frequency domain spectrum of the signal. The spectrum includes both amplitude information and phase information as a function of the spatial frequency of the signal in the scan range. For example, a suitable frequency domain analysis (FDA) for generating such a spectrum is disclosed in the following document. Commonly owned US Pat. No. 5,398,113 (Pita de Groot), title of invention “Method and apparatus for surface topography measurement by spatial frequency analysis of interferogram”. The contents of this document are incorporated herein by reference.

別個のステップにおいて、コンピュータは、種々の表面パラメータに対する周波数ドメイン・スペクトルに対する理論的な予測ライブラリと、干渉計に対するモデルとを生成する。これらのスペクトルは、たとえば、可能な薄膜厚み、表面材料、および表面テクスチャの範囲を網羅してもよい。好ましい実施形態においては、コンピュータは、一定の表面高さ、たとえば高さ=ゼロに対するライブラリ・スペクトルを生成する。したがって、このような実施形態においては、ライブラリに含まれる情報には、表面トポグラフィに関するものはまったくない。含まれる情報は単に、表面構造のタイプと、周波数ドメイン・スペクトルの特有の特徴を生成する際の表面構造、光学システム、照明、及び検出システムの相互作用と、に関するもののみである。代替例として、予測ライブラリを、サンプル加工品を用いて経験的に生成してもよい。他の代替例として、ライブラリは、他の機器、たとえばエリプソメータから与えられる対象物表面の事前の補足的な測定値からの情報、および対象物表面の既知の特性に関するユーザからの何らかの他の入力を用いて、未知の表面パラメータの数を減らしてもよい。補足的な測定によって補強されるライブラリ形成に対するこれらの手法である理論的モデリング、経験データ、または理論の何れかを補間によって拡張して、中間値を、ライブラリ形成の一部としてまたはライブラリ検索中にリアル・タイムで、生成してもよい。   In separate steps, the computer generates a theoretical prediction library for the frequency domain spectrum for various surface parameters and a model for the interferometer. These spectra may cover a range of possible thin film thicknesses, surface materials, and surface textures, for example. In a preferred embodiment, the computer generates a library spectrum for a constant surface height, eg height = zero. Thus, in such an embodiment, the information contained in the library has nothing to do with surface topography. The information included is only about the type of surface structure and the interaction of the surface structure, optical system, illumination, and detection system in generating the characteristic features of the frequency domain spectrum. As an alternative, the prediction library may be generated empirically using sample artifacts. As another alternative, the library may receive information from prior supplementary measurements of the object surface provided by other equipment, such as an ellipsometer, and any other input from the user regarding known characteristics of the object surface. It may be used to reduce the number of unknown surface parameters. Any of these approaches to library formation augmented by supplementary measurements, theoretical modeling, empirical data, or theory can be extended by interpolation to provide intermediate values as part of library formation or during library search. It may be generated in real time.

次のステップでは、実験データと予測ライブラリとの比較を、表面構造パラメータを提供するライブラリ検索を用いて行なう。厚みが未知の膜の例の場合、単一表面のタイプ、たとえばSi上のSiOに対するライブラリは、最上面高さが常にゼロに等しいような可能性のある多くの膜厚に及んでいる。他の例は表面荒さである。この場合、調整可能なパラメータは、荒さ深さおよび/または空間周波数であってもよい。ライブラリ検索によって、表面高さとは無関係なFDAスペクトル特性に対するマッチングが得られる。このような特性はたとえば、振幅スペクトルの平均値(表面の全体的な反射率に関係する)、または空間周波数の関数としての振幅の変化(単色の高NAシステムにおいて反射光の散乱角に関係する)である。 In the next step, the experimental data and the prediction library are compared using a library search that provides surface structure parameters. In the case of a film of unknown thickness, a library for a single surface type, eg SiO 2 on Si, spans many film thicknesses where the top surface height may always be equal to zero. Another example is surface roughness. In this case, the adjustable parameter may be roughness depth and / or spatial frequency. Library searching provides a match for FDA spectral characteristics that are independent of surface height. Such characteristics are related to, for example, the average value of the amplitude spectrum (related to the overall reflectivity of the surface) or the change in amplitude as a function of spatial frequency (in a monochromatic high NA system, the scattering angle of reflected light) ).

また解析は、システムの特徴付けを含んでもよい。システムの特徴付けとしては、たとえば、表面構造および表面トポグラフィが既知の1つまたは複数の基準加工品を測定して、システム波面誤差、分散、および効率(理論的なモデルには含まれ得ない)などのパラメータを決定することが挙げられる。   The analysis may also include system characterization. System characterization includes, for example, measuring one or more reference workpieces with known surface structure and surface topography to determine system wavefront error, variance, and efficiency (cannot be included in the theoretical model) And determining parameters such as

さらに解析は、全体的な校正を含んでもよい。全体的な校正としては、たとえば、1つまたは複数の基準加工品を測定して、測定された表面パラメータ、たとえばライブラリ検索によって決定された膜厚と、たとえば偏光解析によって独立に決定されたこれらのパラメータに対する値との間の相関関係を決定することが挙げられる。   Furthermore, the analysis may include an overall calibration. The overall calibration includes, for example, measuring one or more reference workpieces, and measuring these surface parameters, eg, film thickness determined by library search, and those determined independently, eg, by ellipsometry. Determining the correlation between values for parameters.

実験データと予測ライブラリとの比較に基づいて、コンピュータは、最良のマッチングに対応する表面モデルを特定する。コンピュータは、次に、表面パラメータ結果を数値的またはグラフィックにユーザまたはホスト・システムに表示または送信して、さらに解析またはデータ記憶ができるようにしてもよい。表面パラメータ結果を用いて、コンピュータは次に、ライブラリ検索によって特定される特性に加えて、表面高さ情報を決定してもよい。ある実施形態においては、コンピュータは、補正された位相スペクトルを生成する。これは、たとえば、実験的な位相スペクトルから、対応する理論的な位相スペクトルを直接差し引くことによって行なう。コンピュータは次に、1つまたは複数の表面点に対する局所的な表面高さを決定する。これは、空間周波数の関数としての補正された位相を解析することによって、たとえば線形フィットによって生成される係数を解析することによって行なう。その後、コンピュータは、高さデータと対応する像平面座標とから構築された完全な3次元画像を、ライブラリ検索により決定された表面特性のグラフィカル表示または数値表示とともに、生成する。   Based on the comparison of the experimental data with the prediction library, the computer identifies the surface model that corresponds to the best match. The computer may then display or send the surface parameter results numerically or graphically to a user or host system for further analysis or data storage. Using the surface parameter results, the computer may then determine surface height information in addition to the characteristics specified by the library search. In some embodiments, the computer generates a corrected phase spectrum. This is done, for example, by subtracting the corresponding theoretical phase spectrum directly from the experimental phase spectrum. The computer then determines a local surface height for the one or more surface points. This is done by analyzing the corrected phase as a function of spatial frequency, for example by analyzing the coefficients generated by a linear fit. The computer then generates a complete three-dimensional image constructed from the height data and the corresponding image plane coordinates, along with a graphical or numerical display of the surface characteristics determined by the library search.

場合によっては、ライブラリ検索およびデータ収集を、反復的に行なって、結果をさらに改善することができる。具体的には、ライブラリ検索を、画素ごとにまたは領域ベースで精緻なものにすることができる。これは、局所的な表面タイプに関連して精緻にされたライブラリを形成することによって、なされる。たとえば、予備的なライブラリ検索の間に、表面にほぼ1ミクロンの薄膜があることが判明した場合には、コンピュータは、1ミクロンに近い実例値のきめの細かいライブラリを生成して、検索をさらに精緻なものにする。   In some cases, library searching and data collection can be performed iteratively to further improve the results. Specifically, the library search can be refined on a pixel-by-pixel basis or on a region basis. This is done by forming a refined library in relation to the local surface type. For example, if during a preliminary library search it is found that there is a thin film of approximately 1 micron on the surface, the computer generates a fine-grained library of example values close to 1 micron to further search. Make it elaborate.

さらなる実施形態においては、ユーザの関心は、予測ライブラリによってモデリングされる表面特性だけで、表面高さではないこともあり得る。この場合には、表面高さを決定するステップは行なわない。逆に、ユーザの関心は、表面高さだけで、予測ライブラリ内でモデリングされる表面特性ではないこともあり得る。この場合には、コンピュータは、実験データと予測ライブラリとの間の比較を用いて、表面特性の影響に対する実験データを補正する。その結果、表面高さが、より正確に決定される。しかし表面特性をはっきりと決定する必要も、特性を表示する必要もない。   In further embodiments, the user's interest may be only the surface characteristics modeled by the prediction library, not the surface height. In this case, the step of determining the surface height is not performed. Conversely, the user's interest may be just the surface height, not the surface characteristics that are modeled in the prediction library. In this case, the computer uses a comparison between the experimental data and the prediction library to correct the experimental data for surface property effects. As a result, the surface height is determined more accurately. However, there is no need to determine the surface characteristics clearly or display the characteristics.

本解析は、以下のような種々の表面解析課題に適用してもよい。その表面解析課題は、たとえば、単純な薄膜(この場合は、たとえば、対象とする可変パラメータは、膜厚、膜の屈折率、基板の屈折率、またはそれらの何らかの組み合わせであってもよい);多層薄膜;回折するかその他の場合には複雑な干渉効果を生成する鋭いエッジおよび表面特徴;未処理の表面荒さ;未処理の表面特徴、たとえばその他の点では滑らかな表面上のサブ波長幅の溝;異なる材料(たとえば、表面に薄膜および固体金属の組み合わせが含まれていてもよい。この場合、ライブラリは、両方の表面構造タイプを含み、薄膜または固体金属を、対応する周波数ドメイン・スペクトルに対するマッチングによって自動的に特定してもよい);光学活性たとえば蛍光性;表面の分光学特性、たとえば色彩および波長依存性の反射率;表面の偏光依存性の特性;干渉信号の乱れを招く表面または変形可能な表面特徴の歪み、振動、または運動;およびデータ取得手順に関連するデータ歪みを含む。データ取得手順はたとえば、干渉強度データを完全には包含していないデータ取得ウィンドウがある。   This analysis may be applied to the following various surface analysis problems. The surface analysis task is, for example, a simple thin film (in this case, for example, the variable parameter of interest may be film thickness, film refractive index, substrate refractive index, or some combination thereof); Multilayer thin film; sharp edges and surface features that diffract or otherwise create complex interference effects; untreated surface roughness; untreated surface features, eg, subwavelength widths on otherwise smooth surfaces Different materials (eg, the surface may contain a combination of thin film and solid metal. In this case, the library will include both surface structure types, and the thin film or solid metal may be included in the corresponding frequency domain spectrum. May be automatically identified by matching); optical activity such as fluorescence; surface spectroscopic properties such as color and wavelength dependent reflection ; Including and data distortion associated with the data acquisition procedure; polarization dependence of the properties of the surface; surface distortion or deformable surface features leading to disturbance of the interference signal, vibration or movement. The data acquisition procedure includes, for example, a data acquisition window that does not completely contain interference intensity data.

干渉計は、以下の特徴のどれを含んでいてもよい。高い開口数(NA)の対物レンズを有するスペクトル的に狭帯域の光源;スペクトル的に広帯域の光源;高いNA対物レンズおよびスペクトル的に広帯域の光源の組み合わせ;干渉分光顕微鏡の対物レンズであって、たとえば油/水浸漬および固体浸漬の形式を、たとえばマイケルソン(Michelson)、ミラウ(Mirau)、またはリニック型(Linnik)幾何学的配置で含む対物レンズ;複数の波長における一連の測定値;未偏光光;偏光光であって、たとえば直線、円、または構造化された偏光光。たとえば、構造化された偏光光は、たとえば、偏光マスクを必要とし、照明瞳または結像瞳の別個のセグメントに対して異なる偏光を生成することで、表面特性に起因する偏光依存性の光学的効果を明らかにしてもよい。また干渉計は、前述した全体的なシステム校正を含んでいてもよい。   The interferometer may include any of the following features. A spectrally narrowband light source having a high numerical aperture (NA) objective lens; a spectrally broadband light source; a combination of a high NA objective lens and a spectrally broadband light source; Objective lenses including, for example, oil / water immersion and solid immersion formats, eg, in Michelson, Mirau, or Linnik geometry; a series of measurements at multiple wavelengths; unpolarized Light: polarized light, eg, linear, circular, or structured polarized light. For example, structured polarized light requires, for example, a polarization mask and produces different polarizations for separate segments of the illumination pupil or imaging pupil, resulting in polarization-dependent optical due to surface properties. You may clarify the effect. The interferometer may also include the overall system calibration described above.

理論および実験データを比較する際、ライブラリ検索は、以下のどれに基づいてもよい。周波数スペクトルにおける振幅および/または位相データ間の積または差、たとえば、平均の振幅および平均位相、平均の振幅それ自体、および平均位相それ自体の積または差;振幅スペクトルの勾配、幅および/または高さ;干渉コントラスト;DCまたはゼロ空間周波数での周波数スペクトルにおけるデータ;振幅スペクトルの非線形性または形状;位相のゼロ周波数遮断;位相スペクトルの非線形性または形状;およびこれらの基準の任意の組み合わせ。なお本明細書で用いるように、振幅および波高は交換可能に用いる。   When comparing theoretical and experimental data, the library search may be based on any of the following: Product or difference between amplitude and / or phase data in the frequency spectrum, eg, average amplitude and average phase, average amplitude itself, and average phase itself product or difference; amplitude spectrum slope, width and / or high Interference contrast; data in frequency spectrum at DC or zero spatial frequency; nonlinearity or shape of amplitude spectrum; zero frequency cutoff of phase; nonlinearity or shape of phase spectrum; and any combination of these criteria. As used herein, amplitude and wave height are used interchangeably.

図2に示すのは、走査干渉分光データの解析に対する他の実施形態を一般的に説明するフロー・チャートである。解析は、実験データと予測ライブラリとの間の比較が、走査座標ドメインにおける情報に基づく以外は、図1において説明したものと同様である。実験的な信号の特徴は、走査座標についての包絡線機能による振幅内の変調された擬似周期的な搬送振動であってもよい。理論および実験データを比較する際、ライブラリ検索は、以下のどれに基づいてもよい。平均の信号強さ;信号包絡線の形状、たとえば何らかの理想または基準の形状たとえばガウシアンからのずれ;包絡線機能についての搬送波信号の位相;ゼロ交差および/または信号最大および最小の相対間隔;最大および最小に対する値およびそれらの順序付け;最適な相対的走査位置に対する調整をした後のライブラリ信号と測定信号との間の相関関係のピーク値;およびこれらの基準の任意の組み合わせ。   Shown in FIG. 2 is a flow chart generally illustrating another embodiment for analysis of scanning interferometry data. The analysis is similar to that described in FIG. 1 except that the comparison between the experimental data and the prediction library is based on information in the scan coordinate domain. The experimental signal feature may be a quasi-periodic carrier vibration modulated in amplitude by an envelope function with respect to the scan coordinate. When comparing theoretical and experimental data, the library search may be based on any of the following: Average signal strength; shape of signal envelope, eg any ideal or reference shape, eg deviation from Gaussian; phase of carrier signal for envelope function; zero crossing and / or signal maximum and minimum relative spacing; maximum and The values for the minimum and their ordering; the peak value of the correlation between the library signal and the measurement signal after adjustment for the optimal relative scan position; and any combination of these criteria.

以下、解析の詳細な数学的説明を行なうとともに、実施例を示す。第1に、典型的な走査型干渉計について説明する。第2に、走査干渉分光データに対する数学的モデルを決定することについて説明する。第3に、表面の光学特性と、このような情報を用いて種々の表面特性に対する走査干渉分光データの正確なモデルを生成する方法とについて説明する。第4に、どのように実験的な干渉分光データと予測ライブラリとを比較して、試験対象物に関する情報を得るかについて説明する。最初に、薄膜応用例について説明し、その後に、他の複雑な表面構造、具体的には、光学的に十分に分解できないステップ高さおよび格子パターンへの応用例について説明する。また、最初は空間周波数ドメインにおける解析に話を絞り、その後に、走査座標ドメインにおける解析について説明する。   In the following, a detailed mathematical description of the analysis is given and examples are given. First, a typical scanning interferometer will be described. Second, the determination of a mathematical model for the scanning interferometry data will be described. Third, the optical characteristics of the surface and a method for generating an accurate model of scanning interference spectral data for various surface characteristics using such information will be described. Fourth, how to obtain information about the test object by comparing experimental interference spectroscopy data with the prediction library will be described. First, thin film applications will be described, and then other complex surface structures, specifically, step heights and lattice patterns that cannot be optically sufficiently resolved will be described. First, the analysis will be focused on the analysis in the spatial frequency domain, and then the analysis in the scanning coordinate domain will be described.

図3に示すのは、リニック型の走査型干渉計である。光源(図示せず)からの照明光102は、ビーム・スプリッタ104によって部分的に透過されて、基準光106を形成し、またビーム・スプリッタ104によって部分的に反射されて、測定光108を形成する。測定光は、測定用対物レンズ110によって、試験サンプル112(たとえば、1つまたは複数の異なる材料からなる薄い単一膜または多層膜を含むサンプル)上にフォーカスされる。同様に、基準光は、基準対物レンズ114によって基準ミラー116上にフォーカスされる。好ましくは、測定用および基準対物レンズは、共通の光学特性を有する(たとえば、開口数がマッチングされている)。試験サンプル112から反射された(または散乱されたかもしくは回折された)測定光は、測定用対物レンズ110を通って逆方向に伝搬し、ビーム・スプリッタ104によって透過されて、結像レンズ118によって検出器120上に結像される。同様に、基準ミラー116から反射された基準光は、基準対物レンズ114を通って逆方向に伝搬し、ビーム・スプリッタ104によって反射されて、結像レンズ118によって検出器120上に結像され、そこで測定光と干渉する。   FIG. 3 shows a linic type scanning interferometer. Illumination light 102 from a light source (not shown) is partially transmitted by beam splitter 104 to form reference light 106 and partially reflected by beam splitter 104 to form measurement light 108. To do. Measurement light is focused by a measurement objective 110 onto a test sample 112 (eg, a sample comprising a thin single film or multiple films of one or more different materials). Similarly, the reference light is focused on the reference mirror 116 by the reference objective lens 114. Preferably, the measurement and reference objectives have common optical properties (eg, numerical apertures are matched). Measurement light reflected (or scattered or diffracted) from the test sample 112 propagates backward through the measurement objective 110, is transmitted by the beam splitter 104, and is detected by the imaging lens 118. The image is formed on the device 120. Similarly, the reference light reflected from the reference mirror 116 propagates backward through the reference objective lens 114, is reflected by the beam splitter 104, and is imaged on the detector 120 by the imaging lens 118, There it interferes with the measurement light.

説明を簡単にするために、図3に示す測定および基準光は、試験サンプルおよび基準ミラー上の特定の点にそれぞれフォーカスされ、その後、検出器上の対応する点上で干渉する。このような光は、干渉計の測定脚および基準脚に対する瞳平面に垂直に伝搬する照明光部分に対応する。照明光の他の部分は最終的に、試験サンプルおよび基準ミラー上の他の点を照明する。そして、これらの点は、検出器上の対応する点に結像される。図3では、このことが、破線122によって例示されている。破線122は、試験サンプル上の異なる点から現れて検出器上の対応する点に結像される主光線に対応する。主光線は、測定脚の瞳平面124の中心で交わる。瞳平面124は、測定用対物レンズ110の後側焦点面である。試験サンプルから現れる光のうち、主光線とは異なる角度で現れるものは、瞳平面124の異なる箇所で交わる。   For ease of explanation, the measurement and reference light shown in FIG. 3 is focused to a specific point on the test sample and reference mirror, respectively, and then interferes on the corresponding point on the detector. Such light corresponds to the portion of the illumination light that propagates perpendicular to the pupil plane relative to the measurement and reference legs of the interferometer. The other part of the illumination light eventually illuminates the test sample and other points on the reference mirror. These points are then imaged at corresponding points on the detector. In FIG. 3, this is illustrated by the dashed line 122. Dashed lines 122 correspond to chief rays that emerge from different points on the test sample and are imaged at corresponding points on the detector. The chief rays intersect at the center of the pupil plane 124 of the measurement leg. The pupil plane 124 is a rear focal plane of the measurement objective lens 110. Of the light that emerges from the test sample, those that appear at an angle different from the principal ray intersect at different locations on the pupil plane 124.

好ましい実施形態においては、検出器12Qは、複数要素(すなわち多画素)カメラであって、試験サンプルおよび基準ミラー上の異なる点に対応する測定光と基準光との間の干渉を独立に測定する(すなわち、干渉パターンに対する空間分解能を与える)。   In a preferred embodiment, detector 12Q is a multi-element (ie, multi-pixel) camera that independently measures interference between measurement light and reference light corresponding to different points on the test sample and reference mirror. (Ie give spatial resolution for the interference pattern).

試験サンプル112に結合された走査ステージ126は、測定用対物レンズ110に対する試験サンプルの位置を走査する。これは、図3において走査座標によって示されている。たとえば、走査ステージは、圧電トランスデューサ(PZT)に基づくことができる。検出器120は、試験サンプルの相対的な位置が走査されているときに、光学的干渉の強度を検出器の1つまたは複数の画素において測定し、そして、その情報を解析のためにコンピュータ128に送る。   A scanning stage 126 coupled to the test sample 112 scans the position of the test sample relative to the measurement objective lens 110. This is indicated by the scan coordinates in FIG. For example, the scanning stage can be based on a piezoelectric transducer (PZT). Detector 120 measures the intensity of optical interference at one or more pixels of the detector as the relative position of the test sample is being scanned, and the information is analyzed by computer 128 for analysis. Send to.

走査が行なわれる領域は、測定光が試験サンプル上にフォーカスされている領域であるため、走査によって、光源から検出器までの測定光の光路長が変化する。この変化は、測定光が試験サンプルに入射するとともに、試験サンプルから現れる角度よって異なった依存をする。その結果、測定光の干渉部分と基準光の干渉部分との間における光源から検出器までの光路差(OPD)が、走査座標ζによって増減する仕方は、測定光が試験サンプルに入射するとともに、試験サンプルから現れる角度に依存して、異なる。本発明の他の実施形態においては、基準対物レンズ114に対する基準ミラー116の位置を走査することによって(測定用対物レンズ110に対して試験サンプル112を走査する代わりに)、同じ結果を実現することができる。   Since the region where scanning is performed is a region where the measurement light is focused on the test sample, the optical path length of the measurement light from the light source to the detector is changed by scanning. This change depends on the angle at which the measurement light is incident on the test sample and emerges from the test sample. As a result, the optical path difference (OPD) from the light source to the detector between the interference portion of the measurement light and the reference light interference portion is increased or decreased by the scanning coordinate ζ, while the measurement light is incident on the test sample, Depending on the angle emerging from the test sample. In other embodiments of the invention, the same result is achieved by scanning the position of the reference mirror 116 relative to the reference objective lens 114 (instead of scanning the test sample 112 relative to the measurement objective lens 110). Can do.

このように走査座標ζとともにOPDが変化する仕方に違いがあるために、検出器の各画素内で測定される干渉信号において、コヒーレンス長が制限されることになる。たとえば、干渉信号(走査座標の関数である)は通常、空間的コヒーレンス長がλ/2(NA)のオーダの包絡線によって変調される。ここで、λは照明光の公称上の波長であり、NAは測定用および基準対物レンズの開口数である。以下でさらに説明するように、干渉信号の変調によって、試験サンプルの反射率についての角度依存性の情報が得られる。制限された空間的コヒーレンスを増加させるために、走査型干渉計内の対物レンズが規定する開口数は大きいことが好ましい。たとえば、約0.7よりも大きい(またはより好ましくは、約0.8よりも大きく、もしくは約0.9よりも大きい)。また干渉信号は、照明源のスペクトルの帯域幅に付随する制限された時間的コヒーレンス長によって変調することもできる。干渉計の構成に依存して、これらの制限されたコヒーレンス長の効果のどれか一方が優勢になる場合もあるし、それらが両方とも全体的なコヒーレンス長に実質的に影響する場合もある。 Since there is a difference in how the OPD changes with the scanning coordinate ζ, the coherence length is limited in the interference signal measured in each pixel of the detector. For example, the interference signal (which is a function of the scan coordinate) is typically modulated by an envelope on the order of spatial coherence length λ / 2 (NA) 2 . Here, λ is the nominal wavelength of the illumination light, and NA is the numerical aperture of the measurement and reference objective lenses. As described further below, the modulation of the interference signal provides angle dependent information about the reflectivity of the test sample. In order to increase the limited spatial coherence, the numerical aperture defined by the objective lens in the scanning interferometer is preferably large. For example, greater than about 0.7 (or more preferably, greater than about 0.8 or greater than about 0.9). The interference signal can also be modulated by a limited temporal coherence length associated with the spectral bandwidth of the illumination source. Depending on the configuration of the interferometer, either one of these limited coherence length effects may dominate, or both may substantially affect the overall coherence length.

走査型干渉計の他の例は、図4に示すミラウ型干渉計である。
図4を参照して、光源モジュール205によって、照明光206がビーム・スプリッタ208に供給される。ビーム・スプリッタ208は、照明光206を、ミラウ干渉分光対物レンズ・アセンブリ210へ送る。アセンブリ210は、対物レンズ211、基準平面212(その小さい中心部分上に反射性のコーティングが施されていて、基準ミラー215を規定している)、およびビーム・スプリッタ213を含んでいる。動作中、対物レンズ211によって照明光が、試験サンプル220の方へ、基準平面212を通してフォーカスされる。ビーム・スプリッタ213によって、フォーカス光の第1の部分が基準ミラー215へ反射されて、基準光222が規定され、またフォーカス光の第2の部分が試験サンプル220に透過されて、測定光224が形成される。次に、ビーム・スプリッタ213は、試験サンプル220から反射された(または散乱された)測定光を、基準ミラー215から反射された基準光と、再結合する。そして対物レンズ211および結像レンズ230が、組み合わされた光を結像して、検出器(たとえば、マルチ画素カメラ)240上で干渉させる。図3のシステムの場合と同様に、検出器からの測定信号は、コンピュータ(図示せず)に送られる。
Another example of the scanning interferometer is the Mirau interferometer shown in FIG.
Referring to FIG. 4, illumination light 206 is supplied to beam splitter 208 by light source module 205. Beam splitter 208 sends illumination light 206 to Mirau interferometry objective assembly 210. The assembly 210 includes an objective lens 211, a reference plane 212 (having a reflective coating on its small central portion to define a reference mirror 215), and a beam splitter 213. In operation, illumination light is focused through the reference plane 212 toward the test sample 220 by the objective lens 211. The beam splitter 213 reflects the first portion of the focus light to the reference mirror 215 to define the reference light 222, and the second portion of the focus light is transmitted to the test sample 220 so that the measurement light 224 is It is formed. The beam splitter 213 then recombines the measurement light reflected (or scattered) from the test sample 220 with the reference light reflected from the reference mirror 215. The objective lens 211 and the imaging lens 230 form an image of the combined light and cause it to interfere on the detector (for example, a multi-pixel camera) 240. As with the system of FIG. 3, the measurement signal from the detector is sent to a computer (not shown).

図4の実施形態における走査では、圧電トランスデューサ(PZT)260が、ミラウ干渉分光法の対物レンズ・アセンブリ210に結合されている。圧電トランスデューサ(PZT)260は、アセンブリ210全体を、試験サンプル220に対して、対物レンズ211の光軸に沿って走査して、カメラの各画素において走査干渉分光データI(ζ、h)が得られるように構成されている。あるいは、PZTを、アセンブリ210ではなく試験サンプルに結合して、それらの間の相対運動が得られるようにしてもよい。これは、PZTアクチュエータ270によって示されている。さらに他の実施形態においては、基準ミラー215およびビーム・スプリッタ213の一方または両方を、対物レンズ211に対して対物レンズ211の光軸に沿って移動させることによって、走査を行なってもよい。   In the scan in the embodiment of FIG. 4, a piezoelectric transducer (PZT) 260 is coupled to the Mirau interferometry objective lens assembly 210. The piezoelectric transducer (PZT) 260 scans the entire assembly 210 with respect to the test sample 220 along the optical axis of the objective lens 211 to obtain scanning interference spectral data I (ζ, h) at each pixel of the camera. It is configured to be. Alternatively, the PZT may be coupled to the test sample rather than the assembly 210 to provide relative motion between them. This is illustrated by the PZT actuator 270. In still other embodiments, scanning may be performed by moving one or both of the reference mirror 215 and the beam splitter 213 relative to the objective lens 211 along the optical axis of the objective lens 211.

光源モジュール205は、空間的に拡張された光源201、望遠鏡(レンズ202および203によって形成される)、レンズ202の前側焦点面(レンズ203の後側焦点面と一致する)内に配置された絞り204を含む。この配置によって、空間的に拡張された光源が、ミラウ干渉分光法の対物レンズ・アセンブリ210の瞳平面245上に結像される。これは、ケーラー(Koehler)結像の例である。絞りのサイズによって、試験サンプル220上の照明野のサイズが制御される。他の実施形態においては、光源モジュールは、空間的に拡張された光源が試験サンプル上に直接結像される配置を含んでもよい。これは、臨界結像として知られている。どちらのタイプの光源モジュールも、図1のリニック型走査干渉分光システムと一緒に用いてもよい。   The light source module 205 includes a spatially expanded light source 201, a telescope (formed by the lenses 202 and 203), a diaphragm disposed in the front focal plane of the lens 202 (coincident with the rear focal plane of the lens 203). 204. With this arrangement, a spatially expanded light source is imaged onto the pupil plane 245 of the Mirau interferometry objective lens assembly 210. This is an example of Koehler imaging. The size of the aperture controls the size of the illumination field on the test sample 220. In other embodiments, the light source module may include an arrangement in which a spatially expanded light source is imaged directly onto the test sample. This is known as critical imaging. Either type of light source module may be used with the linic scanning interferometry system of FIG.

本発明のさらなる実施形態においては、走査干渉分光システムを、試験サンプルについて角度依存性の散乱または回折情報を決定するために、すなわち光波散乱計測に対して、用いてもよい。たとえば、走査干渉分光システムを用いて、試験サンプルへの照明を、非常に狭い範囲の入射角(たとえば、実質的に垂直入射かそうでなければコリメートされている)のみに亘る試験入射によって行なってもよい。入射は次に、試験サンプルによって散乱または回折される。サンプルから現れる光は、カメラに結像されて、基準光と干渉する。これは前述した通りである。走査干渉分光信号内の各成分の空間周波数は、試験サンプルから現れる試験光の角度に依存して変化する。したがって、垂直な走査(すなわち、対物レンズの光軸に沿っての走査)の後にフーリエ解析を行なうことによって、対物レンズの後側焦点面に直接アクセスすることも、この面を結像することもせずに、回折光および/または散乱光の測定を、出現角度の関数として行なうことができる。実質的に垂直入射の照明を得るためには、たとえば、光源モジュールの構成を、瞳平面上に点光源が結像されるように、そうでなければ照明光が測定用対物レンズの開口数に充填される程度が減少するように、行なうことができる。光波散乱計測技術は、サンプル表面内の別個の構造、たとえば格子ライン、エッジ、または一般的な表面荒さ(これらは、光をより高い角度まで回折および/または散乱し得る)の解析に対して、有用であり得る。   In a further embodiment of the invention, a scanning interferometry system may be used to determine angle-dependent scatter or diffraction information for a test sample, ie for light wave scatterometry. For example, using a scanning interferometry system, the test sample is illuminated by a test incidence over only a very narrow range of incidence angles (eg, substantially normal incidence or otherwise collimated). Also good. The incident is then scattered or diffracted by the test sample. The light emerging from the sample is imaged on the camera and interferes with the reference light. This is as described above. The spatial frequency of each component in the scanning interference spectroscopic signal varies depending on the angle of the test light emerging from the test sample. Therefore, performing a Fourier analysis after a vertical scan (ie, scanning along the optical axis of the objective lens) does not directly access or image the back focal plane of the objective lens. In addition, measurements of diffracted light and / or scattered light can be made as a function of appearance angle. In order to obtain substantially normal incidence illumination, for example, the configuration of the light source module is such that the point light source is imaged on the pupil plane, otherwise the illumination light is set to the numerical aperture of the measuring objective lens. This can be done to reduce the degree of filling. Lightwave scatterometry techniques are for analysis of discrete structures within a sample surface, such as grating lines, edges, or general surface roughness, which can diffract and / or scatter light to higher angles. Can be useful.

本明細書の解析の大半において仮定していることは、瞳平面内での光の偏光状態が、ランダムであること、すなわちほぼ等しい量のs偏光(入射平面に直交する)およびp(入射平面に直交する)偏光の両方から構成されていることである。代替的な偏光も可能であり、たとえば純粋なs偏光などである。これはたとえば、放射状の偏光子を瞳平面に(たとえば、リニック干渉計の場合には測定対象物の後側焦点面に、およびミラウ干渉計においては共通の対物レンズの後側焦点面に)、配置することで実現してもよい。他の可能な偏光としては、放射状のp偏光、円偏光、および変調された(たとえば、一方が他方に続く2つの状態)偏光が、偏光測定に対して挙げられる。言い換えれば、試験サンプルの光学特性の解析は、それらの角度または波長依存性のみについて行なえるのではなく、それらの偏光依存性についても、または選択された偏光についても、行なうこともできる。またこのような情報を用いて、薄膜構造の特徴付けの精度を向上させてもよい。   It is assumed in most of the analysis herein that the polarization state of the light in the pupil plane is random, that is, approximately equal amounts of s-polarized light (perpendicular to the incident plane) and p (incident plane). (Both orthogonally polarized). Alternative polarizations are possible, such as pure s-polarized light. For example, a radial polarizer in the pupil plane (for example, in the back focal plane of the object to be measured in the case of a linic interferometer and in the back focal plane of a common objective lens in the Mirau interferometer) You may implement | achieve by arrange | positioning. Other possible polarizations include radial p-polarization, circular polarization, and modulated (eg, two states, one following the other) polarization for polarization measurements. In other words, the analysis of the optical properties of the test samples can be done not only for their angle or wavelength dependence, but also for their polarization dependence or for selected polarizations. Such information may also be used to improve the characterization accuracy of the thin film structure.

このような偏光解析測定を実現するために、走査干渉分光システムは、瞳平面内に固定または可変の偏光子を含んでいてもよい。図4を再び参照して、たとえば、ミラウ型干渉分光システムは、瞳平面内に偏光オプティクス280を含み、試験サンプルに入射するとともに、そこから現れる光に対して、所望の偏光を選択するようになっている。さらに偏光オプティクスは、選択される偏光を変えるように再構成可能であってもよい。偏光オプティクスは、所定の偏光を選択するための偏光子、波長板、アポディゼーション・アパーチャ、および/または変調素子を含む1つまたは複数の素子を含んでもよい。さらに偏光オプティクスは、エリプソメータのデータと同様のデータを生成するために、固定されていてもよいし、構造化されていてもよいし、または再構成可能であってもよい。たとえば、s偏光のための放射状に偏光された瞳を用いた第1の測定の後に、p偏光のための半径方向に偏光された瞳を用いてもよい。他の例では、アポダイズド瞳平面を直線偏光光、たとえばスリットまたはウェッジとともに用いてもよい。スリットまたはウェッジは、所望する任意の直線偏光状態を対象物に送るために瞳平面内で回転させることができるか、または再構成可能なスクリーンたとえば液晶ディスプレイである。   In order to implement such ellipsometric measurements, the scanning interferometry system may include a fixed or variable polarizer in the pupil plane. Referring again to FIG. 4, for example, the Mirau-type interferometry system includes polarization optics 280 in the pupil plane to select the desired polarization for light incident on and emerging from the test sample. It has become. Further, the polarization optics may be reconfigurable to change the selected polarization. The polarization optics may include one or more elements including a polarizer, a waveplate, an apodization aperture, and / or a modulation element for selecting a predetermined polarization. Furthermore, the polarization optics may be fixed, structured, or reconfigurable to generate data similar to ellipsometer data. For example, after a first measurement using a radially polarized pupil for s-polarization, a radially polarized pupil for p-polarization may be used. In other examples, an apodized pupil plane may be used with linearly polarized light, such as a slit or wedge. The slit or wedge is a screen that can be rotated or reconfigurable in the pupil plane to send any desired linear polarization state to the object, such as a liquid crystal display.

さらに、偏光オプティクスによって、瞳平面全体に亘って可変の偏光が実現されてもよい(たとえば、複数の偏光子または空間変調器を含むことによって行なう)。こうして、空間周波数に従って偏光状態に「タグを付ける」ことができる。これはたとえば、高い入射角に対して、浅い角度とは異なる偏光を与えることによって行なう。   In addition, polarization optics may provide variable polarization across the entire pupil plane (eg, by including multiple polarizers or spatial modulators). In this way, the polarization state can be “tagged” according to the spatial frequency. This is done, for example, by providing a different polarization from a shallow angle for a high angle of incidence.

さらにほかの実施形態においては、選択可能な偏光を、偏光の関数として位相シフトと組み合わせてもよい。たとえば、偏光オプティクスは、瞳平面内に配置された直線偏光子を含み、その後に、2つの波長板(たとえば8分の1波長板)が、瞳平面の対向する四分区間に配置されてもよい。直線偏光の結果、対物レンズの入射面に対して偏光角度が全範囲に亘る。たとえば、支配的なs偏光光の位相シフトが固定されるように波長板がアライメントされる場合には、放射状のs偏光光およびp偏光光の両方が同時に存在するが、位相が互いにたとえばπだけシフトしているため、干渉計は、これらの2つの偏光状態間の差を基本信号として効果的に検出する。   In still other embodiments, selectable polarization may be combined with phase shift as a function of polarization. For example, polarization optics may include a linear polarizer placed in the pupil plane, after which two wave plates (eg, 1/8 wave plates) may be placed in opposing quadrants of the pupil plane. Good. As a result of the linear polarization, the polarization angle covers the entire range with respect to the entrance surface of the objective lens. For example, if the wave plates are aligned so that the phase shift of the dominant s-polarized light is fixed, both radial s-polarized light and p-polarized light are present at the same time, but the phase is only π, for example, from each other Due to the shift, the interferometer effectively detects the difference between these two polarization states as a fundamental signal.

さらなる実施形態においては、偏光オプティクスを、装置内の他の場所に配置してもよい。たとえば、直線偏光は、システム内のどこにでも実現することができる。
次に、走査干渉分光信号に対する物理モデルについて説明する。
In further embodiments, the polarization optics may be located elsewhere in the device. For example, linear polarization can be realized anywhere in the system.
Next, a physical model for the scanning interference spectral signal will be described.

対象物表面は高さ特徴hを有し、この高さ特徴hに対するプロファイルを、横方向の座標x、yによって示される領域に亘って行ことを所望するとする。ステージによって、滑らかな連続走査ζが、干渉対物レンズ、または図示したように対象物それ自体について、実現される。走査の間、コンピュータによって、各像点またはカメラ画素に対する強度データIζ,hが、連続的なカメラ・フレームにおいて記録される。なお、走査位置および表面高さに対する強度Iζ,hの主な依存関係を、添え字によって示す。この添え字は、全体を通して用いる表記法である。 Suppose that the object surface has a height feature h and that it is desired to profile the height feature h over the region indicated by the lateral coordinates x, y. By means of the stage, a smooth continuous scan ζ is realized for the interference objective lens or the object itself as shown. During the scan, intensity data I ζ, h for each image point or camera pixel is recorded by the computer in successive camera frames. The main dependence of the intensity I ζ, h on the scanning position and the surface height is indicated by a subscript. This subscript is a notation used throughout.

オプティクスの適切な物理モデルは、非常に精巧なものにすることができる。これは、光源の部分的コヒーレンス、干渉計内での偏光混合、高NA対物レンズの結像特性、ならびに高い入射角において、および不連続の表面特徴が存在する下での電界ベクトルの相互作用を考慮することによってなされる。便宜上、ランダム偏光および拡散、低コヒーレンス拡張光源を仮定することによって、モデルを簡単にする。干渉信号のモデリングによって対物レンズの瞳平面を通過した後に対象物表面から入射角Ψで反射するすべての光線束(図5に示す)の影響を調べることが簡単になる。   A suitable physical model of optics can be very elaborate. This reduces the partial coherence of the light source, polarization mixing within the interferometer, the imaging properties of high NA objectives, and the interaction of electric field vectors at high angles of incidence and in the presence of discontinuous surface features. Made by considering. For convenience, the model is simplified by assuming random polarization and diffuse, low coherence extended light sources. The interference signal modeling makes it easy to examine the effects of all the light bundles (shown in FIG. 5) that are reflected from the object surface at the incident angle Ψ after passing through the pupil plane of the objective lens.

光学システムを通る単一の光線束に対する干渉の影響は、以下のものに比例している。   The effect of interference on a single beam bundle through the optical system is proportional to:

Figure 2006519992
ここでZβ,kは、有効な対象物強度反射率であり、たとえばビーム・スプリッタの効果を含む。Rβ,kは、有効な基準反射率であり、ビーム・スプリッタおよび基準ミラーの両方を含む。周囲媒体の屈折率はnであり、入射角Ψに対する方向余弦は、以下の通りである。
Figure 2006519992
Here, Z β, k is an effective object intensity reflectance, and includes, for example, the effect of a beam splitter. R β, k is an effective reference reflectivity and includes both a beam splitter and a reference mirror. The refractive index of the surrounding medium is n 0 , and the direction cosine with respect to the incident angle Ψ is as follows.

Figure 2006519992
また光源照明に対する波数は、以下の通りである。
Figure 2006519992
The wave numbers for the light source illumination are as follows.

Figure 2006519992
位相に対する記号の取り決めによって、表面高さの増加を、位相の正の変化に対応させる。位相項は、干渉計内の対象物経路に対する影響ωβ,k、たとえば対象物表面からの薄膜効果と、基準経路に対する影響νβ,k、たとえば基準ミラーおよび対物レンズ内の他のオプティクスとを有する。
Figure 2006519992
The symbol convention for phase allows the increase in surface height to correspond to a positive change in phase. The phase term determines the effect ω β, k on the object path in the interferometer, eg the thin film effect from the object surface, and the effect ν β, k on the reference path, eg other optics in the reference mirror and objective lens Have.

瞳平面上で積分される全干渉信号は、以下のものに比例している。   The total interference signal integrated on the pupil plane is proportional to:

Figure 2006519992
ここで、Uβは瞳平面の光分散であり、Vは光学的なスペクトル分散である。方程式(4)における重み付け係数βは、投影角度に起因するcos(Ψ)項と、瞳平面内の幅dΨの環の直径に対するsin(Ψ)項とから得られる。
Figure 2006519992
Here, U β is the light dispersion in the pupil plane, and V k is the optical spectral dispersion. The weighting factor β in equation (4) is obtained from the cos (ψ) term due to the projection angle and the sin (ψ) term for the diameter of the ring of width dψ in the pupil plane.

Figure 2006519992
ここで、図5に図示したように、対物レンズはアッベの正弦条件に従うと仮定する。このような比較的簡単な重み付けは、ランダムに偏光された、空間的にインコヒーレントな照明(すべての光線束が互いに無関係である)の場合に可能である。最後に、すべての入射角に亘る積分範囲は、0≦p≦1を意味し、すべての波数に亘るスペクトル積分は、0≦k≦∞である。
Figure 2006519992
Here, as shown in FIG. 5, it is assumed that the objective lens obeys Abbe's sine condition. Such a relatively simple weighting is possible in the case of randomly polarized, spatially incoherent illumination (all beam bundles are independent of each other). Finally, the integration range over all incident angles means 0 ≦ p ≦ 1, and the spectral integration over all wave numbers is 0 ≦ k ≦ ∞.

周波数ドメイン解析(FDA)では、最初に干渉強度信号Iζ,hのフーリエ変換を計算する。文字上の(非数値の)解析に対して、規格化されていないフーリエ積分を用いる。 In the frequency domain analysis (FDA), first, Fourier transform of the interference intensity signal I ζ, h is calculated. Use non-standardized Fourier integrals for literal (non-numeric) analysis.

Figure 2006519992
ここで、Kは空間周波数であり、たとえば、単位は周期/ミクロンである。周波数ドメイン値qK,hの単位は、波数の逆であり、たとえばμmである。これから、パワー・スペクトルと、
Figure 2006519992
Here, K is a spatial frequency. For example, the unit is a period / micron. The unit of the frequency domain value q K, h is the inverse of the wave number, for example, μm. From now on, the power spectrum,

Figure 2006519992
位相スペクトルとが得られる。
Figure 2006519992
And a phase spectrum.

Figure 2006519992
φ”K,hに対するダブル・プライムの意味は、フリンジのオーダに2倍の不確かさがあるということである。すなわち画素ごとのものと、走査の出発点に対する全体的なものとの両方である。そのため、従来のFDAでは、パワー・スペクトルQK,hによって重み付けされた位相スペクトルφ”K,hに対する線形フィットによって表面トポグラフィを決定することに、直接進む。フィットによって、各画素に対して、勾配と、
Figure 2006519992
The meaning of double prime for φ ″ K, h is that there is twice the uncertainty in the fringe order, both pixel-by-pixel and global to the starting point of the scan. Therefore, conventional FDA goes directly to determining the surface topography by a linear fit to the phase spectrum φ ″ K, h weighted by the power spectrum Q K, h . By fitting, for each pixel, a gradient,

Figure 2006519992
切片とが得られる。
Figure 2006519992
Sections are obtained.

Figure 2006519992
なお、切片または「位相ずれ」A”は、高さhとは無関係であるが、位相データのフリンジのオーダの不確かさから引き継いだダブル・プライムを備える。勾配σには、この不確かさはない。切片A”および傾斜σから、特定の平均または公称上の空間周波数K0に対して、「コヒーレンス・プロファイル」と、
Figure 2006519992
Note that the intercept or “phase shift” A ″ is independent of the height h, but comprises a double prime inherited from the fringe order uncertainty of the phase data. The slope σ does not have this uncertainty. From the intercept A ″ and the slope σ h , for a specific average or nominal spatial frequency K 0, a “coherence profile”;

Figure 2006519992
「位相プロファイル」とを規定することができる。
Figure 2006519992
A “phase profile” can be defined.

Figure 2006519992
単純で理想的な場合、すなわち誘電体表面が完全に均一、均質で、薄膜および異なる材料の影響がなく、ならびに光学システムが分散に対して完全に平衡状態である場合には、位相およびコヒーレンス・プロファイルは、表面高さに直線的に比例する。
Figure 2006519992
In simple and ideal cases, i.e. where the dielectric surface is perfectly uniform and homogeneous, without the influence of thin films and different materials, and the optical system is perfectly balanced with respect to dispersion, the phase and coherence The profile is linearly proportional to the surface height.

Figure 2006519992
Figure 2006519992

Figure 2006519992
2つの高さ計算のうち、位相に基づく高さ値h”θの方が正確である。しかし、この値は、単色の干渉分光法のフリンジのオーダの特性に不確かさがある。高分解能を得るために、コヒーレンスに基づく明瞭であるが正確さに劣る値hΘを用いることで、この不確かさを取り除き、最終的な値hθを得る。
Figure 2006519992
Of the two height calculations, the phase-based height value h ″ θ is more accurate. However, this value is uncertain in the fringe order characteristics of monochromatic interferometry. In order to obtain this, the uncertainties are removed by using a clear but inaccurate value h Θ based on coherence, and a final value h θ is obtained.

従来のFDAでは、それほど理想的でない状況の場合でも、干渉位相φ”K,hは依然として空間周波数のほぼ線形関数であると仮定している。しかし、本実施形態では、表面構造の主要なパラメータたとえば膜厚の決定を、実験データと理論的な予測とを比較することによって行なう。理論的な予測は、非常に非線形の位相スペクトルとパワー・スペクトルの付随する変調とを含み得る。 The conventional FDA assumes that the interference phase φ ″ K, h is still a substantially linear function of the spatial frequency even in a less ideal situation. However, in this embodiment, the main parameter of the surface structure is assumed. For example, film thickness determination is made by comparing experimental data with theoretical predictions, which can include a highly nonlinear phase spectrum and the accompanying modulation of the power spectrum.

こうするために、フーリエ変換の定義である方程式(6)を干渉信号の方程式(4)と組み合わせて、予測されるFDAスペクトルに対する以下の式にする。   To do this, the equation (6), which is the definition of the Fourier transform, is combined with the interference signal equation (4) into the following equation for the predicted FDA spectrum:

Figure 2006519992
計算の効率を向上させるために、方程式(15)における3重積分の部分的な文字上の評価を行なうことができる。
Figure 2006519992
In order to improve the computational efficiency, a partial character evaluation of the triple integral in equation (15) can be performed.

方程式(15)の文字上の解析は、積分のオーダを変えることによって開始して、最初に、個々の干渉信号gβ,k,ζ,hの値を、すべての走査位置ζに亘って、βおよびkを固定した状態で求める。 The lexical analysis of equation (15) begins by changing the order of integration, and first the values of the individual interference signals g β, k, ζ, h are over all scanning positions ζ, Determined with β and k fixed.

Figure 2006519992
余弦項gβ,k,ζ,hを、以下の式を用いて通常の方法で展開した後、
Figure 2006519992
After expanding the cosine terms g β, k, ζ, h in the usual way using the following formula:

Figure 2006519992
ζについての内部の積分を以下のように求める。
Figure 2006519992
The internal integral for ζ is determined as follows:

Figure 2006519992
ここで、以下の式を用いた。
Figure 2006519992
Here, the following formula was used.

Figure 2006519992
Figure 2006519992

Figure 2006519992
δ関数は、引数の逆の物理単位、この場合には逆の波数を有する。
Figure 2006519992
The δ function has the opposite physical unit of the argument, in this case the opposite wavenumber.

これらのδ関数によって、空間周波数Kと積2βknとの間の等価性が確認される。
次の積分に対する変数の理論的な変形は、したがって次のようになる。
These δ functions confirm the equivalence between the spatial frequency K and the product 2βkn 0 .
The theoretical variation of the variable for the next integral is thus

Figure 2006519992
Figure 2006519992

Figure 2006519992
ここで、κ^は、空間周波数Kと同じ意味であるが、積分の自由変数として使用される。方程式(18)は、以下のように書くことができる。
Figure 2006519992
Here, κ ^ has the same meaning as the spatial frequency K, but is used as a free variable of integration. Equation (18) can be written as:

Figure 2006519992
ここで、
Figure 2006519992
here,

Figure 2006519992
なお、変数を変えることによって、方程式(23)におけるR、Z、ν、ω項に対するβ依存性は、κ^およびkに対する依存性となる。
Figure 2006519992
Note that by changing the variables, the β dependence on the R, Z, ν, and ω terms in equation (23) becomes dependence on κ ^ and k.

次のステップとして、最初に以下のことを述べる。   As the next step, the following will be described first.

Figure 2006519992
Figure 2006519992

Figure 2006519992
Figure 2006519992

Figure 2006519992
ここで、Hは、以下にように定義される無単位のヘビサイドのステップ関数である。
Figure 2006519992
Here, H is a unitless snake-side step function defined as follows.

Figure 2006519992
fは、Kおよびkの任意の関数である。方程式(25)〜(27)を用いて、方程式(23)は以下のようになる。
Figure 2006519992
f is an arbitrary function of K and k. Using equations (25)-(27), equation (23) becomes:

Figure 2006519992
ここで以下の式を用いると、
Figure 2006519992
Here, using the following formula,

Figure 2006519992
Figure 2006519992

Figure 2006519992
Figure 2006519992

Figure 2006519992
最終的に以下のような結果となる。
Figure 2006519992
The final result is as follows.

Figure 2006519992
方程式(33)は、積分の数が少ないので、当初の式(15)の三重積分と比べて著しく能率的な計算となる。
Figure 2006519992
Since the number of integrals is small in the equation (33), the calculation is significantly more efficient than the triple integral of the original equation (15).

解析的に解く上で、興味深い極限的なケースがいくつか存在する。たとえば、位相の影響(νK,k−ωK,k)=0であるとともに、反射率R、Zが入射角および波長とは無関係である場合には、方程式(33)は以下のように簡単になる。 There are some extreme cases that are interesting to solve analytically. For example, when the influence of the phase (ν K, k −ω K, k ) = 0 and the reflectances R and Z are independent of the incident angle and the wavelength, the equation (33) is as follows: It will be easy.

Figure 2006519992
したがって、方程式(24)において定義される重み付け係数ΓK,kを伴う積分のみを取り扱えばよい。この理想的なケースでは、方程式(34)に対してさらに2つの極限的なケースを評価することが簡単になる。すなわち、高NA対物レンズを有する準単色の照明、および低NAを有する広帯域の照明である。
Figure 2006519992
Therefore, only the integration with the weighting coefficients Γ K, k defined in equation (24) need be handled. In this ideal case, it becomes easier to evaluate two more extreme cases for equation (34). That is, quasi-monochromatic illumination with a high NA objective lens and broadband illumination with a low NA.

狭いスペクトル帯域幅kΔを有する準単色光源の場合には、以下のように規格化されたスペクトルとなる。 In the case of a quasi-monochromatic light source having a narrow spectral bandwidth , the spectrum is normalized as follows.

Figure 2006519992
ここで、kは、公称上の光源波数である。方程式(34)における積分は、以下のような形となる。
Figure 2006519992
Here, k 0 is a light source wavenumber nominal. The integral in equation (34) takes the form:

Figure 2006519992
Figure 2006519992

Figure 2006519992
K,kは、小さい帯域幅kΔ上で本質的に一定であると仮定すると、以下の式が得られる。
Figure 2006519992
Assuming U K, k is essentially constant over a small bandwidth k Δ , the following equation is obtained:

Figure 2006519992
Figure 2006519992

Figure 2006519992
ここで、積分の値を求める際に、以下の関係を用いた。
Figure 2006519992
Here, the following relationship was used when calculating the value of integration.

Figure 2006519992
これは、狭帯域幅kΔ≪k0に対しては有効である。特に、スペクトルの正の非ゼロ部分は、以下のようになる。
Figure 2006519992
This is effective for a narrow bandwidth k Δ << k0. In particular, the positive non-zero part of the spectrum is

Figure 2006519992
結果として、光源のスペクトル帯域幅が狭く、反射率R、Zが一定で、位相の影響ωがないこの特別な場合には、以下のようになる。
Figure 2006519992
As a result, in this special case where the spectral bandwidth of the light source is narrow, the reflectivities R and Z are constant, and there is no phase effect ω:

Figure 2006519992
この特別な場合には、位相は、表面高さに直線的に比例し、従来のFDAと一致する。また空間周波数は、方向余弦に直接対応する。
Figure 2006519992
In this special case, the phase is linearly proportional to the surface height and is consistent with conventional FDA. The spatial frequency directly corresponds to the direction cosine.

Figure 2006519992
したがって、FDAスペクトルの空間周波数座標と入射角との間には、1対1の関係がある。さらに、フーリエ振幅√QにおけるK重み付けは、方程式(41)から計算されることに注意されたい。このことは、図6(a)の例示スペクトルにおいて明らかである。同図では、垂直入射から、対物レンズNAによって課される方向余弦限界までの範囲に亘って、瞳平面を完全に均一に充填する場合に対する理論的な予測を示す。
Figure 2006519992
Therefore, there is a one-to-one relationship between the spatial frequency coordinates of the FDA spectrum and the incident angle. Furthermore, note that the K weighting at the Fourier amplitude √Q K is calculated from equation (41). This is evident in the exemplary spectrum of FIG. The figure shows a theoretical prediction for the case where the pupil plane is filled completely uniformly over the range from normal incidence to the direction cosine limit imposed by the objective lens NA.

Figure 2006519992
第2の例として、垂直入射付近の方向余弦の狭い範囲βΔに限定された均一な照明を伴う広帯域の照明の場合を考える。したがって、規格化された瞳平面分散は以下のようになる。
Figure 2006519992
As a second example, consider the case of broadband illumination with uniform illumination limited to a narrow range β Δ of the direction cosine near normal incidence. Therefore, the normalized pupil plane dispersion is as follows:

Figure 2006519992
変数を変えた後、
Figure 2006519992
After changing the variable

Figure 2006519992
方程式(34)における定積分は、この場合には以下の形となる。
Figure 2006519992
The definite integral in equation (34) takes the following form in this case:

Figure 2006519992
Figure 2006519992

Figure 2006519992
これは以下の値となる。
Figure 2006519992
This is the following value:

Figure 2006519992
Figure 2006519992

Figure 2006519992
ここで、以下の関係を用いた。
Figure 2006519992
Here, the following relationship was used.

Figure 2006519992
スペクトルの正の非ゼロ部分は、この広帯域の光源照明用であり、したがって、垂直入射付近は、以下のようになる。
Figure 2006519992
The positive non-zero part of the spectrum is for this broadband light source illumination, so near normal incidence is:

Figure 2006519992
これは、フーリエ振幅(√Q)が光源スペクトル分散VK/2n0に比例するという熟知結果に密接に対応する。このことはたとえば、図6(b)において、公称上または平均の波長kの中心に置かれたガウシアン・スペクトルに対して示されている。なお方程式(52)は、以下の線形的な位相の展開が、
Figure 2006519992
This closely corresponds to the familiar result that the Fourier amplitude (√Q K ) is proportional to the light source spectral dispersion V K / 2n0 . This is for example, in FIG. 6 (b), are shown for Gaussian spectra placed nominally or average of the center wavelength k 0. Equation (52) has the following linear phase expansion:

Figure 2006519992
従来のFDAと一致するという仮定にも適合する。
Figure 2006519992
It also meets the assumption that it is consistent with conventional FDA.

フーリエ振幅√QK,h=|qK,h|および位相φ”K,h=arg(qK,h)は、干渉強度Iζ,hのフーリエ変換から得られるため、逆変換によって、実際の干渉信号のドメインに戻る。 Since the Fourier amplitude √Q K, h = | q K, h | and the phase φ ″ K, h = arg (q K, h ) are obtained from the Fourier transform of the interference intensity I ζ, h , Return to the interference signal domain.

Figure 2006519992
ここで、もう一度、κ^を空間周波数に対して用いて、これが方程式(54)における積分の自由変数であることを強調した。したがって、強度信号を計算する1つの方法は、方程式(33)によってフーリエ成分qK,hを生成し、方程式(54)を用いてIζ,hに変換することである。
Figure 2006519992
Here again, κ ^ is used for the spatial frequency to emphasize that this is a free variable of integration in equation (54). Thus, one way to calculate the intensity signal is to generate a Fourier component q K, h by equation (33) and convert it to I ζ, h using equation (54).

本モデルでは、光源光がランダム偏光であることを仮定している。しかし、これは、偏光効果を無視すべきであることを意味するものではない。むしろ上記の計算では、照明の入射面によって規定される2つの直交する偏光状態sおよびpから等しく重み付けされた結果であるインコヒーレントな重ね合わせを仮定している。偏光に対しては、上付き文字の表記法を用いる。   In this model, it is assumed that the light source light is randomly polarized. However, this does not mean that the polarization effect should be ignored. Rather, the above calculations assume an incoherent superposition that is the result of being equally weighted from two orthogonal polarization states s and p defined by the entrance plane of the illumination. For polarization, superscript notation is used.

Figure 2006519992
したがって、このβ、kにおける未偏光光に対する平均の位相角度は、以下のようになる。
Figure 2006519992
Therefore, the average phase angle with respect to unpolarized light at β and k is as follows.

Figure 2006519992
なお、2つの偏光の影響に対して振幅が同一である場合を除いて、ほとんどの場合、以下のようになる。
Figure 2006519992
In most cases, except when the amplitude is the same for the two polarization effects,

Figure 2006519992
また、q β,kおよびq β,kが、複素平面において完全に平行である場合を除いて、以下のようになる。
Figure 2006519992
Further, except for the case where q s β, k and q p β, k are completely parallel in the complex plane, they are as follows.

Figure 2006519992
同じ所見を、システムおよび対象物反射率であるR β,k、R β,k、およびZ β,k、Z β,kに、それぞれ適用する。これらは、位相が同一である場合を除いて、直接足し合わせることはできない。
Figure 2006519992
The same observations apply to the system and object reflectivity R s β, k , R p β, k and Z s β, k , Z p β, k respectively. They cannot be added directly, except when the phases are the same.

対象物表面反射率の計算において偏光効果を適切に考慮するならば、モデリングはかなり簡単なものであって、かなり適応性があり、この先も偏光光のより興味深い場合を取り扱うことができる。   If the polarization effect is properly taken into account in the calculation of the object surface reflectivity, the modeling is fairly simple and quite adaptable, and can handle the more interesting cases of polarized light.

次のステップは、ソフトウェア開発を考慮して、別個の数値式に変換することである。干渉信号Iζ,hとフーリエ・スペクトルqK,hとの間の関係を、別個のフーリエ変換を用いて、以下のように再規定する。 The next step is to convert it into a separate numeric expression, taking into account software development. The relationship between the interference signal I ζ, h and the Fourier spectrum q K, h is redefined using a separate Fourier transform as follows:

Figure 2006519992
Figure 2006519992

Figure 2006519992
ここで、q~K^,hは、qK^,hの複素共役であり、干渉信号にはN個の別個のサンプルが存在する。方程式(60)および後述の式において、導出時には重要であったが空間周波数Kに対する代わりとしてはもはや必要ではない自由変数Kを用いることは、やめている。したがって、予測される正の周波数FDA複素スペクトルは、以下のようになる。
Figure 2006519992
Here, q ~ K ^, h is a complex conjugate of qK ^, h , and there are N separate samples in the interference signal. In equation (60) and the equations described below, the use of free variable K, which was important at the time of derivation but is no longer necessary as a substitute for spatial frequency K, is ceased. Thus, the predicted positive frequency FDA complex spectrum is:

Figure 2006519992
ここで、規格化された、高さに無関係な係数は、以下のようになる。
Figure 2006519992
Here, the normalized coefficient independent of the height is as follows.

Figure 2006519992
Figure 2006519992

Figure 2006519992
ここで、積分範囲に対する規格化は、以下のようになる。
Figure 2006519992
Here, normalization with respect to the integration range is as follows.

Figure 2006519992
方程式(62)におけるヘビサイドのステップ関数Hによって、足し合わせに対する不必要な影響が防止されている。重み付け因子ΓK,kは、方程式(24)において定義される通りである。
Figure 2006519992
The snake side step function H in equation (62) prevents unwanted effects on summing. The weighting factor Γ K, k is as defined in equation (24).

実験と理論とを比較するために、方程式(61)を用いて実験的なFDAスペクトルを生成し、そして方程式(62)を用いてスペース・ドメインに逆に変換して、Iζ,hの理論的な予測を行なう。これが最も効率的に行なわれるのは、高速フーリエ変換(FFT)を用いた場合である。FFTの特性によって、K値の範囲が決定される。Iζ,hに対するN個の別個のサンプルが、増分ζstepだけ離間に配置される場合には、ゼロから始まりデータ・トレース当たりN/2周期まで増加する(N/2)+1個の正の空間周波数が存在する。これらは、以下の増分だけ離間に配置される。 To compare the experiment with theory, an equation (61) is used to generate an experimental FDA spectrum, and equation (62) is used to convert back to the space domain to obtain the theory of I ζ, h . Predictive. This is most efficiently done when fast Fourier transform (FFT) is used. The range of the K value is determined by the characteristics of the FFT. If N separate samples for I ζ, h are spaced apart by an increment ζ step , start from zero and increase to N / 2 periods per data trace (N / 2) +1 positive There is a spatial frequency. They are spaced apart by the following increments:

Figure 2006519992
周波数ドメインにおける位相アンラッピングを容易にするために、走査に対するゼロ位置の調整を試みて、その位置が信号ピーク付近にくるようにすることで、周波数ドメインにおける位相勾配が小さくなる。FFTでは、走査における最初のデータ点がゼロにあることが常に仮定されているため、信号を適切にオフセットしなければならない。
Figure 2006519992
In order to facilitate phase unwrapping in the frequency domain, attempting to adjust the zero position relative to the scan so that the position is near the signal peak reduces the phase gradient in the frequency domain. In FFT, it is always assumed that the first data point in the scan is at zero, so the signal must be offset appropriately.

薄膜を有するサンプル表面のモデリングに焦点を置く。
図7に示すのは、薄膜あり/なしの2つの表面タイプである。両方の場合において、有効な振幅反射率Zβ,kを、以下に従って規定する。
Focus on modeling of sample surfaces with thin films.
Shown in FIG. 7 are two surface types with and without thin films. In both cases, the effective amplitude reflectivity Z β, k is defined according to:

Figure 2006519992
ここで、Zβ,kは強度反射率であり、ωβ,kは反射時の位相変化である。添え字β、kは、照明の方向余弦への依存性を強調するものである。
Figure 2006519992
Here, Z β, k is the intensity reflectance, and ω β, k is the phase change during reflection. The subscripts β and k emphasize the dependence of the illumination on the direction cosine.

Figure 2006519992
ここで、Ψは入射角であり、波数については以下のようになる。
Figure 2006519992
Here, Ψ 0 is an incident angle, and the wave number is as follows.

Figure 2006519992
ここで、λは光源の波長である。添え字βは、第1の入射方向余弦βを指すものと理解される。
Figure 2006519992
Here, λ is the wavelength of the light source. The subscript β is understood to refer to the first incident direction cosine β 0 .

表面の特徴づけは、一つには、その屈折率によってなされる。周囲媒体(通常は空気)の屈折率は、nである。図7(a)の単純な表面の場合には、1つの屈折率nだけである。薄膜図7(b)の場合には、表面屈折率は2つある。すなわち、透明または部分的に透明な膜に対するnと、基板に対するnとある。最も一般的には、これらの屈折率は、実部および虚部によって特徴付けられる複素数である。たとえば、典型的な屈折率は、たとえばクロムの場合に、λ=550nmにおいて、n=3.18+4.41iである。ここで、虚部は正として定義するという取り決めを採用している。 The surface is characterized, in part, by its refractive index. The refractive index of the surrounding medium (usually air) is n 0. In the case of a simple surface in FIG. 7 (a), only one refractive index n 1. In the case of the thin film FIG. 7B, there are two surface refractive indexes. That is, n 1 for a transparent or partially transparent film and n 2 for a substrate. Most commonly, these refractive indices are complex numbers characterized by real and imaginary parts. For example, a typical refractive index is n 1 = 3.18 + 4.41i at λ = 550 nm, for example in the case of chromium. Here, the convention that the imaginary part is defined as positive is adopted.

材料の屈折率は、波長に依存する。空気に対する屈折率nの分散は、それほど大きくはないが、多くのサンプル表面、特に金属にとって重要である。公称上のk0付近の小さい波長変化においては、ほとんどの材料が、波数に対してほぼ直線的に依存する。したがって以下のように書くことができる。 The refractive index of the material depends on the wavelength. The dispersion of the refractive index n 0 with respect to air is not very large, but is important for many sample surfaces, especially metals. At small wavelength changes near the nominal k0, most materials depend almost linearly on wavenumber. So we can write:

Figure 2006519992
ここで、v(0) 、v(1) はそれぞれ、屈折率nに対して公称上の波数k0における、切片および勾配である。
Figure 2006519992
Here, v (0) 1 and v (1) 1 are an intercept and a gradient at a nominal wavenumber k0 with respect to the refractive index n 1 , respectively.

屈折率の最も一般的な使用方法は、スネルの法則である。図7(b)を参照して、膜内部の屈折ビーム角は、以下の通りである。   The most common use of refractive index is Snell's law. Referring to FIG. 7B, the refractive beam angle inside the film is as follows.

Figure 2006519992
ここで、Ψは、屈折率nの媒体内の角度であり、これが屈折率nの媒体の最上面に入射する。また、Ψ1,β,kは、屈折角である。これらの角度は、屈折率が複素数である場合には、複素数値を取ることができ、部分的にエバネッセントな伝搬を示す。
Figure 2006519992
Here, Ψ 0 is an angle in the medium having the refractive index n 0 , and this is incident on the uppermost surface of the medium having the refractive index n 1 . Moreover, Ψ 1, β, k is a refraction angle. These angles can be complex values when the index of refraction is complex, and show partially evanescent propagation.

2つの媒体間の境界の複素振幅反射率は、偏光、波長、入射角、屈折率に依存する。図7(b)の膜の最上面のs−およびp−偏光反射率は、以下のようにフレネルの式によって与えられる。   The complex amplitude reflectivity at the boundary between the two media depends on the polarization, wavelength, incident angle, and refractive index. The s- and p-polarized reflectivities of the top surface of the film of Fig. 7 (b) are given by the Fresnel equation as follows:

Figure 2006519992
Figure 2006519992

Figure 2006519992
β、kに対する依存性は、角度Ψ、Ψ1,β,kから生じる。出口角Ψ1,β,kによって、屈折率n1,kを介したk依存関係が導入される。同様に、基板−膜界面の反射率は、以下の通りである。
Figure 2006519992
The dependence on β, k arises from the angles Ψ 0 , Ψ 1, β, k . The exit angle Ψ 1, β, k introduces a k dependence via the refractive index n 1, k . Similarly, the reflectance at the substrate-film interface is as follows.

Figure 2006519992
Figure 2006519992

Figure 2006519992
なお、フレネル方程式において、入射角および屈折角が同じ場合には、両方の偏光に対する反射率はゼロになる。
Figure 2006519992
In the Fresnel equation, when the incident angle and the refraction angle are the same, the reflectivity for both polarized lights is zero.

単純な表面(薄膜なし)の場合には、サンプル表面の反射率は、最上面の反射率と同一である。   In the case of a simple surface (no thin film), the reflectance of the sample surface is the same as that of the top surface.

Figure 2006519992
その結果、表面反射によって引き起こされる反射時の位相変化(PCOR)は、以下のようになる。
Figure 2006519992
As a result, the phase change (PCOR) during reflection caused by surface reflection is as follows.

Figure 2006519992
なお、境界条件を満たすために、s−偏光は、反射時に「反転する」(=誘電体の場合のπ位相シフト)が、p−偏光は反転しない。正確に垂直入射の場合には偏光状態間の区別は意味がなくなる。すなわち、どんな場合でもフレネル方程式においてゼロ除算となるため、この極限的なケースを取り扱う式は異なるものとなる。
Figure 2006519992
In order to satisfy the boundary condition, s-polarized light is “inverted” upon reflection (= π phase shift in the case of a dielectric), but p-polarized light is not inverted. In the case of exactly normal incidence, the distinction between polarization states is meaningless. In other words, since the Fresnel equation is divided by zero in any case, the formula for handling this extreme case is different.

屈折率の複素数部分に対してプラス記号の取り決めを用いる場合には、吸収(複素数部分)が大きいほど、PCORωβ,kは大きくなる。言い換えれば、吸収係数が大きくなることは、有効な表面高さが減少することに等しい。このことは、直観的に理解できる。すなわち、正確に境界において起こる明確な反射および透過ではなく、反射する前に材料中に光ビームが浸透することとしての吸収が想像される。通常の取り決め、すなわち表面高さの増加は、基準表面と測定表面との間の位相差の正の変化に対応するという取り決めに従って、正の表面PCORを干渉計の位相から差し引く。 When the plus sign convention is used for the complex part of the refractive index, the greater the absorption (complex part), the greater the PCORωβ , k . In other words, increasing the absorption coefficient is equivalent to reducing the effective surface height. This can be understood intuitively. That is, absorption is envisioned as the light beam penetrates into the material before reflection, rather than the precise reflection and transmission that occurs exactly at the boundary. The normal surface, i.e. the increase in surface height, corresponds to a positive change in the phase difference between the reference surface and the measurement surface, subtracting the positive surface PCOR from the interferometer phase.

薄膜は、平行板反射の特別な場合である。光は、最上面を通過する際に部分的に反射されて(図7参照)、基板表面まで進む。基板表面では第2の反射が起こる。この反射は、第1の反射に対して位相が遅れている。しかし、これで終わるわけではない。基板から反射された光は、最上面を逆に通過するときにもう一度部分的に反射される。その結果、再び下を向いて基板に至るさらなる反射ビームが生じる。これは原理的には永遠に続き、それぞれのさらなる反射は前回のものよりもより少しだけ弱い。これらの複数反射がすべて存続して、最終的な表面反射率に影響すると仮定すると、無限の組は以下の値になる。   A thin film is a special case of parallel plate reflection. The light is partially reflected as it passes through the top surface (see FIG. 7) and travels to the substrate surface. Second reflection occurs on the substrate surface. This reflection is delayed in phase with respect to the first reflection. But that doesn't end there. The light reflected from the substrate is partially reflected again when it passes back through the top surface. The result is a further reflected beam that faces down again to the substrate. This continues in principle forever, and each further reflection is slightly weaker than the previous one. Assuming all these multiple reflections persist and affect the final surface reflectance, the infinite set has the following values:

Figure 2006519992
Figure 2006519992

Figure 2006519992
説明の備考として、β1,β,kのβ依存関係が、屈折率nの周囲媒体中の入射方向余弦βへの依存関係を指すことを、思い起こされたい。同じ方程式(77)が、対応する単一の表面反射率を有する両方の偏光状態に適用される。
Figure 2006519992
As a remark of explanation, recall that the β dependence of β 1, β, k refers to the dependence of the refractive index n 0 on the incident cosine β 0 in the surrounding medium. The same equation (77) applies to both polarization states with a corresponding single surface reflectance.

これらの方程式を調べることで、従来のFDA処理が薄膜の存在下で機能しなくなる理由が示される。従来のFDAでは、表面高さを、フーリエ・パワー・スペクトルによって重み付けされたフーリエ位相スペクトルに対する線形フィットによって決定しており、広帯域の(白色)光を用いてフーリエ空間周波数の広がりを形成している。考え方は、位相展開が、表面高さに対する予想された線形的位相依存性によってもたらされるということである。表面特性に付随する他のどのような一定のオフセットまたは線形係数(たとえば「分散」)も、システムの特徴付けによって、またはフィールド位置によって変化しない位相の影響を単純に無視することによって、取り除かれる。   Examining these equations shows why conventional FDA processing fails in the presence of thin films. In conventional FDA, the surface height is determined by a linear fit to the Fourier phase spectrum weighted by the Fourier power spectrum, and a broad band (white) light is used to form the spread of the Fourier spatial frequency. . The idea is that the phase expansion is brought about by the expected linear phase dependence on the surface height. Any other constant offset or linear factor (eg, “dispersion”) associated with the surface characteristics is removed by characterization of the system or by simply ignoring phase effects that do not vary with field position.

これは、単純な表面の場合には、完全に高精度に機能する。未偏光光の場合、また恐らく円偏光光の場合には、PCORの波長依存性は、波数に対してほぼ線形であり、所定の材料に対して一定である。しかし薄膜が存在すると、従来の解析は機能しなくなる。位相は非線形となり、位相勾配は膜厚に対して敏感になり、視野全体に亘って変化している場合もある。したがって、本解析では、表面構造の主要なパラメータたとえば膜厚の決定は、実験データと理論的な予測とを比較することによって行ない、その際、たとえば薄膜が表面の反射率を変調する仕方についての知識が用いられる。   This works perfectly accurately for simple surfaces. In the case of unpolarized light, and perhaps circularly polarized light, the wavelength dependence of PCOR is approximately linear with wavenumber and constant for a given material. However, if a thin film is present, conventional analysis will not work. The phase is non-linear and the phase gradient is sensitive to film thickness and may vary across the field of view. Therefore, in this analysis, the determination of the main parameters of the surface structure, such as the film thickness, is made by comparing experimental data with theoretical predictions, for example how the thin film modulates the reflectivity of the surface. Knowledge is used.

次に、実験データと理論的な予測のライブラリとを比較することによって、反射時の表面構造パラメータたとえば膜厚および位相の変化(PCOR)がどのようにして得られるかについて説明する。厚みが未知の膜の場合、単一表面タイプ、たとえばSi上のSiOに対するライブラリは、可能な多くの膜厚に及んでいる。周波数ドメインの実施形態においては、考え方は、このライブラリを検索して、表面トポグラフィとは無関係のFDAスペクトル特性に対するマッチング、たとえば薄膜干渉効果に起因する振幅スペクトルに対する特有の構造を探すことである。次にコンピュータにおいてライブラリ・スペクトルを用いて、FDAデータを補正し、正確な表面トポグラフィ・マップを可能にする。 Next, it will be described how surface structure parameters such as film thickness and phase change (PCOR) during reflection are obtained by comparing experimental data with a library of theoretical predictions. For films of unknown thickness, a library for a single surface type, eg, SiO 2 on Si, spans many possible film thicknesses. In the frequency domain embodiment, the idea is to search this library for a match to the FDA spectral characteristics unrelated to the surface topography, for example a unique structure for the amplitude spectrum due to thin film interference effects. The library spectrum is then used in the computer to correct the FDA data to allow an accurate surface topography map.

一実施形態においては、ライブラリには、表面構造に対する例示的なFDAスペクトルが収容されている。各スペクトルによって、空間周波数Kの関数としてフーリエ係数を表わす一連の複素係数ρが得られる。これらのスペクトルは、干渉計の光路長の走査ζの間に取得される強度データIζ,hのフーリエ変換である。空間周波数Kは、光源光スペクトルのセグメントに対する角波数k=2π/λ、周囲媒体の屈折率n、方向余弦β=cos(Ψ)に比例している。ここでΨは、対象物表面に送られる光線束に対する入射角である。 In one embodiment, the library contains exemplary FDA spectra for surface structures. Each spectrum provides a series of complex coefficients ρ K that represent the Fourier coefficients as a function of the spatial frequency K. These spectra are Fourier transforms of the intensity data I ζ, h acquired during the optical path length scan ζ of the interferometer. The spatial frequency K is proportional to the angular wave number k = 2π / λ for the segment of the light source light spectrum, the refractive index n 0 of the surrounding medium, and the direction cosine β = cos (ψ). Here, Ψ is an incident angle with respect to a light beam transmitted to the surface of the object.

Figure 2006519992
予測ライブラリに対するρ係数は、FDAスペクトルの外観に影響を与える可能性がある表面の光学特性(表面高さを除く)を含む。
Figure 2006519992
The ρ K coefficient for the prediction library includes surface optical properties (excluding surface height) that can affect the appearance of the FDA spectrum.

FDAスペクトルを予測するためには、光源光に対する入射角Ψおよび角波数kの範囲に亘る光線束のインコヒーレントな合計を表わす積分を行なう必要がある。前述したように、数値積分は、計算が能率的になるN個の角波数K(因子ΓK,kによって重み付けされる)に亘る単一の合計にすることができる。 In order to predict the FDA spectrum, it is necessary to perform an integration representing the incoherent sum of the light bundles over the range of the incident angle Ψ and the angular wave number k with respect to the light source light. As described above, the numerical integration can be a single sum over N angular wave numbers K (weighted by factors Γ K, k ) that make the calculation efficient.

Figure 2006519992
Figure 2006519992

Figure 2006519992
重み付け因子は、以下の通りである。
Figure 2006519992
The weighting factors are as follows.

Figure 2006519992
ここで、Vは、光源スペクトルであり、UK、kは、瞳平面の光分散である。対応する規格化γは、重み付け因子のすべての空間周波数に亘る合計である。
Figure 2006519992
Here, V K is the light source spectrum, and U K, k is the light dispersion in the pupil plane. The corresponding normalization γ is the sum of all weighting factors over all spatial frequencies.

Figure 2006519992
ここで、γは、簡潔に規定されるべき規格化であり、Hは、ヘビサイドのステップ関数である。
Figure 2006519992
Here, γ is a normalization to be succinctly defined, and H is a snake side step function.

対象物の表面構造、特に薄膜の特有な特性は、対象物−経路位相ωK,kおよび反射率ZK,kを通して、スペクトルρの一部となる。これについてはすでに詳述した通りである。同様に重要なものは、基準−経路位相νK,kおよび反射率RK,kである。これらは、走査型干渉計それ自体に依存する。このような因子は、走査型干渉計を理論的にモデリングすることによって、または、それを、特性が既知の試験サンプルを用いて校正することによって、決定することができる。これについては、後でさらに説明する。 The surface structure of the object, in particular the unique properties of the thin film, becomes part of the spectrum ρ K through the object-path phase ω K, k and the reflectivity Z K, k . This has already been described in detail. Equally important are the reference-path phase ν K, k and the reflectivity R K, k . These depend on the scanning interferometer itself. Such factors can be determined by theoretically modeling a scanning interferometer or by calibrating it with a test sample of known characteristics. This will be further described later.

薄膜に対する典型的な予測ライブラリは、膜厚Lによってインデックスされる一連のスペクトルρである。記憶されるスペクトルの範囲は、狭い空間周波数の対象領域(ROI:region of interest)のみであり、通常は256フレームの強度データ取得に対して15または16個の値である。このROIの外側の残りの値はゼロである。ROIの範囲は、空間周波数の定義から得られる。 A typical prediction library for a thin film is a series of spectra ρ K indexed by film thickness L. The range of spectra stored is only a narrow spatial frequency region of interest (ROI), typically 15 or 16 values for 256 frames of intensity data acquisition. The remaining value outside this ROI is zero. The ROI range is derived from the definition of spatial frequency.

Figure 2006519992
Figure 2006519992

Figure 2006519992
100Xのミラウ対物レンズおよび狭帯域幅、500nm光源に基づく走査型干渉計に対する空間周波数の典型的な範囲は、2.7μm−1〜4.0μm−1である。計算を能率的に行なうために、数式(80)〜(83)を用いて各画素に対して複数回再計算することを伴う解析的な検索ルーチンではなく、サンプル・スペクトル間の0.5〜5nmによってインデックスされる高密度なルック・アップ・テーブルを用いることができる。
Figure 2006519992
100X of Mirau objective and narrow bandwidth, the typical range of spatial frequency for scanning interferometer-based 500nm light source is 2.7μm -1 ~4.0μm -1. In order to perform the calculation efficiently, it is not an analytical search routine involving recalculation for each pixel by using the equations (80) to (83), but 0.5 to 0.5 between the sample spectra. A dense look-up table indexed by 5 nm can be used.

ライブラリ検索は、以下のステップを伴う。(1)予測されるFDAスペクトルを、特定の表面タイプに対応するライブラリから選択する。(2)このスペクトルが実験データにどの程度密接にマッチングするかを、メリット関数を用いて計算する。そして、(3)いくつかのまたはすべてのライブラリ・データ・セットを通して繰り返して、どの理論的なスペクトルから最良のマッチングが得られるかを決定する。探しているのは、表面特性に一意に関係する周波数ドメインにおける「識別特性」である。表面特性は、たとえば薄膜、異材料、ステップ構造、荒さ、およびそれらと干渉計の光学システムとの相互作用である。したがって、このように比較することによって、空間周波数に対する位相変化の線形速度が明確に取り除かれる。これは、表面トポグラフィによって直接的に変化するFDAスペクトルの1つの特性であり、したがって、ライブラリ検索とは関連性がない。   Library searching involves the following steps: (1) Select a predicted FDA spectrum from a library corresponding to a particular surface type. (2) Calculate how closely this spectrum matches the experimental data using the merit function. And (3) iterate through some or all library data sets to determine from which theoretical spectrum the best match is obtained. What we are looking for are “discriminating characteristics” in the frequency domain that are uniquely related to the surface characteristics. Surface properties are, for example, thin films, foreign materials, step structures, roughness, and their interaction with the interferometer optical system. Thus, such a comparison clearly removes the linear rate of phase change with respect to spatial frequency. This is a property of the FDA spectrum that varies directly with surface topography and is therefore not relevant for library searching.

比較スペクトルにおいて、計算に対する位相の影響と振幅の影響とを分離することは、有益である。したがって理論に対して、以下のようになる。   In the comparative spectrum, it is beneficial to separate the phase effect and the amplitude effect on the calculation. Therefore, with respect to the theory:

Figure 2006519992
Figure 2006519992

Figure 2006519992
ここで、connectは、φK,hの空間周波数依存性における2−πステップを取り除く関数である。実験データに対しては、以下のようになる。
Figure 2006519992
Here, connect K is a function that removes the 2-π step in the spatial frequency dependence of φK , h . The experimental data is as follows.

Figure 2006519992
Figure 2006519992

Figure 2006519992
φ”ex に対するダブル・プライムは、走査における出発点に対する画素間および全体の両方からのフリンジのオーダにおける不確かさを示す。実験データは必然的に、局所的な表面高さに関係する勾配項を含む。これが、p符号の代わりにq符号を用いる理由である。
Figure 2006519992
The double prime for φ ″ ex K shows the uncertainty in the order of fringes from both the inter-pixel and the whole relative to the starting point in the scan. The experimental data necessarily inevitably has a gradient term related to the local surface height. This is why the q code is used instead of the p code.

トライアルな表面パラメータの特定の組に対して、位相差を計算することができる。   The phase difference can be calculated for a particular set of trial surface parameters.

Figure 2006519992
トライアルなパラメータは正確であると仮定して、位相差ζ”K,hは、補正されたFDA位相である。理論が実験に良好にマッチングすることにより、原理的には切片がゼロ(すなわちゼロ位相ずれ)の空間周波数Kの単純な線形関数である位相ζ”K,hが得られる。したがって、先を見越すと、成功裏に補正された位相ζ”K,hは、最終的には従来のFDA解析へと下流に供給されるものである。従来のFDA解析では、周波数空間における位相の勾配は、表面高さに正比例していると仮定している。
Figure 2006519992
Assuming that the trial parameters are correct, the phase difference ζ ″ K, h is the corrected FDA phase. In principle, the intercept is zero (ie, zero because the theory matches well with the experiment. A phase ζ ″ K, h which is a simple linear function of the spatial frequency K of (phase shift) is obtained. Therefore, in anticipation, the successfully corrected phase ζ ″ K, h is ultimately supplied downstream to the conventional FDA analysis. In the conventional FDA analysis, the phase in the frequency space is Is assumed to be directly proportional to the surface height.

前段落の所見に基づくと、補正された位相ζ”K,hには、表面高さに無関係な実験に対して理論をマッチングすることの評価を可能にする、対象とする2つの特徴が存在する。第1は、位相ずれA”またはK=0の切片値のζ”K=0,hであり、これは線形フィットによって得られる。第2は、線形フィット後の波数に関する残りの非線形性である。対応するメリット関数は、たとえば以下の通りである。 Based on the findings in the previous paragraph, the corrected phase ζ ″ K, h has two features of interest that allow the evaluation of matching theory against experiments independent of surface height. The first is the phase shift A ″ or the intercept value ζ ″ K = 0, h of K = 0 , which is obtained by a linear fit. The second is the remaining nonlinearity with respect to the wavenumber after the linear fit. The corresponding merit function is, for example:

Figure 2006519992
Figure 2006519992

Figure 2006519992
ここで、σは、補正された位相ζ”K,hに対する(振幅が重み付けされた)線形フィットの勾配である。方程式(91)におけるround()関数によって、位相ずれA”が範囲±πに制限される。
Figure 2006519992
Where σ h is the slope of the linear fit (amplitude weighted) with respect to the corrected phase ζ ″ K, h . The round () function in equation (91) causes the phase shift A ″ to be in the range ± π. Limited to

ライブラリ検索は、位相情報を単独で用いて、すなわちメリット関数値χφおよび/またはχφnonの一方または両方を最小限にすることによって、進行することができるが、重要かつ有用な識別特性がフーリエ振幅においても存在する。振幅は、本来的に表面高さに無関係であるという点で、特に興味深い。したがって、たとえば、位相メリットとほぼ同様に、以下の振幅メリット関数を定義することができる。 A library search can proceed using phase information alone, ie, minimizing one or both of the merit function values χ φ and / or χ φnon , but important and useful discriminating properties are Fourier transforms. It also exists in amplitude. The amplitude is particularly interesting in that it is inherently independent of the surface height. Therefore, for example, the following amplitude merit function can be defined almost the same as the phase merit.

Figure 2006519992
Figure 2006519992

Figure 2006519992
ここで、Ωは経験的なスケーリング因子である。
Figure 2006519992
Where Ω is an empirical scaling factor.

Figure 2006519992
メリットχは、対象物表面の全反射率に最も密接に関係しており、空間周波数依存性とは無関係である。一方で、χPnonは、理論および実験的な振幅プロットが、形状においてどの程度良好にマッチングするかを表現する。
Figure 2006519992
The merit χ P is most closely related to the total reflectance of the object surface, and is independent of the spatial frequency dependence. On the other hand, χ Pnon represents how well the theoretical and experimental amplitude plots match in shape.

振幅メリット関数χおよび/またはχPnonは、位相メリットχφおよび/またはχφnonとは別のものであり、またはその代わりでさえある。したがって、一般的なライブラリ検索メリット関数は、以下のようになる。 The amplitude merit function χ P and / or χ Pnon is separate from or even in place of the phase merit χ φ and / or χ φnon . Therefore, a general library search merit function is as follows.

Figure 2006519992
ここで、wは重み付け因子である。原理的には、種々のパラメータに対する標準偏差を知ることで、方程式(96)における重みを決定することができる。より経験的なアプローチは、実際のデータおよびシミュレートされたデータに対して種々の重みを試して、それらがどの程度良好に機能するかを調べることである。以下の例では、すべてのメリットの影響に対して、等しい重みwφ=wφnon=w=wPnon=1を選択する。
Figure 2006519992
Here, w is a weighting factor. In principle, knowing the standard deviation for various parameters, the weight in equation (96) can be determined. A more empirical approach is to try different weights on the actual and simulated data to see how well they work. In the following example, an equal weight w φ = w φnon = w P = w Pnon = 1 is selected for all merit effects.

図8〜13の例では、メリット関数検索手順を、Si上SiOの6つの膜厚:0、50、100、300、600、および1200nmに対して、それぞれ示している。すべての例に対する単一のライブラリは、0〜1500nmの範囲を2nm間隔で含む。データは、シミュレーションであり、ノイズはない。本明細書で説明したすべての例の場合と同様に、走査ステップは40nm、光源波長は498nm、および光源ガウシアンFWHMは30nm(擬単色)である。 In the examples of FIGS. 8 to 13, the merit function search procedure is shown for six film thicknesses of SiO 2 on Si: 0, 50, 100, 300, 600, and 1200 nm, respectively. The single library for all examples contains the range 0-1500 nm with 2 nm spacing. The data is a simulation and there is no noise. As with all examples described herein, the scanning step is 40 nm, the source wavelength is 498 nm, and the source Gaussian FWHM is 30 nm (pseudo-monochromatic).

これらのシミュレートされた検索の最も興味深い態様は、4つのメリット関数の挙動である。一般的に認められることは、これら4つの関数を含むことによって最終的なメリット値における曖昧さが減り、個々のメリット値に対して膜厚の関数としての強い周期性が存在することである。他の一般的な所見は、非線形性に基づくメリットは、位相および振幅の両方において、300nm以上において最も効果的であるが、位相ずれおよび平均の振幅は、300nm未満の膜厚において支配的である、ということである。このことが示しているのは、χφ、χメリット関数は特に、真の薄膜に対して有用であり、システム特徴付けが重要となり、直接結合して位相ずれおよび振幅の結果になる、ということである。 The most interesting aspect of these simulated searches is the behavior of the four merit functions. It is generally accepted that the inclusion of these four functions reduces the ambiguity in the final merit value and there is a strong periodicity as a function of film thickness for each merit value. Another common finding is that the benefits based on non-linearity are most effective at 300 nm and above, both in phase and amplitude, but the phase shift and average amplitude are dominant at film thicknesses below 300 nm. ,That's what it means. This shows that χ φ , χ P merit functions are particularly useful for true thin films, where system characterization is important and directly combines to result in phase shifts and amplitudes That is.

いったん薄膜厚みを決定(または材料もしくはアルゴリズムに対する他の使用法を特定)したら、FDA処理は通常の方法で進む。しかし、本来の実験的な位相データの代わりに、補正されたFDA位相ζ”K,hを用いる。原理的には、モデリングが成功していれば、ζ”K,hには非線形性がなく、位相ずれはゼロのはずである。したがって、次のステップは、位相スペクトルζ”K,hに対する線形フィットである。振幅の2乗の代わりに振幅スペクトルPを用いる方が、高NAのFDAにとって効果的であるように思われる。フィットによって各画素に対して得られるのは、勾配と、 Once the film thickness is determined (or other usage for the material or algorithm is specified), the FDA process proceeds in the usual way. However, instead of the original experimental phase data, the corrected FDA phase ζ ″ K, h is used. In principle, if modeling is successful, ζ ″ K, h has no nonlinearity. The phase shift should be zero. The next step is therefore a linear fit to the phase spectrum ζ ″ K, h . It appears that using the amplitude spectrum P K instead of the square of the amplitude is more effective for high NA FDA. What is obtained for each pixel by the fit is the gradient,

Figure 2006519992
切片(位相ずれ)とである。
Figure 2006519992
It is an intercept (phase shift).

Figure 2006519992
なお、位相ずれA”は、位相データのフリンジのオーダの不確かさから引き継いだダブル・プライムを有する。傾斜σには、この不確かさはない。切片A”および傾斜σから、特定の平均または公称上の空間周波数K0に対して、以下のように「コヒーレンス・プロファイル」と、
Figure 2006519992
Note that the phase shift A ″ has a double prime inherited from the fringe order uncertainty of the phase data. The slope σ h does not have this uncertainty. From the intercept A ″ and the slope σ h , a specific average Or, for the nominal spatial frequency K0, “coherence profile” as follows:

Figure 2006519992
「位相プロファイル」とを定義する。
Figure 2006519992
Define a “phase profile”.

Figure 2006519992
次に、位相θ”における画素間のフリンジのオーダの不確かさを取り除く。
Figure 2006519992
Next, the uncertainty of the fringe order between pixels in the phase θ ″ h is removed.

Figure 2006519992
ここで、α’は、画素間2πステップがない本来の位相ずれA”に対する近似である。
Figure 2006519992
Here, α ′ is an approximation to the original phase shift A ″ with no 2π step between pixels.

最後に、高さプロファイルは、以下から得られる。   Finally, the height profile is obtained from:

Figure 2006519992
なお、位相オフセットγを差し引く必要はない。その理由は、補正された位相ζK,hを生成する際に、差し引くことはすでに行なわれているからである。
Figure 2006519992
It is not necessary to subtract the phase offset γ. This is because the subtraction has already been performed when the corrected phase ζ K, h is generated.

表面トポグラフィ測定の第1の例(図14)は、純粋なシミュレーションである。表面トポグラフィは、どこの場所でもゼロである。しかし、その下に存在する膜層は、0から1500nmへ10nm増分で増えている。図8〜13の場合と同じ予測ライブラリを用いて、この試験では、完璧なノイズ・フリーのデータではあるが、予測ライブラリの範囲全体に亘って膜厚がはっきりと決定されることが実証されている。   The first example of surface topography measurement (FIG. 14) is a pure simulation. The surface topography is zero everywhere. However, the underlying film layer increases from 0 to 1500 nm in 10 nm increments. Using the same prediction library as in FIGS. 8-13, this test demonstrates that the film thickness is clearly determined over the entire range of the prediction library, albeit with perfect noise-free data. Yes.

次の例(図15)もシミュレーションではあるが、ノイズが付加されている。ランダムな付加ノイズは、ガウシアンであり、標準偏差は2ビット、平均は128強度ビットである。これは、実際のデータの典型であると思われる。SiOとSiとの間で反射率に著しい差(4%〜45%)があるが、結果は、明らかに満足のいくものである。 Although the next example (FIG. 15) is also a simulation, noise is added. The random additive noise is Gaussian, the standard deviation is 2 bits, and the average is 128 intensity bits. This seems to be typical of actual data. Although there is a significant difference in reflectance between the SiO 2 and Si (4% ~45%), the result is to go unequivocally satisfactory.

次に、システムの特徴付けについて説明する。
システム特徴付け手順を行なう間に収集されたデータを用いて、位相オフセットγsysおよび線形分散τsysを定義する。システム特徴付けデータを含めるために、フーリエ変換された実験データを、ライブラリ検索の前におよび画素間ベースの他の任意のFDA処理の前に、以下を用いて補正する。
Next, system characterization will be described.
Data collected during the system characterization procedure is used to define the phase offset γ sys and the linear variance τ sys . To include system characterization data, the Fourier transformed experimental data is corrected using the following before library search and before any other inter-pixel based FDA processing.

Figure 2006519992
ここで、K0は公称上の空間周波数であり、FDAデータ・セットに対する公称上のスペクトルの周波数を表わす。これは、たとえば、ROIの中心点を位置決めすることによって特定される。なお、理論的なライブラリは変わってはいない。スケーリング係数M(ギリシャ語大文字の「M」)は、対象物の表面反射率をライブラリ検索におけるパラメータとして使用することを可能にする新しいシステム特徴付けである。
Figure 2006519992
Where K0 is the nominal spatial frequency and represents the nominal spectral frequency for the FDA data set. This is specified, for example, by positioning the center point of the ROI. The theoretical library has not changed. The scaling factor M (Greek capital letter “M”) is a new system characterization that allows the surface reflectance of an object to be used as a parameter in a library search.

フィールド位置の関数としての位相オフセットγsysおよびシステム位相ずれAsysは、フィールド位置の関数として記憶することができ、および以下の式に従って真のシステム分散を計算することができる。 The phase offset γ sys and system phase shift A sys as a function of field position can be stored as a function of field position, and the true system variance can be calculated according to the following equation:

Figure 2006519992
振幅係数Mも、フィールド依存性である。
Figure 2006519992
The amplitude coefficient M is also field dependent.

システム特徴付けデータの形成は、対象物サンプルに対して前述したものと同様の仕方で進める。特徴が既知の加工品に移り、それを測定して、システム特徴付けを決定する。この決定は、完全なシステムに対して予想されるものと、結果がどのように異なるかを見ることによって行なう。具体的には、正確なライブラリ・エントリが事前に決定されている既知のサンプルを用いて、方程式(98)におけるような位相ずれA”と、方程式(102)におけるような最終的な高さh’とを、生成する。次に、完全に平坦な加工品を仮定して、システム位相オフセットと、   The generation of system characterization data proceeds in a similar manner as described above for the object sample. The features are transferred to a known workpiece and measured to determine the system characterization. This decision is made by looking at how the results differ from what is expected for a complete system. Specifically, using a known sample whose exact library entry is predetermined, the phase shift A "as in equation (98) and the final height h as in equation (102). Next, assuming a perfectly flat workpiece, the system phase offset and

Figure 2006519992
システム位相ずれとを、計算する。
Figure 2006519992
The system phase shift is calculated.

Figure 2006519992
ここで、connectxy()は、画素間位相アンラッピングである。振幅マップは、以下の通りである。
Figure 2006519992
Here, connect xy () is inter-pixel phase unwrapping. The amplitude map is as follows.

Figure 2006519992
種々の実施形態においては、複数のシステム特徴付けを平均化することができる。これはおそらく、ある範囲のサンプル形式に亘る最終的な応用例(たとえばSi上のSiO)と同様の表面構造を有する加工品を用いることによって、行なわれる。
Figure 2006519992
In various embodiments, multiple system characterizations can be averaged. This is probably done by using a workpiece with a surface structure similar to the final application (eg SiO 2 on Si) over a range of sample formats.

前述の説明およびシミュレーションの大半において、薄膜表面構造に説明を絞ってきたが、解析は、他のタイプの複雑な表面構造に対しても適用可能である。以下において、走査干渉分光データを、走査型干渉計顕微鏡の光学分解能よりも小さい表面構造を明らかにするために、どのように解析できるかを示す。光学分解能は最終的に、光源の波長および集光オプティクスのNAによって限定される。   Although most of the foregoing description and simulation has focused on thin film surface structures, the analysis is applicable to other types of complex surface structures. In the following, it will be shown how the scanning interferometry data can be analyzed to reveal surface structures that are smaller than the optical resolution of the scanning interferometer microscope. The optical resolution is ultimately limited by the wavelength of the light source and the NA of the collection optics.

図16aに示すのは、実際の走査干渉分光データから決定された高さプロファイルである。この場合、2400ライン/mm(lpmm)の格子で、ピーク−谷(PV)の変調深さは120nmであり、使用した光源は公称上の波長が500nmである。図16aの上部プロファイルは、従来のFDA解析を用いて決定された高さプロファイルを示している。従来の解析が示すPV変調深さは、約10nmにすぎず、実際の変調深さが非常に過小評価されている。この不正確が起こる理由は、格子の特徴が、500nm機器の光学分解能の限界において存在するからである。これは、機器内のカメラの画素解像度が高くて格子を正確に分解するには十分過ぎるほどであっても、当てはまる。   FIG. 16a shows a height profile determined from actual scanning interference spectroscopy data. In this case, with a grating of 2400 lines / mm (lpmm), the peak-valley (PV) modulation depth is 120 nm, and the light source used has a nominal wavelength of 500 nm. The upper profile of FIG. 16a shows the height profile determined using conventional FDA analysis. The PV modulation depth shown by the conventional analysis is only about 10 nm, and the actual modulation depth is extremely underestimated. The reason for this inaccuracy is that the grating features exist at the limits of the optical resolution of the 500 nm instrument. This is true even if the pixel resolution of the camera in the device is high enough to accurately resolve the grid.

この効果について考慮する1つの方法は、第1の表面箇所に概ね対応する第1のカメラ画素に対する走査干渉分光信号は、隣接する表面箇所からの影響も含む場合があり、これが起きるのは、それらのさらなる表面箇所の表面特徴が光波長に対して十分に先鋭で光を第1の画素に回折するときである、ということである。これらの隣接する表面箇所からの表面高さ特徴によって、第1の表面箇所に対応する走査干渉分光信号の従来の解析が損なわれる。   One way to consider this effect is that the scanning interferometry signal for the first camera pixel that generally corresponds to the first surface location may also include the effects from adjacent surface locations, and this occurs because The surface feature of the further surface location is when it is sufficiently sharp with respect to the light wavelength to diffract the light into the first pixel. These surface height features from adjacent surface locations impair conventional analysis of the scanning interferometry signal corresponding to the first surface location.

しかし同時に、これは、第1の表面箇所に対応する走査干渉分光信号は、近くの複雑な表面特徴についての情報を含んでいる、ということを意味する。図17に、このことを示す。同図では、このステップ高さ特徴の周りの種々の箇所に対応する画素からの走査干渉分光信号が示されている。(a)における信号では、ステップ高さは画素の右側にあり、より高くなっている。(b)における信号では、ステップは画素を直接通り過ぎている。(c)における信号では、ステップは画素の左側にあり、より低くなっている。信号内で直ちに明白な識別特性の1つは、(b)におけるフリンジ・コントラストが、(a)および(c)と比べて低くなっていることである。たとえば、ステップ高さが波長の4分の1に等しく、画素の箇所がステップ高さの位置に正確に対応していた場合には、(b)におけるフリンジ・コントラストは、全く消滅する。その理由は、ステップの2つの側面からの干渉が、互いに正確に打ち消しあうからである。(a)および(c)に示した信号内にも多くの情報が存在している。たとえば、図18は、図17の信号(a)および(c)に対する周波数ドメイン位相スペクトルにおける非線形の歪みをそれぞれ示している。この歪みは、近くのステップ高さに起因するものである。これらのスペクトルは、図18において、それぞれ(a)および(b)として示されている。ステップ高さがない場合には、周波数ドメイン位相スペクトルは線形である。すなわち、ステップ高さに隣接する表面の箇所に対応する画素に対する周波数ドメイン位相スペクトルにおける非線形特徴は、ステップ高さについての情報を含む。   At the same time, however, this means that the scanning interferometry signal corresponding to the first surface location contains information about nearby complex surface features. This is shown in FIG. In the figure, scanning interference spectral signals from pixels corresponding to various locations around this step height feature are shown. In the signal in (a), the step height is on the right side of the pixel and is higher. In the signal in (b), the step passes directly through the pixel. In the signal in (c), the step is on the left side of the pixel and is lower. One of the discriminating characteristics immediately apparent in the signal is that the fringe contrast in (b) is lower than in (a) and (c). For example, if the step height is equal to a quarter of the wavelength and the pixel location corresponds exactly to the position of the step height, the fringe contrast in (b) is completely extinguished. The reason is that the interference from the two sides of the step cancels each other exactly. There is a lot of information in the signals shown in (a) and (c). For example, FIG. 18 shows nonlinear distortion in the frequency domain phase spectrum for signals (a) and (c) of FIG. 17, respectively. This distortion is due to the nearby step height. These spectra are shown in FIG. 18 as (a) and (b), respectively. In the absence of a step height, the frequency domain phase spectrum is linear. That is, the non-linear feature in the frequency domain phase spectrum for the pixel corresponding to the surface location adjacent to the step height includes information about the step height.

このように十分に分解できない表面特徴がある場合の試験表面の表面プロファイルをより正確に測定するためには、薄膜に対する前述したライブラリ検索技術を用いることができる。たとえば、十分に分解できない格子を有する試験表面の場合、一連のモデルFDAスペクトルを、PV変調深さおよびオフセット位置の異なる値に対して生成する。薄膜の例の場合と同様に、モデル・スペクトルに対する表面高さは、固定されたままである。そして、モデル・スペクトルが、薄膜厚みによってパラメータ化されるのではなく、変調深さおよびオフセット位置によってパラメータ化されることを除いて、前述した薄膜の例の場合と同様に解析が続けられる。そして、実際の試験表面に対するFDAスペクトルの識別特性と種々のモデル・スペクトルの識別特性との間の比較を用いて、マッチングを決定することができる。マッチングに基づいて、格子の存在によって生じる各画素に対する実際のFDAスペクトルにおける歪みが取り除かれるので、各画素に対する表面高さを従来の処理を用いて決定することができる。図16bおよび19bに、薄膜に対して前述したものと同じメリット関数を用いた、このような解析の結果を示す。   In order to more accurately measure the surface profile of the test surface when there are surface features that cannot be sufficiently resolved in this way, the library search technique described above for thin films can be used. For example, for a test surface with a grid that cannot be sufficiently resolved, a series of model FDA spectra are generated for different values of PV modulation depth and offset position. As with the thin film example, the surface height for the model spectrum remains fixed. The analysis is then continued as in the thin film example described above, except that the model spectrum is not parameterized by the thin film thickness but by the modulation depth and offset position. Matching can then be determined using a comparison between the identification characteristics of the FDA spectrum for the actual test surface and the identification characteristics of the various model spectra. Based on the matching, the distortion in the actual FDA spectrum for each pixel caused by the presence of the grid is removed so that the surface height for each pixel can be determined using conventional processing. Figures 16b and 19b show the results of such an analysis using the same merit function as described above for the thin film.

図16bに示すのは、図16aを参照して前述した2400ライン/mmの格子に対してライブラリ検索解析を用いて決定した高さプロファイルである。図16aおよび16bでは、同じデータを用いた。しかし、ライブラリ検索解析によって、格子に対するPV変調深さは100nmであると決定された。これは図16aの従来のFDA処理によって決定された10nmの結果よりも、実際の120nmの変調深さに、はるかに近い。図19aおよび19bに示すのは、別個のステップ高さを有し、公称上の500nm光源を仮定した場合のシミュレーションに対する同様の解析である。図19aに示すのは、従来のFDA処理を用いて決定した高さプロファイル(実線)と、シミュレーションに対する実際の高さプロファイル(破線)とを、比較したものである。図19bに示すのは、ライブラリ検索方法を用いて決定した高さプロファイル(実線)と、シミュレーションに対する実際の高さプロファイル(破線)とを、比較したものである。ライブラリ検索におけるモデル・スペクトルに対するパラメータは、箇所およびステップ高さの振幅であった。例示したように、ライブラリ検索解析によって、横方向の解像度が約0.5ミクロンから約0.3ミクロンに向上している。   FIG. 16b shows the height profile determined using library search analysis for the 2400 line / mm grid described above with reference to FIG. 16a. The same data was used in FIGS. 16a and 16b. However, by library search analysis, the PV modulation depth for the grating was determined to be 100 nm. This is much closer to the actual modulation depth of 120 nm than the 10 nm result determined by the conventional FDA process of FIG. 16a. Shown in FIGS. 19a and 19b is a similar analysis for a simulation with a discrete step height and assuming a nominal 500 nm light source. FIG. 19a shows a comparison between a height profile (solid line) determined using conventional FDA processing and an actual height profile (broken line) for the simulation. FIG. 19b shows a comparison between the height profile (solid line) determined using the library search method and the actual height profile (broken line) for the simulation. The parameters for the model spectrum in the library search were location and step height amplitude. As illustrated, the library search analysis improves the lateral resolution from about 0.5 microns to about 0.3 microns.

前述した詳細な解析において、実際のデータにおける情報と、種々のモデルに対応する情報との間の比較を、周波数ドメインにおいて行なった。他の実施形態においては、比較を、走査座標ドメインにおいて行なうことができる。たとえば、フリンジ・コントラスト包絡線の絶対位置の変化は一般に、当該の信号に対応する第1の表面箇所における表面高さの変化を示すが、信号の形状(その絶対位置とは無関係)は、複雑な表面構造、たとえば第1の表面箇所におけるその下の層および/または隣接する箇所における表面構造の情報を含む。   In the detailed analysis described above, a comparison between information in actual data and information corresponding to various models was made in the frequency domain. In other embodiments, the comparison can be made in the scan coordinate domain. For example, a change in the absolute position of the fringe contrast envelope generally indicates a change in surface height at the first surface location corresponding to the signal of interest, but the shape of the signal (regardless of its absolute position) is complex. Information on the surface structure at the first surface location, such as the underlying layer at the first surface location and / or the adjacent location.

1つの簡単なケースでは、フリンジ・コントラスト包絡線それ自体の振幅を考慮することである。たとえば、薄膜厚みが、光源が生成する波長範囲と比べて非常に小さい場合、薄膜が生成する干渉効果は波長とは無関係になる。この場合、薄膜厚みによって直接、フリンジ・コントラスト包絡線の振幅が変調される。したがって、一般的に、フリンジ・コントラストの振幅を、種々の薄膜厚みに対応するモデルに対するフリンジ・コントラストの振幅と比較して、特定の薄膜厚みに対するマッチングを特定することができる(干渉計それ自体からの系統的な影響を考慮する)。   One simple case is to consider the amplitude of the fringe contrast envelope itself. For example, if the thickness of the thin film is very small compared to the wavelength range generated by the light source, the interference effect generated by the thin film becomes independent of the wavelength. In this case, the amplitude of the fringe contrast envelope is directly modulated by the thin film thickness. Thus, in general, the fringe contrast amplitude can be compared with the fringe contrast amplitude for models corresponding to various thin film thicknesses to identify a match for a particular thin film thickness (from the interferometer itself). Systematic impacts of

他の簡単なケースでは、フリンジ・コントラスト包絡線の下のフリンジのゼロ交差の相対間隔を見ることである。単純な表面構造を対称的な周波数分散を用いて照明した場合には、種々のゼロ交差間の相対間隔は、公称上は同じになるはずである。したがって、相対間隔の変化によって、複雑な表面構造が示される(干渉計それ自体からの系統的な影響を考慮した場合)。また相対間隔の変化を、種々の複雑な表面構造に対するモデルと比較して、特定の表面構造に対するマッチングを特定することができる。   Another simple case is to look at the relative spacing of the fringe zero crossing below the fringe contrast envelope. When a simple surface structure is illuminated with symmetric frequency dispersion, the relative spacing between the various zero crossings should nominally be the same. Thus, the change in relative spacing indicates a complex surface structure (when considering systematic effects from the interferometer itself). The change in relative spacing can also be compared to models for various complex surface structures to identify a match for a particular surface structure.

他のケースでは、走査ドメイン信号と試験表面の種々のモデルに対応する走査ドメイン信号との間の相関関係を見ることである。マッチングは一般的に、ピーク値が最も高い相関関係に対応する。最も高いピーク値は、走査ドメイン信号の形状が実際の信号の形状に最も似ているモデルを示す。なお、このような解析は一般的に、表面高さには無関係である。その理由は、実際のサンプルの表面高さと各モデルの表面高さとの間の差は、相関関数におけるピーク箇所をシフトするだけであり、一般に、ピーク値それ自体に影響を与えるものではないからである。他方で、いったん正確なモデルが特定されると、正確なモデルの相関関数におけるピーク箇所によって、さらなる解析(たとえば従来のFDA)を必要とすることなく、試験サンプルに対する表面高さが得られる。   In other cases, look at the correlation between the scan domain signal and the scan domain signal corresponding to the various models of the test surface. Matching generally corresponds to the correlation with the highest peak value. The highest peak value indicates a model in which the shape of the scan domain signal is most similar to the shape of the actual signal. Such an analysis is generally independent of the surface height. The reason is that the difference between the actual sample surface height and the surface height of each model only shifts the peak location in the correlation function, and generally does not affect the peak value itself. is there. On the other hand, once an accurate model is identified, the peak location in the correlation function of the accurate model provides the surface height for the test sample without the need for further analysis (eg, conventional FDA).

空間周波数ドメインにおける解析と同様に、走査座標ドメインにおける解析は、多くの異なるタイプの複雑な表面に対して用いることができる。このような表面としては、薄膜だけでなく、他の複雑な表面構造たとえば前述した十分に分解できない表面高さ特徴が挙げられる。   Similar to analysis in the spatial frequency domain, analysis in the scan coordinate domain can be used for many different types of complex surfaces. Such surfaces include not only thin films, but also other complex surface structures such as the surface height features that cannot be fully resolved as described above.

次に、試験サンプルに対する信号と試験サンプルの種々のモデルに対する対応する信号との間の相関関係を伴う走査座標ライブラリ検索解析について詳細に説明する。
このアプローチでは、干渉パターンについての仮定として、以下のように述べる以外はどんな仮定も除外している。すなわち、同じ複雑な表面特性を有する表面箇所に対応するデータ・セット内では、すべての画素に、同じ基本的な局所化された干渉パターンが含まれ、このパターンは、各画素に対して単に位置がシフトしている(あるいは再スケーリングされた)だけである、ということである。信号は、実際にどのように見えるか、すなわちそれがガウシアン包絡線であろうと、周波数ドメインにおいて線形的な位相挙動であろうと、その他何であっても、問題ではない。考え方は、試験対象物に対する複雑な表面構造の種々のモデルに対するこの局所的な干渉パターンを表わすサンプル信号またはテンプレートを生成し、そして各画素に対して、局所的な干渉パターンが実際の局所的な干渉パターンの形状に最良にマッチングするモデルを見出し、そしてそのモデルに対して、干渉パターン・テンプレートと表面高さを与える観察信号との間の最良のマッチングが得られるデータ・セット内の走査位置を見出すことである。パターン・マッチングに対して、複数の技術が利用可能である。1つのアプローチは、各テンプレートをデータと数学的に相関させることである。各モデルに対して、複素数(すなわち実部プラス虚部)のテンプレート関数を用いることで、2つのプロファイルが再生される。一方は、信号の包絡線に密接に関連し、他方は、その下にある搬送波信号の位相に関連する。
The scanning coordinate library search analysis with correlation between the signal for the test sample and the corresponding signal for the various models of the test sample will now be described in detail.
This approach excludes any assumptions about the interference pattern except as described below. That is, in a data set corresponding to surface locations having the same complex surface characteristics, all pixels contain the same basic localized interference pattern, which is simply a location for each pixel. Is only shifted (or rescaled). It doesn't matter what the signal really looks like, whether it is a Gaussian envelope or a linear phase behavior in the frequency domain. The idea is to generate a sample signal or template that represents this local interference pattern for various models of complex surface structures for the test object, and for each pixel the local interference pattern is the actual local pattern. Find a model that best matches the shape of the interference pattern and, for that model, determine the scan position in the data set that gives the best match between the interference pattern template and the observation signal that gives the surface height. To find. Several techniques are available for pattern matching. One approach is to mathematically correlate each template with the data. For each model, two profiles are reproduced by using a complex (ie real part plus imaginary part) template function. One is closely related to the signal envelope and the other is related to the phase of the underlying carrier signal.

一実施形態においては、たとえば、各画素に対する解析は、以下のものを含む。(1)調整可能なパラメータ、たとえば膜厚の特定の値に対して計算されたか、または記録されたテンプレートのライブラリから試験テンプレートを選択する、(2)選択された試験テンプレートおよび相関技術を用いて局所的な表面高さを見出す(その例については、後述する)、(3)相関技術に基づいて選択された試験テンプレートに対するピーク・メリット関数値を記録する、(4)ライブラリにおけるテンプレートのすべてまたはサブセットに対してステップ1〜3を繰り返す、(5)どの試験テンプレートが最良のマッチング(=最も高いピーク・メリット関数値)を与えるかを決定する、(6)最良にマッチングされるテンプレートに対する調整可能なパラメータ(たとえば薄膜厚み)に対する値を記録する、(7)データ・トレース内のピーク・マッチング位置を与えた高さ値を呼び出す。   In one embodiment, for example, the analysis for each pixel includes: (1) select a test template from a library of tunable parameters, eg calculated or recorded for a specific value of film thickness, (2) using the selected test template and correlation technique Find the local surface height (examples will be described later), (3) record the peak merit function value for the test template selected based on the correlation technique, (4) all of the templates in the library or Repeat steps 1-3 for the subset, (5) determine which test template gives the best match (= highest peak merit function value), (6) tunable for best matched template Record values for various parameters (eg thin film thickness), (7) Data Call the height values that gave peak matching position in the race.

次に、複素相関に基づく好適な相関技術について説明する。試験表面の各モデルに対して、テンプレート干渉パターンを生成する。   Next, a suitable correlation technique based on complex correlation will be described. A template interference pattern is generated for each model of the test surface.

Figure 2006519992
ここで、屈折率jは、テンプレート・パターンに対する特定のモデルを示す。関数m temp(ζ)およびφ temp(ζ)によって、複雑な表面構造が特徴付けられる。しかしこれらの関数は、信号に対応する箇所における表面高さには無関係である。表面高さはゼロに設定される。好ましい実施形態においては、関数m temp(ζ)およびφ temp(ζ)によって、干渉計からの系統的な影響も明らかになる。そして、テンプレート・パターンに対する複素数表現を用いる。
Figure 2006519992
Here, the refractive index j indicates a specific model for the template pattern. The functions m j temp (ζ) and φ j temp (ζ) characterize complex surface structures. However, these functions are independent of the surface height at the location corresponding to the signal. The surface height is set to zero. In the preferred embodiment, the functions m j temp (ζ) and φ j temp (ζ) also reveal the systematic effects from the interferometer. A complex number representation for the template pattern is used.

Figure 2006519992
さらにウィンドウ関数を用いて、複素テンプレート関数の特定の部分を選択する。
Figure 2006519992
Further, a specific part of the complex template function is selected using a window function.

Figure 2006519992
Figure 2006519992

Figure 2006519992
たとえば、適切なウィンドウは、以下のようであってもよい。
Figure 2006519992
For example, a suitable window may be as follows:

Figure 2006519992
ここで、ウィンドウ幅Δζは、手入力で設定することができる。
Figure 2006519992
Here, the window width Δζ can be set manually.

干渉パターン・テンプレートI^ patがあるため、それを用いて実際のデータ・セットとの比較を行なうことができる。これを用意する際、実際の実験データ・セットから始まる複素信号I^exを生成することが便利である。 Since there is an interference pattern template I ^ j pat , it can be used to compare with the actual data set. In preparing this, it is convenient to generate a complex signal I ^ ex starting from the actual experimental data set.

Figure 2006519992
この信号のフーリエ変換は、以下の通りである。
Figure 2006519992
The Fourier transform of this signal is as follows.

Figure 2006519992
Figure 2006519992

Figure 2006519992
ここで、
Figure 2006519992
here,

Figure 2006519992
そして、スペクトルの正の周波数部分から部分的なスペクトルを構築する。
Figure 2006519992
A partial spectrum is then constructed from the positive frequency portion of the spectrum.

Figure 2006519992
そして逆変換は、以下の通りである。
Figure 2006519992
The inverse transformation is as follows.

Figure 2006519992
Figure 2006519992

Figure 2006519992
ここで、この複素関数I^exの実部は、当初の実験データIexである。さらに、位相および包絡線は、簡単な操作によって分離することができる。たとえば、複素関数I^exの振幅を用いて、信号強さACex(x)および包絡線mexの積を利用することができる。
Figure 2006519992
Here, the real part of this complex function I ^ ex is the original experimental data Iex . Furthermore, the phase and envelope can be separated by a simple operation. For example, the product of the signal strength AC ex (x) and the envelope m ex can be utilized using the amplitude of the complex function I ^ ex .

Figure 2006519992
技術の基礎となる理論にしたがって、mexの少なくとも有意義な部分が、正確なモデルに対するm tempと同じ一般的な形状を有し、唯一の違いは、線形のオフセットhexおよびスケーリング因子ACex(x)であると予想される。また実験および干渉パターン・テンプレート位相オフセットφex、φ pat間の差が、正確なモデルに対する高さhexに直線的に比例していると予想される。
Figure 2006519992
According to the underlying theory of the technology, at least a significant part of m ex has the same general shape as m j temp for the exact model, the only difference being the linear offset h ex and the scaling factor AC ex Expected to be (x). It is also expected that the difference between the experimental and interference pattern template phase offsets φ ex , φ j pat is linearly proportional to the height h ex for the exact model.

当面の課題は、干渉パターン・テンプレートI^ patによって表わされる特定の信号パターンを実験データI^ex内に配置して、異なるモデルjのそれぞれに対してどのくらい良好なマッチングが存在するのかを決定することである。以下においては、屈折率jを省略し、マッチング解析が各モデルに対して進行することについて述べる。 The challenge for the time being is to place a specific signal pattern represented by the interference pattern template I ^ j pat in the experimental data I ^ ex to determine how good a matching exists for each of the different models j It is to be. In the following, it is described that the refractive index j is omitted and the matching analysis proceeds for each model.

第1のステップは、包絡線mex、mpatおよびφex、φpatの形状が最良にマッチングされる走査位置ζbestを見出すことである。実行可能なアプローチは、干渉パターン・テンプレートと、ウィンドウwによって規定される走査のセグメント内の信号との、規格化された相関関係に基づくメリット関数である。 The first step is to find the scan position ζ best where the shapes of the envelopes m ex , m pat and φ ex , φ pat are best matched. A feasible approach is a merit function based on a normalized correlation between the interference pattern template and the signal in the segment of the scan defined by the window w.

Figure 2006519992
ここで、
Figure 2006519992
here,

Figure 2006519992
は、複素相関関数であり、および
Figure 2006519992
Is the complex correlation function, and

Figure 2006519992
Figure 2006519992

Figure 2006519992
は、メリット関数Πを信号強さとは無関係にする規格化である。テンプレートの複素共役I^ patを用いることによって、同位相の線形の位相項Kζが打ち消され、φex、φplatがマッチングするときにΠが最大になる。相関関係の絶対値によって、残りのわずかな複素位相も取り除かれる。
Figure 2006519992
Is a standardization that makes the merit function Π independent of signal strength. By using the complex conjugate I ^ * pat of the template, the linear phase term K 0 ζ of the same phase is canceled, and Π is maximized when φ ex and φ plate match. The absolute value of the correlation also removes the remaining few complex phases.

Π(ζ)が、誤った高い値を生じることも、低い信号レベルにおいて特異性に遭遇することもないように、慎重に分母に最小値を加える。たとえば以下のようである。   Carefully add a minimum value to the denominator so that ζ (ζ) does not yield false high values or encounter singularities at low signal levels. For example:

Figure 2006519992
ここで、max()関数は、全走査長さζにおける信号強さ|I^ex|の最大値を戻す。MinDenomは、メリット関数検索において有効であると考えられる最小の相対信号強さである。MinDenomの値は、5%または他の何らかの小さい値においてハード・コードすることもできるし、調整可能なパラメータのままにしておくこともできる。
Figure 2006519992
Here, the max () function returns the maximum value of the signal strength | I ^ ex | for the entire scanning length ζ. MinDenom is the minimum relative signal strength that is considered to be effective in the merit function search. The value of MinDenom can be hard coded at 5% or some other small value, or it can be left as an adjustable parameter.

相関積分I^も、相関定理を用いて周波数ドメインにおいて実行することができる。   The correlation integral I ^ can also be performed in the frequency domain using the correlation theorem.

Figure 2006519992
ここで、Iは以下の関係を用いた。
Figure 2006519992
Here, I used the following relationship.

Figure 2006519992
ここで、
Figure 2006519992
here,

Figure 2006519992
Πを通して検索してピーク値を見出すことによって、最良のマッチング位置ζbestが得られる。Πの値は、マッチングの品質の測定値であり、ゼロから1の範囲である。なお1は、完全なマッチングに対応する。メリット関数のピーク値を、種々のモデルのそれぞれに対して計算して、どのモデルが最良のマッチングであるかを決定する。そしてそのモデルに対する最良のマッチング位置ζbestによって、表面高さが与えられる。
Figure 2006519992
The best matching position ζ best is obtained by searching through Π to find the peak value. The value of Π is a measure of the quality of matching and ranges from zero to one. Note that 1 corresponds to perfect matching. The peak value of the merit function is calculated for each of the various models to determine which model is the best match. The surface height is then given by the best matching position ζ best for that model.

図20〜24に本技術の例を例示する。図20に示すのは、薄膜のないベースSi基板の実際の走査干渉分光信号である。図21および22に示すのは、ベアSi基板と、1ミクロンのSiOがSi上に形成された薄膜構造とのそれぞれに対する干渉テンプレート・パターンである。図23および24に示すのは、図21および22のそれぞれのテンプレート関数に対する走査位置の関数としてのメリット関数である。メリット関数は、ベア基板に対する干渉テンプレート・パターンのマッチング(ピーク値0.92)の方が、薄膜テンプレート・パターンに対するマッチング(ピーク値0.76)よりも、はるかに良好であることを示している。したがって、メリット関数は、試験サンプルはベア基板であることを示している。さらに、正確なテンプレート・パターンに対するメリット関数におけるピークの位置によって、試験サンプルに対する相対的な表面高さ位置が与えられる。 Examples of the present technology are illustrated in FIGS. FIG. 20 shows an actual scanning interference spectral signal of a base Si substrate without a thin film. Shown in FIGS. 21 and 22 are interference template patterns for a bare Si substrate and a thin film structure with 1 micron SiO 2 formed on Si, respectively. Shown in FIGS. 23 and 24 are merit functions as a function of scan position for the template functions of FIGS. 21 and 22, respectively. The merit function shows that the matching of the interference template pattern to the bare substrate (peak value 0.92) is much better than the matching to the thin film template pattern (peak value 0.76). . Therefore, the merit function indicates that the test sample is a bare substrate. Furthermore, the position of the peak in the merit function for the correct template pattern gives the relative surface height position for the test sample.

前述した方法およびシステムは、半導体応用例において特に有用であり得る。本発明のさらなる実施形態は、前述した測定技術のいずれかを適用して後述の半導体応用例のいずれかに対応すること、測定技術および半導体応用例の両方を行なうシステムとを含む。   The methods and systems described above can be particularly useful in semiconductor applications. Further embodiments of the present invention include applying any of the measurement techniques described above to address any of the semiconductor applications described below, and a system that performs both the measurement techniques and the semiconductor applications.

現在、表面トポグラフィの定量測定を行なうことは、半導体業界においてかなり関心が持たれている。典型的なチップ特徴のサイズが小さいために、これらの測定を行なうために使用される機器は通常、チップ表面に平行および垂直の両方において高い空間分解能を有していなければならない。エンジニアおよび科学者は、表面トポグラフィ測定システムを用いて、プロセス制御を行ない、また製造の過程で生じる欠陥、特にエッチング、研磨、クリーニング、およびパターニングなどのプロセスの結果として生じる欠陥を検出する。   Currently, the quantitative measurement of surface topography is of considerable interest in the semiconductor industry. Due to the small size of typical chip features, the equipment used to make these measurements typically must have a high spatial resolution both parallel and perpendicular to the chip surface. Engineers and scientists use surface topography measurement systems to control processes and detect defects that occur during manufacturing, particularly defects that result from processes such as etching, polishing, cleaning, and patterning.

プロセス制御および欠陥検出が特に有用であるためには、表面トポグラフィ測定システムは、横方向の解像度が、典型的な表面特徴の横方向のサイズに匹敵し、垂直方向の解像度が、許容される最小の表面ステップ高さに匹敵しなければならない。通常、このことは、横方向の解像度がミクロン未満であり、垂直方向の解像度が1ナノメータ未満であることが要求される。またこのようなシステムが、その測定を、チップ表面に接触せずに、その他の場合には潜在的に損傷を与える力を表面に及ぼすことなく、行なって、表面改質または欠陥導入を回避することが好ましい。さらに、チップ作製において使用される多くのプロセスの効果が局所的な因子たとえばパターン密度およびエッジ近似に強く依存することが良く知られているため、表面トポグラフィ測定システムが、高い測定スループットと、対象とする1つまたは多くの表面特徴を含み得る領域において大面積に亘って高密度にサンプリングする能力とを有することも重要である。   In order for process control and defect detection to be particularly useful, surface topography measurement systems have a minimum horizontal resolution that is comparable to the horizontal size of typical surface features and the vertical resolution is acceptable. The surface step height must be comparable. This usually requires that the lateral resolution is less than a micron and the vertical resolution is less than 1 nanometer. Such a system also makes its measurements without touching the chip surface, and without otherwise exerting potentially damaging forces on the surface to avoid surface modification or defect introduction. It is preferable. In addition, it is well known that the effects of many processes used in chip fabrication are strongly dependent on local factors such as pattern density and edge approximation, so that surface topography measurement systems can achieve high measurement throughput, It is also important to have the ability to sample densely over a large area in a region that may contain one or many surface features.

いわゆる「デュアル・ダマシン銅」プロセスを用いて、チップの種々の部品間の電気的な相互接続を作製することは、チップ・メーカの間で一般的になりつつある。これは、好適な表面トポグラフィ・システムを用いて効果的に特徴付けを行なうことができるプロセスの例である。デュアル・ダマシン・プロセスには、5つの部分があると考えることができる。(1)誘電体材料(たとえばポリマー、またはガラス)の層を、ウェハ(複数の別個のチップを収容する)の表面上に堆積させる中間層誘電体(ILD)堆積。(2)誘電体層を研磨して、精密な光リソグラフィにとって好適な滑らかな表面を形成する化学的機械的研磨(CMP)。(3)ウェハ表面に平行に進行する狭いトレンチと、トレンチの底部から下方の(事前に規定された)電気伝導層まで進行する小さいビアとを含む複雑なネットワークが形成されるリソグラフィ・パターニングおよび反応性イオン・エッチング・ステップの組み合わせ。(4)銅が過剰充填されるトレンチおよびビアをもたらす金属堆積ステップの組み合わせ。(5)過剰の銅を取り除いて、誘電体材料によって囲まれた、銅が充填されたトレンチ(およびあるいはビア)のネットワークを残す最終的な化学的機械的研磨(CMP)ステップ。   It is becoming common among chip manufacturers to use the so-called “dual damascene copper” process to create electrical interconnects between various parts of a chip. This is an example of a process that can be effectively characterized using a suitable surface topography system. The dual damascene process can be considered to have five parts. (1) Interlayer dielectric (ILD) deposition in which a layer of dielectric material (eg, polymer or glass) is deposited on the surface of a wafer (containing a plurality of separate chips). (2) Chemical mechanical polishing (CMP) that polishes the dielectric layer to form a smooth surface suitable for precision photolithography. (3) Lithographic patterning and reaction in which a complex network is formed that includes narrow trenches that run parallel to the wafer surface and small vias that run from the bottom of the trench to the lower (predefined) electrically conductive layer A combination of reactive ion etching steps. (4) A combination of metal deposition steps resulting in trenches and vias that are overfilled with copper. (5) A final chemical mechanical polishing (CMP) step that removes excess copper and leaves a network of copper filled trenches (and / or vias) surrounded by dielectric material.

通常は、トレンチ領域における銅の厚み(すなわちトレンチ深さ)、および周囲の誘電体の厚みは、0.2〜0.5ミクロンの範囲である。結果として生じるトレンチの幅は、100〜100、000ナノメータの範囲にあってもよい。また各チップ内の銅領域は、ある領域では、規則的なパターンたとえば平行なラインのアレイを形成してもよく、他の領域では、明らかなパターンがなくてもよい。同様に、ある領域では、表面が銅領域によって高密度に覆われていてもよく、他の領域では、銅領域はまばらであってもよい。以下のことを理解することは重要である。すなわち、研磨レート、したがって研磨後の残存する銅(および誘電体)の厚みは、研磨条件(たとえばパッド圧力および研磨用スラリ組成)だけでなく、銅および周囲の誘電体領域の局所的な詳細な配置(すなわち、方位、近接性、形状)に強くかつ複雑な仕方で依存する。   Typically, the copper thickness (ie, trench depth) in the trench region and the surrounding dielectric thickness is in the range of 0.2 to 0.5 microns. The resulting trench width may be in the range of 100 to 100,000 nanometers. Also, the copper regions in each chip may form a regular pattern, such as an array of parallel lines, in certain regions and no obvious pattern in other regions. Similarly, in some areas, the surface may be densely covered with copper areas, and in other areas the copper areas may be sparse. It is important to understand the following: That is, the polishing rate, and hence the thickness of the remaining copper (and dielectric) after polishing, as well as the polishing conditions (eg, pad pressure and polishing slurry composition) as well as local details of the copper and surrounding dielectric regions. Depends on placement (ie, orientation, proximity, shape) in a strong and complex manner.

この「位置依存性の研磨レート」は、可変の表面トポグラフィを、多くの横方向の長さ規模で生じることが知られている。たとえば、集合体上のウェハのエッジ近くに位置するチップは、中心近くに位置するチップよりも急速に研磨され、その結果、形成される銅領域は、エッジ付近では所望よりも薄く、中心では所望よりも厚くなることを意味する場合がある。これは、「ウェハ規模」のプロセス不均一性の例であり、すなわち、ウェハ直径に匹敵する長さ規模で起こる。また、高密度の銅トレンチが存在する領域の研磨レートは、銅ライン密度が低い近くの領域よりも高いことが知られている。これは、高い銅密度領域における「CMP誘導腐食」として知られている現象の原因となる。これは、「チップ規模」のプロセス不均一性の例であり、すなわち、単一チップの長さ寸法に匹敵する(はるかに小さい場合もある)長さ規模で起こる。銅充填された単一のトレンチ領域(周囲の誘電体材料よりも高いレートで研磨される傾向がある)内では、他のタイプのチップ規模不均一性(「デッシング」として知られている)が起こる。トレンチが幅デッシングにおいて数ミクロンよりも大きくなると危険で、影響されたラインの電気抵抗が後に過度に大きくなることがある。これはチップ故障の原因となる。   This “position dependent polishing rate” is known to produce variable surface topography at many lateral length scales. For example, a chip located near the edge of the wafer on the assembly is polished more rapidly than a chip located near the center, so that the copper area formed is thinner than desired near the edge and desired at the center. May mean thicker. This is an example of "wafer scale" process non-uniformity, i.e. occurs on a length scale comparable to the wafer diameter. Further, it is known that the polishing rate in the region where the high-density copper trench is present is higher than that in the nearby region where the copper line density is low. This causes a phenomenon known as “CMP induced corrosion” in the high copper density region. This is an example of "chip scale" process non-uniformity, i.e. occurs on a length scale comparable to the length dimension of a single chip (which may be much smaller). Within a single copper-filled trench region (which tends to polish at a higher rate than the surrounding dielectric material), another type of chip-scale non-uniformity (known as “desching”) is present. Occur. It is dangerous if the trench is larger than a few microns in width dishing, and the electrical resistance of the affected line may later become excessive. This causes a chip failure.

CMP誘導のウェハおよびチップ規模のプロセス不均一性は、本来的に予測が難しい。またこれらは、CMP処理システム内の状態の進展とともに経時変化する。どんな不均一性も確実に許容限界内に留まるように、プロセス条件を効果的にモニタして適切に調整するためには、プロセス・エンジニアが、チップ上での非接触の表面トポグラフィ測定を多数かつ幅広い箇所で頻繁に行なうことが重要である。これは、前述した干渉分光技術の実施形態を用いれば、可能である。   CMP-induced wafer and chip-scale process non-uniformity is inherently difficult to predict. They also change over time as the state in the CMP processing system evolves. To ensure that process conditions are effectively monitored and adjusted appropriately to ensure that any non-uniformity remains within acceptable limits, the process engineer must perform many non-contact surface topography measurements on the chip and It is important to do it frequently in a wide area. This is possible using the embodiment of the interference spectroscopy technique described above.

前述したコンピュータ解析方法はどれも、ハードウェアもしくはソフトウェアまたは両方の組み合わせにおいて、実施することができる。本方法は、本明細書で説明した方法および図に従って、標準的なプログラミング技術を用いて、コンピュータ・プログラムで実現することができる。プログラム・コードを入力データに適用して、本明細書で説明した関数を実行し、出力情報を生成する。出力情報を、1つまたは複数の出力装置たとえばディスプレイ・モニタに加える。各プログラムを、高レベルの手続き型またはオブジェクト指向のプログラミング言語で実現して、コンピュータ・システムと通信してもよい。しかしプログラムは、必要に応じて、アセンブリまたは機械語で実現することができる。どの場合でも、言語は、コンパイルされた言語またはインタープリットされた言語とすることができる。さらに、プログラムを、その目的用に事前にプログラムされた専用の集積回路上で実行させることができる。   Any of the computer analysis methods described above can be implemented in hardware or software or a combination of both. The method can be implemented in a computer program using standard programming techniques in accordance with the methods and figures described herein. Program code is applied to input data to execute the functions described herein and generate output information. The output information is applied to one or more output devices such as a display monitor. Each program may be implemented in a high level procedural or object oriented programming language to communicate with a computer system. However, the program can be implemented in assembly or machine language as required. In any case, the language can be a compiled language or an interpreted language. Furthermore, the program can be executed on a dedicated integrated circuit preprogrammed for that purpose.

このようなコンピュータ・プログラムはそれぞれ、好ましくは一般的または特別な目的のプログラマブル・コンピュータによって読取可能な記憶媒体または装置(たとえば、ROMまたは磁気的ディスク)上に記憶して、記憶媒体または装置がコンピュータによって読み取られたときに、本明細書で説明した手順を行なうようにコンピュータを構成および操作する。またコンピュータ・プログラムは、プログラム実行中に、キャッシュまたは主メモリ内に存在することもできる。また本解析方法は、コンピュータ読取可能な記憶媒体として実現することができ、コンピュータ・プログラムによって構成することができる。記憶媒体をそのように構成することによって、コンピュータを特定および所定の仕方で動作させて、本明細書で説明した機能が実行される。   Each such computer program is preferably stored on a storage medium or device (eg, ROM or magnetic disk) readable by a general or special purpose programmable computer such that the storage medium or device is a computer. Configure and operate the computer to perform the procedures described herein. The computer program can also reside in cache or main memory during program execution. The analysis method can be realized as a computer-readable storage medium and can be configured by a computer program. By configuring the storage medium as such, the functions described herein are performed by operating the computer in a specific and predetermined manner.

本発明の多くの実施形態について説明したが、本発明の趣旨および範囲から逸脱することなく種々の変更を行なってもよいことが、理解される。   While many embodiments of the invention have been described, it will be appreciated that various changes may be made without departing from the spirit and scope of the invention.

干渉分光法のフロー・チャートである。It is a flow chart of interferometry. 図1の干渉分光法の変形を示すフロー・チャートである。2 is a flow chart showing a modification of the interferometry method of FIG. リニック型走査型干渉計の概略図である。It is the schematic of a linic type scanning interferometer. ミラウ型走査型干渉計の概略図である。It is the schematic of a Mirau type scanning interferometer. 対物レンズを通した試験サンプルの照明を示すダイアグラムである。2 is a diagram illustrating illumination of a test sample through an objective lens. 2つの極限における走査干渉分光データに対する理論的なフーリエ振幅スペクトルを示す図である。It is a figure which shows the theoretical Fourier amplitude spectrum with respect to the scanning interference spectroscopy data in two limits. 薄膜あり/なしの2つの表面タイプを示す図である。It is a figure which shows two surface types with / without a thin film. 薄膜厚が0のSi基板上のSiO膜のシミュレーションに対するメリット関数検索手順を示すである。It shows a merit function search procedure for a simulation of a SiO 2 film on a Si substrate with a thin film thickness of 0. 薄膜厚が50nmのSi基板上のSiO膜のシミュレーションに対するメリット関数検索手順を示す図である。Film thickness is a diagram showing a merit function search procedure for simulation of a SiO 2 film on the Si substrate of 50nm. 薄膜厚が100nmのSi基板上のSiO膜のシミュレーションに対するメリット関数検索手順を示す図である。Film thickness is a diagram showing a merit function search procedure for simulation of a SiO 2 film on the Si substrate of 100 nm. 薄膜厚が300nmのSi基板上のSiO膜のシミュレーションに対するメリット関数検索手順を示す図である。Film thickness is a diagram showing a merit function search procedure for simulation of a SiO 2 film on the Si substrate of 300 nm. 薄膜厚が600nmのSi基板上のSiO膜のシミュレーションに対するメリット関数検索手順を示す図である。Film thickness is a diagram showing a merit function search procedure for simulation of a SiO 2 film on the Si substrate of 600 nm. 薄膜厚が1200nmのSi基板上のSiO膜のシミュレーションに対するメリット関数検索手順を示す図である。Film thickness is a diagram showing a merit function search procedure for simulation of a SiO 2 film on 1200nm of the Si substrate. 最上面が常にゼロで、膜厚が0から1500nmまで画素当たり10nmの増分で一様に変化するSi上のSiO薄膜のシミュレーションに対して決定された表面および基板プロファイルを示す図である。FIG. 6 shows the surface and substrate profile determined for a simulation of a SiO 2 thin film on Si with the top surface always zero and the film thickness varying uniformly in increments of 10 nm per pixel from 0 to 1500 nm. 図14のシミュレーションと、ランダム・ノイズが付加された以外は同一のシミュレーションに対して決定された表面および基板プロファイルを示す図である(平均値128強度ビットからの2ビット二乗平均平方根)。FIG. 15 is a diagram showing the surface and substrate profiles determined for the simulation of FIG. 14 and the same simulation except that random noise was added (2-bit root mean square from an average value of 128 intensity bits). 従来のFDA解析を用いて決定された表面高さプロファイル(図16a)と、実際のピーク/バレイ変調深さが120nmであるmm当たり2400ラインの格子に対する本明細書で説明されるライブラリ検索方法(図16b)とを示す図である。A library search method described herein for a surface height profile determined using conventional FDA analysis (FIG. 16a) and a grid of 2400 lines per mm with an actual peak / valley modulation depth of 120 nm ( FIG. 16b) is a diagram. ステップ高さ付近の種々の表面箇所に対応する画素に対する干渉信号を走査する際の十分に分解できないステップ高さによって引き起こされる歪みを示す図である。FIG. 6 is a diagram illustrating distortion caused by a step height that cannot be sufficiently resolved when scanning interference signals for pixels corresponding to various surface locations near the step height. 図17の十分に分解できないステップ高さの左(図18a)および右(図18b)に対する表面箇所に対応する画素に対する周波数ドメイン位相スペクトルにおける非線形の歪みを示す図である。FIG. 18 shows non-linear distortion in the frequency domain phase spectrum for pixels corresponding to surface locations for left (FIG. 18a) and right (FIG. 18b) step heights that cannot be sufficiently resolved in FIG. 十分に分解できないステップ高さに対して、従来のFDA解析を用いて(図19a)および本明細書で説明するライブラリ検索方法を用いて(図19b)決定された表面高さプロファイル示す図である。FIG. 19 shows a surface height profile determined using conventional FDA analysis (FIG. 19a) and using the library search method described herein (FIG. 19b) for step heights that cannot be fully resolved. . 薄膜のないベースとなるSi基板の実際の走査干渉分光信号を示す図である。It is a figure which shows the actual scanning interference spectroscopy signal of the Si substrate used as a base without a thin film. ベアSi基板に対する干渉テンプレート・パターンを示す図である。It is a figure which shows the interference template pattern with respect to a bare Si substrate. 1ミクロンのSiOがSi上に形成された薄膜構造に対する干渉テンプレート・パターンを示す図である。FIG. 6 shows an interference template pattern for a thin film structure with 1 micron SiO 2 formed on Si. 図21におけるテンプレート関数に対する走査位置の関数としてのメリット関数を示す図である。It is a figure which shows the merit function as a function of the scanning position with respect to the template function in FIG. 図22におけるテンプレート関数に対する走査位置の関数としてのメリット関数を示す図である。It is a figure which shows the merit function as a function of the scanning position with respect to the template function in FIG.

Claims (77)

方法であって、
試験対象物の第1の表面箇所に対する走査干渉分光信号から導出可能な情報と試験対象物の複数のモデルに対応する情報とを比較することを含み、
前記複数のモデルは、試験対象物に対する一連の特性によってパラメータ化され、
前記複数のモデルに対応する情報は、試験対象物の各モデルに対応する走査干渉分光信号の変換分の少なくとも1つの振幅成分についての情報を含む、方法。
A method,
Comparing information derivable from a scanning interferometry signal for a first surface location of the test object with information corresponding to a plurality of models of the test object;
The plurality of models are parameterized by a set of characteristics for a test object;
The information corresponding to the plurality of models includes information on at least one amplitude component of a conversion of the scanning interference spectral signal corresponding to each model of the test object.
前記比較に基づいて試験対象物に対する正確な特性を決定することをさらに含む請求項1に記載の方法。   The method of claim 1, further comprising determining an exact characteristic for a test object based on the comparison. 前記比較に基づいて第1の表面箇所に対する相対的な表面高さを決定することをさらに含む請求項1に記載の方法。   The method of claim 1, further comprising determining a relative surface height for the first surface location based on the comparison. 前記相対的な表面高さを決定することは、どのモデルが、試験対象物に対する特性のうちの正確な特性に対応するかを前記比較に基づいて決定すること、前記正確な特性に対応するモデルを用いて相対的な表面高さを計算することを含む、請求項3に記載の方法。   Determining the relative surface height is based on the comparison to determine which model corresponds to the correct one of the properties for the test object, the model corresponding to the exact property 4. The method of claim 3, comprising calculating a relative surface height using. 前記正確な特性に対応するモデルを用いることは、走査干渉分光信号からのデータを補正して、前記正確な特性から生じる影響を低減することを含む、請求項4に記載の方法。   5. The method of claim 4, wherein using a model corresponding to the exact characteristic includes correcting data from a scanning interferometry signal to reduce the effects resulting from the accurate characteristic. データを補正することは、前記正確な特性から生じる位相の影響を、試験対象物に対する走査干渉分光信号の変換分の位相成分から取り出すことを含み、前記正確な特性に対応するモデルを用いることはさらに、前記正確な特性から生じる位相の影響を取り除いた後に、変換分の位相成分から相対的な表面高さを計算することを含む、請求項5に記載の方法。   Correcting the data includes extracting the phase effect resulting from the accurate characteristic from the phase component of the scanning interference spectral signal converted to the test object, and using a model corresponding to the accurate characteristic is 6. The method of claim 5, further comprising calculating a relative surface height from the phase component of the transform after removing the phase effect resulting from the exact characteristic. さらなる表面箇所に対する走査干渉分光信号から導出可能な情報と複数のモデルに対応する情報とを比較することをさらに含む請求項1に記載の方法。   The method of claim 1, further comprising comparing information derivable from scanning interferometry signals for additional surface locations with information corresponding to the plurality of models. 前記比較に基づいて試験対象物に対する表面高さプロファイルを決定することをさらに含む請求項7に記載の方法。   8. The method of claim 7, further comprising determining a surface height profile for a test object based on the comparison. 前記比較することは、走査干渉分光信号から導出可能な情報と各モデルに対応する情報との間の類似性を示す1つまたは複数のメリット関数を計算することを含む、請求項1に記載の方法。   The comparison of claim 1, wherein the comparing includes calculating one or more merit functions indicating similarity between information derivable from scanning interferometry signals and information corresponding to each model. Method. 前記比較することは、走査干渉分光信号から導出可能な情報を、モデルに対応する情報に対する表現にフィッティングすることを含む、請求項1に記載の方法。   The method of claim 1, wherein the comparing comprises fitting information derivable from scanning interferometry signals into a representation for information corresponding to the model. 走査干渉分光信号から導出可能な情報は、試験対象物に対する走査干渉分光信号の変換分の少なくとも1つの振幅成分についての情報を含む、請求項1に記載の方法。   The method according to claim 1, wherein the information derivable from the scanning interference spectral signal includes information on at least one amplitude component of the conversion of the scanning interference spectral signal with respect to the test object. 前記比較することは、試験対象物に対する少なくとも1つの振幅成分の相対的強さと、各モデルに対する少なくとも1つの振幅成分の相対的強さとを比較することを含む、請求項11に記載の方法。   The method of claim 11, wherein the comparing includes comparing a relative strength of at least one amplitude component for a test object with a relative strength of at least one amplitude component for each model. 前記複数のモデルに対応する情報は、変換分に対する座標の関数である、請求項1に記載の方法。   The method according to claim 1, wherein the information corresponding to the plurality of models is a function of coordinates with respect to a transformation. 前記複数のモデルに対応する情報は、各モデルに対する変換分の振幅プロファイルを含む、請求項13に記載の方法。   The method according to claim 13, wherein the information corresponding to the plurality of models includes a transformed amplitude profile for each model. 前記比較することは、試験対象物に対する走査干渉分光信号の変換分の振幅プロファイルと、前記複数のモデルに対する各振幅プロファイルとを比較することを含む、請求項14に記載の方法。   The method according to claim 14, wherein the comparing includes comparing the amplitude profile of the transform of the scanning interferometry signal with respect to the test object and each amplitude profile with respect to the plurality of models. 前記比較することはさらに、試験対象物に対する走査干渉分光信号の変換分の位相プロファイルにおける情報と、各モデルに対する変換分の位相プロファイルにおける情報とを比較することを含む、請求項14に記載の方法。   The method of claim 14, wherein the comparing further comprises comparing information in the phase profile of the transform of the scanning interferometry signal for the test object with information in the phase profile of the transform for each model. . 前記位相プロファイルにおける情報は、変換座標に関する位相プロファイルの非線形性を含む、請求項16に記載の方法。   The method of claim 16, wherein the information in the phase profile includes a phase profile non-linearity with respect to transformed coordinates. 前記位相プロファイルにおける情報は、試験対象物および各モデルに対する位相ずれ値を含む、請求項16に記載の方法。   The method of claim 16, wherein the information in the phase profile includes a test object and a phase shift value for each model. 前記複数のモデルが、試験対象物の第1の箇所における固定された表面高さに対応する、請求項1に記載の方法。   The method of claim 1, wherein the plurality of models correspond to a fixed surface height at a first location of a test object. 前記一連の特性は、試験対象物の少なくとも1つの物理パラメータに対する一連の値を含む、請求項1に記載の方法。   The method of claim 1, wherein the set of characteristics includes a set of values for at least one physical parameter of the test object. 前記試験対象物は、厚みを有する薄膜層を含み、前記物理パラメータは、第1の箇所における薄膜の厚みである、請求項20に記載の方法。   21. The method of claim 20, wherein the test object includes a thin film layer having a thickness, and the physical parameter is a thickness of the thin film at a first location. 前記一連の特性は、第1の表面箇所とは異なる第2の表面箇所における試験対象物の一連の特性を含む、請求項1に記載の方法。   The method of claim 1, wherein the set of characteristics includes a set of characteristics of a test object at a second surface location that is different from the first surface location. 前記試験対象物は、光を回折して第1の表面箇所に対する走査干渉分光信号に影響する第2の表面箇所における構造を含む、請求項22に記載の方法。   23. The method of claim 22, wherein the test object includes a structure at a second surface location that diffracts light and affects a scanning interferometry signal for the first surface location. 前記第2の表面箇所における一連の特性は、該第2の箇所におけるステップ高さに対する振幅と前記第2の箇所に対する位置との置換を含む、請求項22に記載の方法。   23. The method of claim 22, wherein the set of characteristics at the second surface location includes a substitution of an amplitude for a step height at the second location and a position relative to the second location. 前記第2の表面箇所における一連の特性は、格子に対する変調深さと格子のオフセット位置との置換を含み、前記格子は前記第2の箇所に亘って延びる、請求項22に記載の方法。   23. The method of claim 22, wherein the set of characteristics at the second surface location includes a permutation of a modulation depth relative to a grating and an offset position of the grating, the grating extending across the second location. 前記一連の特性は、試験対象物に対する一連の表面材料である、請求項1に記載の方法。   The method of claim 1, wherein the set of properties is a set of surface materials for a test object. 前記一連の特性は、試験対象物に対する一連の表面層構成である、請求項1に記載の方法、   The method of claim 1, wherein the set of characteristics is a set of surface layer configurations for a test object. 前記変換分はフーリエ変換分である請求項1に記載の方法。   The method according to claim 1, wherein the transformation is a Fourier transformation. 前記走査干渉分光信号が走査干渉分光システムによって生成され、前記比較することは、前記走査干渉分光システムから生じる前記走査干渉分光信号に対する系統的な影響を明確にすることを含む、請求項1に記載の方法。   The scanning interferometry signal is generated by a scanning interferometry system, and the comparing includes defining systematic effects on the scanning interferometry signal resulting from the scanning interferometry system. the method of. 既知の特性を有する他の試験対象物を用いて、前記走査干渉分光システムの系統的な影響を校正することをさらに含む請求項29に記載の方法。   30. The method of claim 29, further comprising calibrating systematic effects of the scanning interferometry system with other test objects having known characteristics. 試験対象物から現れる試験光を結像して検出器上で基準光と干渉させ、前記試験光の干渉部分と前記基準光の干渉部分との間における共通の光源から検出器までの光路長差を変えることとによって前記走査干渉分光信号が生成され、前記試験光および前記基準光が共通の光源から引き出され、前記走査干渉分光信号は、光路長差を変えたときに前記検出器によって測定される干渉強度に対応する、請求項1に記載の方法。   The test light emerging from the test object is imaged and interfered with the reference light on the detector, and the optical path length difference from the common light source to the detector between the interference part of the test light and the interference part of the reference light And the test light and the reference light are extracted from a common light source, and the scanning interference spectral signal is measured by the detector when the optical path length difference is changed. The method of claim 1, wherein the method corresponds to an interference intensity. 前記走査干渉分光信号を生成することをさらに含む請求項31に記載の方法。   32. The method of claim 31, further comprising generating the scanning interferometry signal. 前記試験光および前記基準光は、該試験光および基準光に対する中心周波数の5%よりも大きいスペクトルの帯域幅を有する、請求項31に記載の方法。   32. The method of claim 31, wherein the test light and the reference light have a spectral bandwidth greater than 5% of a center frequency for the test light and reference light. 前記共通の光源がスペクトルのコヒーレンス長を有し、前記光路長差がスペクトルのコヒーレンス長よりも長い範囲に亘って変化して、前記走査干渉分光信号が生成される、請求項31に記載の方法。   32. The method of claim 31, wherein the common light source has a spectral coherence length and the optical path length difference varies over a range longer than the spectral coherence length to generate the scanning interferometry signal. . 前記試験光を試験対象物上に送って検出器上に結像するために用いられるオプティクスによって、試験光に対する開口数が、0.8よりも大きな値に規定される、請求項31に記載の方法。   32. The numerical aperture for the test light is defined as a value greater than 0.8 by optics used to send the test light onto a test object and image it on a detector. Method. 前記共通の光源が、空間的に拡張された光源である、請求項32に記載の方法。   The method of claim 32, wherein the common light source is a spatially extended light source. 装置であって、
コンピュータ内のプロセッサに、試験対象物の第1の表面箇所に対する走査干渉分光信号から導出可能な情報と試験対象物の複数のモデルに対応する情報とを比較させるプログラムを有するコンピュータ読取可能な媒体を備え、
前記複数のモデルは、試験対象物に対する一連の特性によってパラメータ化され、
前記複数のモデルに対応する情報は、試験対象物のモデルの1つに対応する走査干渉分光信号の変換分の少なくとも1つの振幅成分についての情報を含む、装置。
A device,
A computer readable medium having a program for causing a processor in a computer to compare information derivable from a scanning interferometry signal for a first surface location of a test object with information corresponding to a plurality of models of the test object. Prepared,
The plurality of models are parameterized by a set of characteristics for a test object;
The information corresponding to the plurality of models includes information on at least one amplitude component of a transform of the scanning interference spectral signal corresponding to one of the models of the test object.
装置であって、
走査干渉分光信号を生成するように構成された走査干渉分光システムと、
前記走査干渉分光システムに接続され、前記走査干渉分光信号を受信する電子プロセッサであって、試験対象物の第1の表面箇所に対する前記走査干渉分光信号から導出可能な情報と、試験対象物の複数のモデルに対応する情報とを比較するようにプログラムされた電子プロセッサとを備え、
前記複数のモデルは、試験対象物に対する一連の特性によってパラメータ化され、
前記複数のモデルに対応する情報は、試験対象物のモデルの1つに対応する走査干渉分光信号の変換分の少なくとも1つの振幅成分についての情報を含む、装置。
A device,
A scanning interferometry system configured to generate a scanning interferometry signal;
An electronic processor connected to the scanning interferometry system and receiving the scanning interferometry signal, information derivable from the scanning interferometry signal for the first surface location of the test object, and a plurality of test objects An electronic processor programmed to compare information corresponding to the model of
The plurality of models are parameterized by a set of characteristics for a test object;
The information corresponding to the plurality of models includes information on at least one amplitude component of a transform of the scanning interference spectral signal corresponding to one of the models of the test object.
方法であって、
試験対象物の第1の表面箇所に対する走査干渉分光信号から導出可能な情報と、試験対象物の複数のモデルに対応する情報とを比較することを含み、
前記複数のモデルは、試験対象物の第1の箇所における固定された表面高さに対応しているとともに、前記固定された表面高さとは異なる試験対象物に対する一連の特性によってパラメータ化されている、方法。
A method,
Comparing information derivable from the scanning interferometry signal for the first surface location of the test object with information corresponding to a plurality of models of the test object;
The plurality of models correspond to a fixed surface height at a first location of the test object and are parameterized by a series of characteristics for the test object that are different from the fixed surface height. ,Method.
前記比較に基づいて試験対象物に対する正確な特性を決定することをさらに含む請求項39に記載の方法。   40. The method of claim 39, further comprising determining an exact characteristic for a test object based on the comparison. 前記比較に基づいて第1の表面箇所に対する相対的な表面高さを決定することをさらに含む請求項39に記載の方法。   40. The method of claim 39, further comprising determining a relative surface height for the first surface location based on the comparison. 前記相対的な表面高さを決定することは、どのモデルが、試験対象物に対する特性のうちの正確な特性に対応するかを前記比較に基づいて決定すること、前記正確な特性に対応するモデルを用いて相対的な表面高さを計算することを含む、請求項41に記載の方法。   Determining the relative surface height is based on the comparison to determine which model corresponds to the correct one of the properties for the test object, the model corresponding to the exact property 42. The method of claim 41, comprising calculating a relative surface height using. 前記正確な特性に対応するモデルを用いることは、前記走査干渉分光信号からのデータを補正して、前記正確な特性から生じる影響を低減することを含む、請求項42に記載の方法。   43. The method of claim 42, wherein using a model corresponding to the exact characteristic includes correcting data from the scanning interferometry signal to reduce effects resulting from the accurate characteristic. 前記データを補正することは、前記正確な特性から生じる位相の影響を、試験対象物に対する走査干渉分光信号の前記変換分の位相成分から取り出すことを含み、前記正確な特性に対応するモデルを用いることはさらに、前記正確な特性から生じる位相の影響を取り除いた後に、前記変換分の位相成分から相対的な表面高さを計算することを含む、請求項43に記載の方法。   Correcting the data includes extracting a phase effect resulting from the accurate characteristic from a phase component corresponding to the conversion of a scanning interference spectroscopic signal for a test object, and using a model corresponding to the accurate characteristic. 44. The method of claim 43, further comprising calculating a relative surface height from the phase component of the transform after removing the phase effect resulting from the exact characteristic. 前記正確な特性に対応するモデルを用いて相対的な表面高さを計算することは、試験対象物に対する情報と前記正確な特性に対応するモデルに対する情報とを比較するために用いられる相関関数におけるピークの位置を決定することを含む、請求項42に記載の方法。   Calculating the relative surface height using the model corresponding to the exact characteristic is in the correlation function used to compare the information for the test object with the information for the model corresponding to the exact characteristic. 43. The method of claim 42, comprising determining a peak location. さらなる表面箇所に対する走査干渉分光信号から導出可能な情報と前記複数のモデルに対応する情報とを比較することをさらに含む請求項39に記載の方法。   40. The method of claim 39, further comprising comparing information derivable from scanning interferometry signals for additional surface locations with information corresponding to the plurality of models. 前記比較に基づいて試験対象物に対する表面高さプロファイルを決定することをさらに含む請求項46に記載の方法。   47. The method of claim 46, further comprising determining a surface height profile for the test object based on the comparison. 前記比較することは、走査干渉分光信号から導出可能な情報と各モデルに対応する情報との間の類似性を示す1つまたは複数のメリット関数を計算することを含む、請求項39に記載の方法。   40. The comparing of claim 39, wherein the comparing includes calculating one or more merit functions that indicate similarity between information derivable from scanning interferometry signals and information corresponding to each model. Method. 前記比較することは、走査干渉分光信号から導出可能な情報を、モデルに対応する情報に対する表現にフィッティングすることを含む、請求項39に記載の方法。   40. The method of claim 39, wherein the comparing comprises fitting information derivable from a scanning interferometry signal to a representation for information corresponding to a model. 前記走査干渉分光信号から導出可能であるとともに、比較されている情報は、数である、請求項39に記載の方法。   40. The method of claim 39, wherein the information that can be derived from the scanning interferometry signal and that is being compared is a number. 前記走査干渉分光信号から導出可能であるとともに、比較されている情報は、関数である、請求項39に記載の方法。   40. The method of claim 39, wherein the information derivable from the scanning interferometry signal and the information being compared is a function. 前記関数は、空間周波数の関数である、請求項51に記載の方法。   52. The method of claim 51, wherein the function is a function of spatial frequency. 前記関数は、走査位置の関数である、請求項51に記載の方法。   52. The method of claim 51, wherein the function is a function of scan position. 前記試験対象物に対する情報は、試験対象物に対する走査干渉分光信号の空間周波数ドメインへの変換から得られる請求項39に記載の方法。   40. The method of claim 39, wherein the information for the test object is obtained from transforming a scanning interferometry signal for the test object into a spatial frequency domain. 前記変換はフーリエ変換である、請求項54に記載の方法。   55. The method of claim 54, wherein the transform is a Fourier transform. 前記試験対象物に対する情報は、前記変換分の振幅プロファイルについての情報を含む、請求項54に記載の方法。   55. The method of claim 54, wherein the information for the test object includes information about an amplitude profile for the transform. 前記試験対象物に対する情報は、前記変換分の位相プロファイルについての情報を含む、請求項54に記載の方法。   55. The method of claim 54, wherein the information for the test object includes information about a phase profile for the transform. 前記試験対象物に対する情報は、試験対象物の第1の箇所における走査干渉分光信号の形状に関する、請求項39に記載の方法。   40. The method of claim 39, wherein the information for the test object relates to a shape of a scanning interferometry signal at a first location of the test object. 前記試験対象物に対する情報は、走査干渉分光信号の形状におけるフリンジ・コントラストの振幅に関する、請求項58に記載の方法。   59. The method of claim 58, wherein the information for the test object relates to a fringe contrast amplitude in the shape of a scanning interferometry signal. 前記試験対象物に対する情報は、走査干渉分光信号の形状におけるゼロ交差間の相対間隔に関する、請求項58に記載の方法。   59. The method of claim 58, wherein the information for the test object relates to a relative spacing between zero crossings in the shape of a scanning interferometry signal. 前記試験対象物に対する情報は、走査位置の関数として表現され、前記関数は走査干渉分光信号の形状から得られる、請求項58に記載の方法。   59. The method of claim 58, wherein the information for the test object is expressed as a function of scanning position, and the function is obtained from the shape of a scanning interferometry signal. 前記比較することは、試験対象物に対する情報と各モデルに対する情報との間の相関関数を計算することを含む、請求項39に記載の方法。   40. The method of claim 39, wherein the comparing comprises calculating a correlation function between information for the test object and information for each model. 前記相関関数は複素関数である、請求項62に記載の方法。   64. The method of claim 62, wherein the correlation function is a complex function. 前記比較することは、各相関関数における1つまたは複数のピーク値を決定することをさらに含む、請求項62に記載の方法。   64. The method of claim 62, wherein the comparing further comprises determining one or more peak values in each correlation function. 最大のピーク値に対応するモデルのパラメータ化に基づいて試験対象物に対する正確な特性を決定することをさらに含む請求項64に記載の方法。   65. The method of claim 64, further comprising determining an exact characteristic for the test object based on a parameterization of the model corresponding to the maximum peak value. 前記相関関数における少なくとも1つのピーク値に対する座標に基づいて試験対象物の第1の表面箇所における相対的な表面高さを決定することをさらに含む請求項64に記載の方法。   65. The method of claim 64, further comprising determining a relative surface height at a first surface location of the test object based on coordinates for at least one peak value in the correlation function. 走査干渉分光信号が走査干渉分光システムによって生成され、前記比較することは、前記走査干渉分光システムから生じる走査干渉分光信号に対する系統的な影響を明確にすることを含む、請求項39に記載の方法。   40. The method of claim 39, wherein a scanning interferometry signal is generated by a scanning interferometry system and the comparing comprises defining a systematic effect on a scanning interferometry signal resulting from the scanning interferometry system. . 特性が既知の他の試験対象物を用いて、前記走査干渉分光システムの系統的な影響を校正することをさらに含む請求項67に記載の方法。   68. The method of claim 67, further comprising calibrating systematic effects of the scanning interferometry system with other test objects of known characteristics. 装置であって、
コンピュータ内のプロセッサに、試験対象物の第1の表面箇所に対する走査干渉分光信号から導出可能な情報と、試験対象物の複数のモデルに対応する情報とを比較させるプログラムを有するコンピュータ読取可能な媒体を備え、
前記複数のモデルは、試験対象物の第1の箇所における固定された表面高さに対応しているとともに、固定された表面高さとは異なる試験対象物に対する一連の特性によってパラメータ化されている、装置。
A device,
A computer-readable medium having a program for causing a processor in a computer to compare information derivable from a scanning interferometry signal with respect to a first surface portion of the test object and information corresponding to a plurality of models of the test object With
The plurality of models corresponds to a fixed surface height at a first location of the test object and is parameterized by a set of characteristics for the test object that are different from the fixed surface height; apparatus.
装置であって、
走査干渉分光信号を生成するように構成された走査干渉分光システムと、
前記走査干渉分光システムに接続され、走査干渉分光信号を受信する電子プロセッサであって、試験対象物の第1の表面箇所に対する走査干渉分光信号から導出可能な情報と、試験対象物の複数のモデルに対応する情報とを比較するようにプログラムされた電子プロセッサとを備え、
前記複数のモデルは、試験対象物の第1の箇所における固定された表面高さに対応するとともに、固定された表面高さとは異なる試験対象物に対する一連の特性によってパラメータ化されている、装置。
A device,
A scanning interferometry system configured to generate a scanning interferometry signal;
An electronic processor connected to the scanning interferometry system and receiving a scanning interferometry signal, information derivable from the scanning interferometry signal for the first surface location of the test object, and a plurality of models of the test object An electronic processor programmed to compare information corresponding to
The apparatus wherein the plurality of models are parameterized by a series of characteristics for a test object that correspond to a fixed surface height at a first location of the test object and that are different from the fixed surface height.
方法であって、
試験対象物の第1の表面箇所に対する走査干渉分光信号から導出可能な情報と、試験対象物の複数のモデルに対応する情報とを比較することを含み、
前記複数のモデルは、試験対象物に対する一連の特性によってパラメータ化され、
前記比較することは、走査干渉分光信号を生成するために用いられる走査干渉分光システムから生じる走査干渉分光信号に対する系統的な影響を明確にすることを含む、方法。
A method,
Comparing information derivable from the scanning interferometry signal for the first surface location of the test object with information corresponding to a plurality of models of the test object;
The plurality of models are parameterized by a set of characteristics for a test object;
The comparing includes defining a systematic effect on the scanning interferometry signal resulting from the scanning interferometry system used to generate the scanning interferometry signal.
前記系統的な影響は、前記走査干渉分光システムのコンポーネントからの反射時の位相変化における分散についての情報を含む、請求項71に記載の方法。   72. The method of claim 71, wherein the systematic influence includes information about dispersion in phase change upon reflection from a component of the scanning interferometry system. さらなる表面箇所に対する走査干渉分光信号から導出可能な情報と前記複数のモデルに対応する情報とを比較することをさらに含む請求項71に記載の方法。   72. The method of claim 71, further comprising comparing information derivable from scanning interferometry signals for additional surface locations with information corresponding to the plurality of models. 前記系統的な影響は、複数の表面箇所に対して解析される、請求項73に記載の方法。   74. The method of claim 73, wherein the systematic influence is analyzed for a plurality of surface locations. 特性が既知の他の試験対象物を用いて、前記走査干渉分光システムの系統的な影響を校正することをさらに含む請求項71に記載の方法。   72. The method of claim 71, further comprising calibrating systematic effects of the scanning interferometry system with other test objects of known characteristics. 装置であって、
コンピュータ内のプロセッサに、試験対象物の第1の表面箇所に対する走査干渉分光信号から導出可能な情報と試験対象物の複数のモデルに対応する情報とを比較させるプログラムを有するコンピュータ読取可能な媒体を備え、
前記複数のモデルは、試験対象物に対する一連の特性によってパラメータ化され、
前記プログラムはさらに、前記プロセッサに、比較の間に走査干渉分光信号を生成するために用いられる走査干渉分光システムから生じる走査干渉分光信号に対する系統的な影響を明確にさせる、装置。
A device,
A computer readable medium having a program for causing a processor in a computer to compare information derivable from a scanning interferometry signal for a first surface location of a test object with information corresponding to a plurality of models of the test object. Prepared,
The plurality of models are parameterized by a set of characteristics for a test object;
The program further causes the processor to define systematic effects on a scanning interferometry signal that results from a scanning interferometry system used to generate a scanning interferometry signal during the comparison.
装置であって、
走査干渉分光信号を生成するように構成された走査干渉分光システムと、
前記走査干渉分光システムに接続され、前記走査干渉分光信号を受信する電子プロセッサであって、試験対象物の第1の表面箇所に対する走査干渉分光信号から導出可能な情報と、試験対象物の複数のモデルに対応する情報とを比較するようにプログラムされた電子プロセッサとを備え、
前記複数のモデルは、試験対象物に対する一連の特性によってパラメータ化され、
前記電子プロセッサはさらに、比較の間に走査干渉分光信号を生成するために用いられる走査干渉分光システムから生じる走査干渉分光信号に対する系統的な影響を明確にするようにプログラムされている、装置。
A device,
A scanning interferometry system configured to generate a scanning interferometry signal;
An electronic processor connected to the scanning interferometry system for receiving the scanning interferometry signal, information derivable from the scanning interferometry signal for the first surface location of the test object, and a plurality of the test object An electronic processor programmed to compare information corresponding to the model;
The plurality of models are parameterized by a set of characteristics for a test object;
The electronic processor is further programmed to account for systematic effects on the scanning interferometry signal that results from the scanning interferometry system used to generate the scanning interferometry signal during the comparison.
JP2006506943A 2003-03-06 2004-03-08 Profiling complex surface structures using scanning interferometry Expired - Lifetime JP4791354B2 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US45261503P 2003-03-06 2003-03-06
US60/452,615 2003-03-06
PCT/US2004/007014 WO2004079294A2 (en) 2003-03-06 2004-03-08 Characterizing and profiling complex surface structures using scanning interferometry

Related Child Applications (2)

Application Number Title Priority Date Filing Date
JP2010039887A Division JP5443209B2 (en) 2003-03-06 2010-02-25 Profiling complex surface structures using scanning interferometry
JP2011130481A Division JP2011169920A (en) 2003-03-06 2011-06-10 Profiling complex surface structures using scanning interferometry

Publications (2)

Publication Number Publication Date
JP2006519992A true JP2006519992A (en) 2006-08-31
JP4791354B2 JP4791354B2 (en) 2011-10-12

Family

ID=32962738

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2006506943A Expired - Lifetime JP4791354B2 (en) 2003-03-06 2004-03-08 Profiling complex surface structures using scanning interferometry
JP2010039887A Expired - Lifetime JP5443209B2 (en) 2003-03-06 2010-02-25 Profiling complex surface structures using scanning interferometry
JP2011130481A Pending JP2011169920A (en) 2003-03-06 2011-06-10 Profiling complex surface structures using scanning interferometry

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP2010039887A Expired - Lifetime JP5443209B2 (en) 2003-03-06 2010-02-25 Profiling complex surface structures using scanning interferometry
JP2011130481A Pending JP2011169920A (en) 2003-03-06 2011-06-10 Profiling complex surface structures using scanning interferometry

Country Status (4)

Country Link
JP (3) JP4791354B2 (en)
KR (1) KR101169293B1 (en)
CN (1) CN1784588B (en)
WO (1) WO2004079294A2 (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009150832A (en) * 2007-12-21 2009-07-09 Hitachi Ltd Method and device for inspecting pattern on hard disk medium
JP2010112898A (en) * 2008-11-08 2010-05-20 Horiba Ltd Optical measuring device, program and measuring method
JP2011506972A (en) * 2007-12-14 2011-03-03 ザイゴ コーポレーション Analysis of surface structure using scanning interferometry
JP2012154931A (en) * 2011-01-26 2012-08-16 Mitsutoyo Corp Method and device for execution of film thickness measurement using white light scanning interferometry
JP2013224945A (en) * 2005-11-15 2013-10-31 Zygo Corp Interferometer and method for measuring characteristics of optically unprocessed surface features
JP7358185B2 (en) 2019-10-15 2023-10-10 株式会社ディスコ Thickness measurement device and processing equipment equipped with thickness measurement device

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB0415766D0 (en) * 2004-07-14 2004-08-18 Taylor Hobson Ltd Apparatus for and a method of determining a characteristic of a layer or layers
US7446882B2 (en) * 2005-01-20 2008-11-04 Zygo Corporation Interferometer for determining characteristics of an object surface
GB0502677D0 (en) * 2005-02-09 2005-03-16 Taylor Hobson Ltd Apparatus for and a method of determining a surface characteristic
JP2009516171A (en) * 2005-11-15 2009-04-16 ザイゴ コーポレーション Interferometer and method for measuring properties of optically unprocessed surface features
DE102006007573B4 (en) * 2006-02-18 2009-08-13 Carl Mahr Holding Gmbh Method and device for 3D geometry detection of object surfaces
US7916927B2 (en) * 2007-01-16 2011-03-29 Asml Netherlands B.V. Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
US8619263B2 (en) * 2010-01-06 2013-12-31 Panasonic Corporation Film thickness measuring apparatus using interference and film thickness measuring method using interference
EP2541193A1 (en) 2011-06-27 2013-01-02 Hexagon Technology Center GmbH Interferometric distance measuring method for measuring surfaces and corresponding measuring apparatus
KR102109473B1 (en) * 2012-11-21 2020-05-12 쓰리엠 이노베이티브 프로퍼티즈 컴파니 Optical diffusing films and methods of making same
JP6186215B2 (en) * 2013-09-04 2017-08-23 株式会社日立エルジーデータストレージ Optical measuring device and optical tomographic observation method
WO2017116787A1 (en) * 2015-12-31 2017-07-06 Zygo Corporation Method and apparatus for optimizing the optical performance of interferometers
US10890428B2 (en) 2016-11-18 2021-01-12 Zygo Corporation Interferometric method and apparatus using calibration information relating a focus setting to a test object position
CN108168715B (en) * 2016-12-08 2021-02-19 松下知识产权经营株式会社 Optical detection device
CN108169175B (en) * 2016-12-08 2022-07-26 松下知识产权经营株式会社 Optical detection system
FR3089286B1 (en) 2018-11-30 2022-04-01 Unity Semiconductor Method and system for measuring a surface of an object comprising different structures by low coherence interferometry
CN111386441B (en) 2020-02-24 2021-02-19 长江存储科技有限责任公司 System for measuring surface topography of semiconductor chip
WO2021168612A1 (en) 2020-02-24 2021-09-02 Yangtze Memory Technologies Co., Ltd. Systems and methods for semiconductor chip surface topography metrology
WO2021168611A1 (en) 2020-02-24 2021-09-02 Yangtze Memory Technologies Co., Ltd. Systems and methods for semiconductor chip surface topography metrology
WO2021168613A1 (en) 2020-02-24 2021-09-02 Yangtze Memory Technologies Co., Ltd. Systems and methods for semiconductor chip surface topography metrology
CN112066909B (en) * 2020-08-24 2022-04-08 南京理工大学 Anti-vibration interference measurement method based on inclined plane high-precision extraction
CN113405486B (en) * 2021-05-26 2022-06-21 天津大学 Film morphology detection system and method based on white light interference time-frequency domain analysis
CN113639661B (en) * 2021-08-11 2022-10-14 中国科学院长春光学精密机械与物理研究所 Morphology detection system and morphology detection method
CN114910015A (en) * 2022-04-29 2022-08-16 深圳市中图仪器股份有限公司 Reconstruction method of white light interference signal
CN116625275B (en) * 2023-03-31 2024-02-13 东莞理工学院 Ultra-thin multi-layer graph micro-nano structure three-dimensional reconstruction method based on light field information fusion

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH074922A (en) * 1993-06-21 1995-01-10 Jasco Corp Apparatus and method for measurement of film thickness of semiconductor multilayer thin film
JPH07508345A (en) * 1992-06-22 1995-09-14 ブリテイツシユ・テクノロジー・グループ・リミテツド Method and apparatus for inspecting the surface of an object by interferometer
JPH08502829A (en) * 1993-02-08 1996-03-26 ジゴー コーポレーション Method and apparatus for measuring surface topography by spatial frequency analysis of interferograms
JPH11260876A (en) * 1998-01-07 1999-09-24 Internatl Business Mach Corp <Ibm> Non-destructive method and device for measuring depth of buried interface
JP2002512441A (en) * 1998-04-21 2002-04-23 アレクサンダー ピー チャーカスキー Non-destructive analysis of semiconductors using reflectance spectrum measurements

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5900633A (en) * 1997-12-15 1999-05-04 On-Line Technologies, Inc Spectrometric method for analysis of film thickness and composition on a patterned sample

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07508345A (en) * 1992-06-22 1995-09-14 ブリテイツシユ・テクノロジー・グループ・リミテツド Method and apparatus for inspecting the surface of an object by interferometer
JPH08502829A (en) * 1993-02-08 1996-03-26 ジゴー コーポレーション Method and apparatus for measuring surface topography by spatial frequency analysis of interferograms
JPH074922A (en) * 1993-06-21 1995-01-10 Jasco Corp Apparatus and method for measurement of film thickness of semiconductor multilayer thin film
JPH11260876A (en) * 1998-01-07 1999-09-24 Internatl Business Mach Corp <Ibm> Non-destructive method and device for measuring depth of buried interface
JP2002512441A (en) * 1998-04-21 2002-04-23 アレクサンダー ピー チャーカスキー Non-destructive analysis of semiconductors using reflectance spectrum measurements

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013224945A (en) * 2005-11-15 2013-10-31 Zygo Corp Interferometer and method for measuring characteristics of optically unprocessed surface features
JP2011506972A (en) * 2007-12-14 2011-03-03 ザイゴ コーポレーション Analysis of surface structure using scanning interferometry
KR101254161B1 (en) * 2007-12-14 2013-04-18 지고 코포레이션 Analyzing surface structure using scanning interferometry
JP2009150832A (en) * 2007-12-21 2009-07-09 Hitachi Ltd Method and device for inspecting pattern on hard disk medium
JP2010112898A (en) * 2008-11-08 2010-05-20 Horiba Ltd Optical measuring device, program and measuring method
CN101738168A (en) * 2008-11-08 2010-06-16 株式会社堀场制作所 Optical measurement apparatus, program and measurement method
JP2012154931A (en) * 2011-01-26 2012-08-16 Mitsutoyo Corp Method and device for execution of film thickness measurement using white light scanning interferometry
JP7358185B2 (en) 2019-10-15 2023-10-10 株式会社ディスコ Thickness measurement device and processing equipment equipped with thickness measurement device

Also Published As

Publication number Publication date
JP2010133976A (en) 2010-06-17
JP4791354B2 (en) 2011-10-12
WO2004079294A2 (en) 2004-09-16
JP5443209B2 (en) 2014-03-19
WO2004079294A3 (en) 2005-05-19
CN1784588A (en) 2006-06-07
CN1784588B (en) 2011-07-13
KR101169293B1 (en) 2012-07-30
JP2011169920A (en) 2011-09-01
KR20050116372A (en) 2005-12-12

Similar Documents

Publication Publication Date Title
JP5443209B2 (en) Profiling complex surface structures using scanning interferometry
JP5827794B2 (en) Profiling complex surface structures using scanning interferometry
JP5654636B2 (en) Interferometer and method for measuring properties of optically unprocessed surface features
US7271918B2 (en) Profiling complex surface structures using scanning interferometry
US7106454B2 (en) Profiling complex surface structures using scanning interferometry
KR101321861B1 (en) Interferometer and method for measuring characteristics of optically unresolved surface features
US7924435B2 (en) Apparatus and method for measuring characteristics of surface features
US7139081B2 (en) Interferometry method for ellipsometry, reflectometry, and scatterometry measurements, including characterization of thin film structures
US8072611B2 (en) Interferometric analysis of under-resolved features
JP2008545957A (en) Method and system for analyzing low coherence interferometer signals for information about thin film structures
EP1604169B1 (en) Method for profiling complex surface structures using scanning interferometry
ELLIPSOMETRY De Groot (45) Date of Patent: Nov. 21, 2006

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070302

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20091027

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100118

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100125

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100225

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100413

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100712

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100720

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100917

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20110215

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110610

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20110617

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110712

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110721

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140729

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 4791354

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250