JP2006269449A - Semiconductor manufacturing system - Google Patents

Semiconductor manufacturing system Download PDF

Info

Publication number
JP2006269449A
JP2006269449A JP2005080859A JP2005080859A JP2006269449A JP 2006269449 A JP2006269449 A JP 2006269449A JP 2005080859 A JP2005080859 A JP 2005080859A JP 2005080859 A JP2005080859 A JP 2005080859A JP 2006269449 A JP2006269449 A JP 2006269449A
Authority
JP
Japan
Prior art keywords
manufacturing
wafer
semiconductor manufacturing
production line
line
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2005080859A
Other languages
Japanese (ja)
Inventor
Kenichiro Masunaga
健一郎 益永
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Technology Corp
Original Assignee
Renesas Technology Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Renesas Technology Corp filed Critical Renesas Technology Corp
Priority to JP2005080859A priority Critical patent/JP2006269449A/en
Publication of JP2006269449A publication Critical patent/JP2006269449A/en
Pending legal-status Critical Current

Links

Images

Abstract

<P>PROBLEM TO BE SOLVED: To provide a semiconductor manufacturing system capable of improving productivity. <P>SOLUTION: In a first manufacturing line 1, manufacturing apparatuses 10A-12A, 13, 14A and 15A are laid so that repeating processing units of a wafer process are configured, and one team A of operators takes charge of the first manufacturing line 1 composed of the manufacturing apparatuses 10A-12A, 13, 14A and 15A which are laid in an order of manufacturing processes. A second manufacturing line 2 is configured in a similar way. Further, a manufacturing apparatus 13 is provided as shared by the first and second manufacturing lines 1 and 2. <P>COPYRIGHT: (C)2007,JPO&INPIT

Description

本発明は、半導体製造システムに関し、特に、ウェハプロセス(前工程)を実施する製造ラインに適用して有効な技術に関するものである。   The present invention relates to a semiconductor manufacturing system, and more particularly to a technique effective when applied to a manufacturing line for performing a wafer process (pre-process).

半導体装置の製造技術においては、例えば、半導体装置の生産性を向上させるため、クリーンルーム内にフローショップ設置ユニットとジョブショップ設置ユニットとを組み合わせて配置するもの(例えば、特許文献1参照)や同じ処理工程を繰り返すフローショップ設置ユニットを配置するだけでなく、ワーク搬送経路を中心として複数のプロセス設備を集約配置したフローショップ方式のジョブショップ設置ユニットを配置するもの(例えば、特許文献2参照)などがある。   In the semiconductor device manufacturing technology, for example, in order to improve the productivity of a semiconductor device, a flow shop installation unit and a job shop installation unit are arranged in combination in a clean room (for example, see Patent Document 1) or the same processing. In addition to arranging a flow shop installation unit that repeats a process, there is an arrangement that arranges a flow shop type job shop installation unit in which a plurality of process facilities are centrally arranged around a work transfer route (see, for example, Patent Document 2). is there.

ジョブショップは、同種機能の製造装置群をまとめて配置する製造装置のレイアウト方式であり、フローショップは、製造工程順に対応する製造装置を順次配列するレイアウト方式である。
特開平11−145022号公報 特開2002−26106号公報
A job shop is a layout method for manufacturing apparatuses that collectively arrange a group of manufacturing apparatuses having the same function, and a flow shop is a layout system that sequentially arranges manufacturing apparatuses corresponding to the order of manufacturing processes.
Japanese Patent Laid-Open No. 11-14502 JP 2002-26106 A

ウェハプロセス(いわゆる前工程)を実施する半導体製造工場において、製造設備のレイアウトは、製造プロセス要素毎に同種機能の製造装置群を集中的に配置するジョブショップ方式が使用されることが多い。このジョブショップ方式では、チーム分けされた作業者を製造プロセス要素毎に配置された製造装置群に振り分けている。例えば、フォトリソグラフィ工程で使用される露光装置や成膜工程で使用されるCVD(Chemical Vapor Deposition)装置などがあるが、ジョブショップ方式では、複数の露光装置を集中的に配置した装置群に、ある作業者グループを担当させ、複数のCVD装置を集中的に配置した装置群に他の作業者グループを担当させることが行われる。つまり、作業者は、製造プロセス要素毎にチーム分けされ業務を分担することになる(水平分業型の業務分担構成)。   In a semiconductor manufacturing factory that performs a wafer process (so-called pre-process), a layout of manufacturing equipment often uses a job shop system in which manufacturing device groups having the same type of function are intensively arranged for each manufacturing process element. In this job shop system, teamed workers are assigned to manufacturing apparatus groups arranged for each manufacturing process element. For example, there is an exposure apparatus used in the photolithography process and a CVD (Chemical Vapor Deposition) apparatus used in the film forming process, but in the job shop method, in the apparatus group in which a plurality of exposure apparatuses are intensively arranged, One worker group is assigned, and another worker group is assigned to an apparatus group in which a plurality of CVD apparatuses are intensively arranged. In other words, the workers are divided into teams for each manufacturing process element and share the work (horizontal division of work division structure).

しかし、水平分業型の業務分担構成とする場合、各作業者は、半導体製品の製造工程の一工程を担当するだけであり、半導体製品の製造工程全体を把握していない。すなわち、各作業者は、工場における半導体製品の生産高に対して個々の生産活動要素が与えている影響を直接的に把握することができない問題点がある。このため、生産性向上というマクロ的な目標値に対する問題点の把握および改善へのフィードバックが複雑になっている。   However, in the case of a horizontal division of work configuration, each worker is only in charge of one process of the semiconductor product manufacturing process, and does not grasp the entire semiconductor product manufacturing process. That is, there is a problem that each worker cannot directly grasp the influence of individual production activity factors on the production volume of semiconductor products in the factory. For this reason, it is complicated to grasp the problem with respect to the macro target value of productivity improvement and to provide feedback for improvement.

本発明の目的は、生産性向上を図ることができる半導体製造システムを提供することにある。   An object of the present invention is to provide a semiconductor manufacturing system capable of improving productivity.

本発明の前記ならびにその他の目的と新規な特徴は、本明細書の記述および添付図面から明らかになるであろう。   The above and other objects and novel features of the present invention will be apparent from the description of this specification and the accompanying drawings.

本願において開示される発明のうち、代表的なものの概要を簡単に説明すれば、次のとおりである。   Of the inventions disclosed in the present application, the outline of typical ones will be briefly described as follows.

本発明による半導体製造システムは、(a)ウェハプロセスの反復処理単位に沿って配置された第1半導体製造装置群を反復して使用することにより、ウェハ上に回路を形成する第1製造ラインと、(b)ウェハプロセスの反復処理単位に沿って配置された第2半導体製造装置群を反復して使用することにより、ウェハ上に回路を形成する第2製造ラインとを備え、前記第1半導体製造装置群と前記第2半導体製造装置群には、互いに共有する半導体製造装置がある。   A semiconductor manufacturing system according to the present invention includes: (a) a first manufacturing line for forming a circuit on a wafer by repeatedly using a first semiconductor manufacturing apparatus group arranged along a repetitive processing unit of a wafer process; (B) a second manufacturing line for forming a circuit on a wafer by repeatedly using a second semiconductor manufacturing apparatus group arranged along a repetitive processing unit of a wafer process, and the first semiconductor The manufacturing apparatus group and the second semiconductor manufacturing apparatus group include semiconductor manufacturing apparatuses that are shared with each other.

本願において開示される発明のうち、代表的なものによって得られる効果を簡単に説明すれば以下のとおりである。   Among the inventions disclosed in the present application, effects obtained by typical ones will be briefly described as follows.

生産性向上というマクロ的な目標値に対する問題点および改善へのフィードバックを作業者が把握できるので、半導体装置の製造工場において、生産性を向上することができる。   Since the worker can grasp the problem with respect to the macro target value of productivity improvement and feedback to the improvement, the productivity can be improved at the semiconductor device manufacturing factory.

以下、本発明の実施の形態を図面に基づいて詳細に説明する。なお、実施の形態を説明するための全図において、同一の部材には原則として同一の符号を付し、その繰り返しの説明は省略する。   Hereinafter, embodiments of the present invention will be described in detail with reference to the drawings. Note that components having the same function are denoted by the same reference symbols throughout the drawings for describing the embodiment, and the repetitive description thereof will be omitted.

(実施の形態1)
図1は、半導体装置の代表であるMISFET(Metal Insulator Semiconductor Field Effect Transistor)の製造工程のうちウェハプロセスを示したフローチャートである。図1を参照しながら、MISFETの製造工程を簡単に説明する。
(Embodiment 1)
FIG. 1 is a flowchart showing a wafer process in a manufacturing process of a MISFET (Metal Insulator Semiconductor Field Effect Transistor) which is a representative of a semiconductor device. The manufacturing process of the MISFET will be briefly described with reference to FIG.

まず、半導体ウェハ(以下単にウェハという)上に素子を分離する素子分離領域を形成する(S101)。素子分離領域は、例えばLOCOS(Local oxidation of silicon)法やSTI(Shallow Trench Isolation)法を使用して形成することができる。   First, an element isolation region for isolating elements is formed on a semiconductor wafer (hereinafter simply referred to as a wafer) (S101). The element isolation region can be formed using, for example, a LOCOS (Local oxidation of silicon) method or an STI (Shallow Trench Isolation) method.

続いて、ウェハ内にp型ウェルを形成する(S102)。p型ウェルは、例えばイオン注入法を用いて、ホウ素(B)やフッ化ホウ素(BF)などのp型不純物をウェハ内に導入することにより形成される。 Subsequently, a p-type well is formed in the wafer (S102). The p-type well is formed by introducing a p-type impurity such as boron (B) or boron fluoride (BF 2 ) into the wafer by using, for example, an ion implantation method.

そして、ウェハ上に、例えば酸化シリコン膜よりなるゲート絶縁膜を形成した後(S103)、ゲート絶縁膜上にポリシリコン膜を例えばCVD装置を使用して形成する。続いて、露光装置などを用いたフォトリソグラフィ技術により、ポリシリコン膜をパターニングしてゲート電極を形成する(S104)。   Then, after forming a gate insulating film made of, for example, a silicon oxide film on the wafer (S103), a polysilicon film is formed on the gate insulating film using, for example, a CVD apparatus. Subsequently, the polysilicon film is patterned by a photolithography technique using an exposure apparatus or the like to form a gate electrode (S104).

続いて、例えばイオン注入装置を使用してリン(P)や砒素(As)などのn型不純物を導入することにより、ゲート電極に整合してソース領域およびドレイン領域を形成する(S105)。その後、例えばCVD装置を使用して、ウェハ上に酸化シリコン膜よりなる層間絶縁膜を形成する(S106)。そして、例えばCMP(Chemical Mechanical Polishing)法を用いて、層間絶縁膜の表面を平坦化する(S107)。ここまでの工程がいわゆる基板工程である。この基板工程によってウェハ上にMISFETを形成することができる。   Subsequently, by introducing an n-type impurity such as phosphorus (P) or arsenic (As) using an ion implantation apparatus, for example, a source region and a drain region are formed in alignment with the gate electrode (S105). Thereafter, an interlayer insulating film made of a silicon oxide film is formed on the wafer using, for example, a CVD apparatus (S106). Then, the surface of the interlayer insulating film is planarized using, for example, CMP (Chemical Mechanical Polishing) (S107). The process so far is a so-called substrate process. By this substrate process, a MISFET can be formed on the wafer.

次に、フォトリソグラフィ技術およびエッチング技術を使用して、層間絶縁膜にソース領域あるいはドレイン領域に達するコンタクトホールを形成する。そして、このコンタクトホールへ、例えばCVD装置を用いてタングステン膜を埋め込むことによりプラグを形成する(S108)。   Next, contact holes reaching the source region or the drain region are formed in the interlayer insulating film by using a photolithography technique and an etching technique. Then, a plug is formed in this contact hole by embedding a tungsten film using, for example, a CVD apparatus (S108).

続いて、例えばスパッタリング装置を使用して、プラグを形成した層間絶縁膜上にアルミニウム膜を形成する。そして、フォトリソグラフィ技術およびエッチング技術を使用して、アルミニウム膜をパターニングして配線を形成する(S109)。   Subsequently, an aluminum film is formed on the interlayer insulating film on which the plug is formed, for example, using a sputtering apparatus. Then, using the photolithography technique and the etching technique, the aluminum film is patterned to form a wiring (S109).

次に、例えばCVD装置を使用して、層間絶縁膜を形成した後(S110)、例えばCMP装置を用いて、この層間絶縁膜の表面を平坦化する(S111)。その後、同様の工程を繰り返すことにより、多層配線を形成する。そして、多層配線を形成した後、表面の保護のためパッシベーション膜を形成する(S112)。パッシベーション膜は、例えば窒化シリコン膜からなり、例えばCVD装置によって形成することができる。ここまでの工程がいわゆる配線工程である。   Next, after forming an interlayer insulating film using, for example, a CVD apparatus (S110), the surface of this interlayer insulating film is planarized using, for example, a CMP apparatus (S111). Thereafter, the same process is repeated to form a multilayer wiring. Then, after forming the multilayer wiring, a passivation film is formed to protect the surface (S112). The passivation film is made of, for example, a silicon nitride film, and can be formed by, for example, a CVD apparatus. The process so far is a so-called wiring process.

以上述べたように、基板工程および配線工程を経ることにより、ウェハ上にMISFETおよび配線を含む回路を形成することができる。   As described above, the circuit including the MISFET and the wiring can be formed on the wafer through the substrate process and the wiring process.

図2は、本実施の形態1における半導体装置の製造ラインを示した図である。図2において、本実施の形態1では、第1製造ライン1と第2製造ライン2が設けられている。半導体工場には、複数の製造ラインが設けられているが、図2ではそのうち第1製造ライン1と第2製造ライン2を示している。第1製造ライン1と第2製造ライン2とは別個の独立した製造ラインであり、それぞれ独立にウェハの処理(ウェハ上に回路を形成する処理)が行われる。   FIG. 2 is a diagram showing a production line for semiconductor devices according to the first embodiment. In FIG. 2, in the first embodiment, a first production line 1 and a second production line 2 are provided. A semiconductor factory is provided with a plurality of production lines. FIG. 2 shows a first production line 1 and a second production line 2 among them. The first production line 1 and the second production line 2 are separate and independent production lines, and wafer processing (processing for forming a circuit on the wafer) is performed independently of each other.

第1製造ライン1には、製造装置10A〜12A、13、14A、15A(第1半導体製造装置群)が設けられている。この製造装置10A〜12A、13、14A,15Aは、図1に示した製造工程で使用されるものであり、例えば、CVD装置、CMP装置、洗浄装置、露光装置、合わせ検査装置、外観検査装置、寸法測定装置、エッチング装置、アッシング装置、ポリマー除去装置、アニール装置、スパッタリング装置、電解めっき装置などから構成される。   The first production line 1 is provided with production apparatuses 10A to 12A, 13, 14A, and 15A (first semiconductor production apparatus group). The manufacturing apparatuses 10A to 12A, 13, 14A, and 15A are used in the manufacturing process shown in FIG. 1, and are, for example, a CVD apparatus, a CMP apparatus, a cleaning apparatus, an exposure apparatus, an alignment inspection apparatus, and an appearance inspection apparatus. , A dimension measuring device, an etching device, an ashing device, a polymer removing device, an annealing device, a sputtering device, an electrolytic plating device, and the like.

CVD装置は、薄膜材料を構成する元素からなる化合物ガス、単体ガスをウェハに供給し、気相あるいはウェハ表面での化学反応によって所望の薄膜を形成させる装置である。また、CMP装置は、研磨液(スラリー)をウェハの表面に流しながら、スピンドルに貼り付けたウェハを研磨パッドに圧着させて研磨する装置であり、例えば層間絶縁膜の平坦化などに使用される。   The CVD apparatus is an apparatus that supplies a compound gas consisting of elements constituting a thin film material or a single gas to a wafer and forms a desired thin film by a chemical reaction on the gas phase or the wafer surface. The CMP apparatus is an apparatus that polishes a wafer affixed to a spindle against a polishing pad while flowing a polishing liquid (slurry) on the surface of the wafer, and is used, for example, for flattening an interlayer insulating film. .

洗浄装置は、ウェハの洗浄を行うことにより、ウェハの表面についている異物の除去を行う装置である。露光装置は、ウェハにマスクパターンを露光する装置であり、合わせ検査装置は、露光パターンのアライメントを検査する装置である。外観検査装置は、マスクパターンなどの欠陥を検査する装置である。   The cleaning device is a device that removes foreign matter on the surface of the wafer by cleaning the wafer. The exposure apparatus is an apparatus that exposes a mask pattern onto a wafer, and the alignment inspection apparatus is an apparatus that inspects alignment of an exposure pattern. The appearance inspection apparatus is an apparatus that inspects a defect such as a mask pattern.

また、寸法測定装置は、ウェハに形成されたパターンなどの寸法を測定する装置であり、エッチング装置は、ウェハの表面に形成された膜をエッチングしてパターニングする装置である。アッシング装置は、ウェハ上に形成されたレジスト膜をアッシング除去する装置であり、ポリマー除去装置は、不要な高分子膜をウェハ上から剥離する装置である。   The dimension measuring apparatus is an apparatus for measuring dimensions of a pattern or the like formed on the wafer, and the etching apparatus is an apparatus for etching and patterning a film formed on the surface of the wafer. The ashing device is a device for ashing and removing the resist film formed on the wafer, and the polymer removing device is a device for peeling an unnecessary polymer film from the wafer.

また、アニール装置は、ウェハ上に形成された薄膜を熱処理する装置であり、スパッタリング装置は、スパッタリング現象によって薄膜を形成する装置である。電解めっき装置は、配線を電解めっき法で形成するための装置である。   The annealing apparatus is an apparatus for heat-treating a thin film formed on the wafer, and the sputtering apparatus is an apparatus for forming a thin film by a sputtering phenomenon. The electroplating apparatus is an apparatus for forming wiring by an electroplating method.

本実施の形態1において、第1製造ライン1に設けられている製造装置10A〜12A、13、14A、15Aは、図1に示すウェハプロセスに沿ってウェハが処理されるように製造工程順にレイアウトされている。すなわち、製造装置10A〜12A、13、14A、15Aは、まず、製造装置10Aでウェハの処理が行われて処理が終了すると、次に、製造装置11Aでウェハの処理が行われる。さらに製造装置11Aでウェハの処理が終了すると、製造装置12Aでウェハの処理が行われる。このようにして、製造装置15Aでウェハの処理が終了すると、再び製造装置10Aでウェハの処理が行われ、この流れを繰り返すことにより、ウェハ上に回路が形成されるようになっている。   In the first embodiment, the manufacturing apparatuses 10A to 12A, 13, 14A, and 15A provided in the first manufacturing line 1 are laid out in the order of manufacturing processes so that the wafers are processed along the wafer process shown in FIG. Has been. That is, in the manufacturing apparatuses 10A to 12A, 13, 14A, and 15A, first, when the wafer is processed by the manufacturing apparatus 10A and the processing is completed, the wafer is then processed by the manufacturing apparatus 11A. Further, when the wafer processing is completed in the manufacturing apparatus 11A, the wafer processing is performed in the manufacturing apparatus 12A. In this way, when the wafer processing is completed in the manufacturing apparatus 15A, the wafer processing is performed again in the manufacturing apparatus 10A. By repeating this flow, a circuit is formed on the wafer.

つまり、製造装置10A〜12A、13、14A、15Aはウェハプロセスの反復処理単位を構成しており、この製造装置10A〜12A、13、14A、15Aでの処理を繰り返すことにより、ウェハプロセスに沿ってウェハ上に回路が形成される。なお、ウェハはロット単位で搬送され、各製造装置では、例えばロットからウェハを一枚ずつ取り出して処理される。   That is, the manufacturing apparatuses 10A to 12A, 13, 14A, and 15A constitute a repetitive processing unit of the wafer process. By repeating the processes in the manufacturing apparatuses 10A to 12A, 13, 14A, and 15A, along the wafer process. Thus, a circuit is formed on the wafer. The wafers are transported in lot units, and each manufacturing apparatus, for example, takes out the wafers one by one from the lot and processes them.

同様に、第1製造ライン1とは独立した別の製造ラインとして第2製造ライン2が設けられている。この第2製造ライン2には、製造装置10B〜12B、13、14B、15B(第2半導体製造装置群)が設けられており、製造装置10B〜12B、13、14B、15Bも図1に示すウェハプロセスに沿ってウェハが処理されるように製造工程順にレイアウトされている。   Similarly, a second production line 2 is provided as another production line independent of the first production line 1. The second manufacturing line 2 is provided with manufacturing apparatuses 10B to 12B, 13, 14B, and 15B (second semiconductor manufacturing apparatus group), and the manufacturing apparatuses 10B to 12B, 13, 14B, and 15B are also shown in FIG. The wafers are laid out in the order of manufacturing processes so that the wafers are processed along the wafer process.

本実施の形態1の一つの特徴は、例えば、第1製造ライン1において、ウェハプロセスの反復処理単位を構成するように、製造装置10A〜12A、13、14A、15Aをレイアウトし、製造工程順にレイアウトされた製造装置10A〜12A、13、14A、15Aからなる第1製造ライン1を一つの作業者チームAが担当するようにした点にある(チームセル生産方式)。つまり、作業者チームAは、第1製造ライン1において製造される製品の進捗に対し責任と権限を有する(垂直統合型の業務担当)。このように一つの作業者チームAで第1製造ライン1を担当するようにすることにより、作業者チームAがウェハプロセスの初工程から最終工程まで一貫して担当することになるため、工場の生産出来高に対する作業者チームAの生産活動の影響を直接的に把握できる。したがって、製造ラインにおける問題点の把握あるいは改善へのフィードバックが明確となるので、工場における生産性向上を図ることができる。   One feature of the first embodiment is that, for example, in the first manufacturing line 1, the manufacturing apparatuses 10A to 12A, 13, 14A, and 15A are laid out so as to constitute a repetitive processing unit of the wafer process, and in the order of the manufacturing process. One worker team A is in charge of the first production line 1 including the layout of the manufacturing apparatuses 10A to 12A, 13, 14A, and 15A (team cell production method). That is, the worker team A has responsibility and authority for the progress of products manufactured in the first manufacturing line 1 (vertically integrated business staff). As described above, since the worker team A is in charge of the first production line 1 by one worker team A, the worker team A is consistently in charge from the first process to the final process of the wafer process. It is possible to directly grasp the influence of the production activity of the worker team A on the production volume. Therefore, the problem in the production line can be grasped or feedback for improvement can be clarified, so that the productivity in the factory can be improved.

同様に、第2製造ライン2は、作業者チームAとは異なる作業者チームBに担当させることにより、作業者チームBもウェハプロセスの初工程から最終工程まで一貫して担当することになる。このため、工場の生産出来高に対する作業者チームBの生産活動の影響を直接的に把握できる。すなわち、ウェハプロセスに沿って配置された個々の製造ラインに異なる作業者チームを担当させることにより、各作業者チームの生産活動の影響を直接的に把握することができるようになる。   Similarly, in the second production line 2, by assigning the worker team B different from the worker team A, the worker team B is also in charge of the wafer process from the first process to the final process. For this reason, the influence of the production activity of the worker team B on the production output of the factory can be directly grasped. That is, by assigning different worker teams to individual production lines arranged along the wafer process, it becomes possible to directly grasp the influence of the production activities of each worker team.

また、例えば、第1製造ライン1において、ウェハプロセスの反復処理単位に沿って製造装置10A〜12A、13、14A、15Aを配置したので、物の流れが簡素化され、平準化生産が容易となる。すなわち、物流が簡素化されるので、製造装置10A〜12A、13、14A、15Aのそれぞれに割り当てられる仕掛り量が平坦化されやすくなる。   Further, for example, in the first manufacturing line 1, since the manufacturing apparatuses 10A to 12A, 13, 14A, and 15A are arranged along the repetitive processing unit of the wafer process, the flow of objects is simplified, and leveling production is easy. Become. That is, since logistics is simplified, the in-process amount allocated to each of the manufacturing apparatuses 10A to 12A, 13, 14A, and 15A is easily flattened.

さらに、本実施の形態1の一つの特徴は、互いに異なる第1製造ライン1と第2製造ライン2において、共有する製造装置13を有していることである。このように製造装置13を共有することにより、例えば、処理能力の大きな装置を一つの製造ラインで占有することを回避することができ、装置を効率良く稼動させることができる。つまり、処理能力の大きな装置を一つの製造ラインで占有させると、ロットを処理していない時間を有効に活用することができず装置の稼働率が低下することになる。そこで、複数の製造ラインで装置を共有するようにすれば、ロットを処理していない時間を低減することができ、装置の稼働率を向上させることができる。その上、製造装置を複数の製造ラインで共有することにより、製造装置の台数を低減できるので、コストを削減できる効果も得られる。なお、図2では、第1製造ライン1と第2製造ライン2で製造装置13を共有するようにしているが、これ以外の製造ライン(図示せず)も含めて共有するようにしてもよい。また、図2では、製造装置13だけを共有するようにしているが、他の製造装置を共有にしてもよい。   Further, one feature of the first embodiment is that the first manufacturing line 1 and the second manufacturing line 2 which are different from each other have the manufacturing apparatus 13 shared. By sharing the manufacturing apparatus 13 in this way, for example, it is possible to avoid occupying an apparatus with a large processing capacity on one manufacturing line, and the apparatus can be operated efficiently. In other words, if an apparatus having a large processing capacity is occupied on one production line, the time during which lots are not processed cannot be used effectively, and the operating rate of the apparatus decreases. Therefore, if the apparatus is shared by a plurality of production lines, the time during which lots are not processed can be reduced, and the operating rate of the apparatus can be improved. In addition, since the number of manufacturing apparatuses can be reduced by sharing the manufacturing apparatus among a plurality of manufacturing lines, an effect of reducing costs can also be obtained. In FIG. 2, the manufacturing apparatus 13 is shared by the first manufacturing line 1 and the second manufacturing line 2, but may be shared including other manufacturing lines (not shown). . In FIG. 2, only the manufacturing apparatus 13 is shared, but another manufacturing apparatus may be shared.

複数の製造ラインで製造装置を共有すると、複数の製造ラインから共有する製造装置へロットの処理要求がなされた場合の処理を考慮する必要がある。以下では、このロット割り当てロジックについて説明する。   When a manufacturing apparatus is shared by a plurality of manufacturing lines, it is necessary to consider processing when a lot processing request is made from the plurality of manufacturing lines to the shared manufacturing apparatus. Hereinafter, the lot allocation logic will be described.

図3は本実施の形態1における半導体製造システムの構成を示す図である。図3において、例えば製造装置10A〜12A、13、14A、15A、10B〜12B、14B、15Bは、LAN(Local Area Network)などのネットワークを介してMES(Manufacturing Execution System)20と接続されている。MES20は、製造実行システムであり、製造装置10A〜12A、13、14A、15A、10B〜12B、14B、15Bの制御や管理を行うように構成されている。このMES20により、複数の製造ラインで共有する製造装置へのロット割り当てが制御されている。以下、ロットの割り当て動作について図4および図5を参照しながら説明する。   FIG. 3 is a diagram showing the configuration of the semiconductor manufacturing system according to the first embodiment. In FIG. 3, for example, the manufacturing apparatuses 10A to 12A, 13, 14A, 15A, 10B to 12B, 14B, and 15B are connected to a MES (Manufacturing Execution System) 20 via a network such as a LAN (Local Area Network). . The MES 20 is a manufacturing execution system, and is configured to control and manage the manufacturing apparatuses 10A to 12A, 13, 14A, 15A, 10B to 12B, 14B, and 15B. The MES 20 controls lot allocation to manufacturing apparatuses shared by a plurality of manufacturing lines. The lot assignment operation will be described below with reference to FIGS. 4 and 5. FIG.

図4は、各製造ラインに対するロットの処理配分枠を決定する動作を示すフローチャートである。まず、一定期間(T)、共有の製造装置(j:自然数)における各製造ライン(i:自然数)の処理配分枠(Xij)を決定する(S201)。続いて、処理配分枠(Xij)をMES20上にて、初期割り当て配分枠(Yij)および残割り当て配分枠(Zij)として記憶する(S202)。 FIG. 4 is a flowchart showing an operation of determining a lot processing allocation frame for each production line. First, a process allocation frame (X ij ) of each production line (i: natural number) in a shared production apparatus (j: natural number) is determined for a certain period (T) (S201). Subsequently, the process allocation frame (X ij ) is stored on the MES 20 as the initial allocation distribution frame (Y ij ) and the remaining allocation distribution frame (Z ij ) (S202).

そして、残割り当て配分枠(Zij)を初期化してから一定期間(T)が経過すると(S203)、処理配分枠(Xij)の見直しをするか判断する(S204)。処理配分枠(Xij)を見直す場合には、S201に戻って新たに処理配分枠(Xij)を決定する。一方、処理配分枠(Xij)を見直さない場合には、残割り当て配分枠(Zij)を初期化した後、S203に戻る。このようにして、各製造ラインの処理配分枠(Xij)を決定することができる。 When a certain period (T) has elapsed since the remaining allocation allocation frame (Z ij ) has been initialized (S203), it is determined whether to review the processing allocation frame (X ij ) (S204). When reviewing the process allocation frame (X ij) is determined anew process allocation frame a (X ij) returns to S201. On the other hand, if the processing allocation frame (X ij ) is not reviewed, the remaining allocation allocation frame (Z ij ) is initialized, and the process returns to S203. In this way, the processing distribution frame (X ij ) for each production line can be determined.

さらに、具体的に説明する。まず、例えば一定期間を1日とし、共有の製造装置13における第1製造ライン1の処理配分枠を1000枚(ウェハの枚数)とする(S201)。続いて、処理配分枠1000枚をMES20上にて、初期割り当て配分枠1000枚、残割り当て配分枠1000枚として記憶する(S202)。初期割り当て配分枠とは、第1製造ライン1に初めに割り当てられたウェハの枚数を示しており、残割り当て配分枠とは、ウェハの処理が行われ、現段階で残っている処理可能なウェハの枚数を示している。したがって、ウェハの処理が1枚も行われていない状態では、初期割り当て配分枠と残割り当て配分枠は同じく1000枚である。   Furthermore, it demonstrates concretely. First, for example, a certain period is set to one day, and the processing distribution frame of the first manufacturing line 1 in the shared manufacturing apparatus 13 is set to 1000 sheets (the number of wafers) (S201). Subsequently, the 1000 processing allocation frames are stored on the MES 20 as the initial allocation allocation frame 1000 and the remaining allocation allocation frame 1000 (S202). The initial allocation distribution frame indicates the number of wafers initially allocated to the first production line 1, and the remaining allocation distribution frame is a wafer that is processed and remains in the current stage. Indicates the number of sheets. Therefore, when no wafer is processed, the initial allocation distribution frame and the remaining allocation distribution frame are 1000 in the same manner.

次に、残割り当て配分枠を1000枚にしてから1日が経過する(S203)。このとき、共有の製造装置13では、第1製造ライン1のウェハが処理されているため、残割り当て配分枠は1000枚から減少する。第1製造ライン1のウェハが1000枚処理されていれば、1日経過した時点で残割り当て配分枠は0となる。一方、1000枚処理されていない場合、残割り当て配分枠は0枚ではなく残りが生じる(例えば100枚)。   Next, one day has elapsed since the remaining allocation allocation frame was set to 1000 (S203). At this time, in the shared manufacturing apparatus 13, since the wafers of the first manufacturing line 1 are processed, the remaining allocation distribution frame is reduced from 1000 sheets. If 1000 wafers in the first production line 1 are processed, the remaining allocation distribution frame becomes 0 when one day has passed. On the other hand, when 1000 sheets are not processed, the remaining allocation distribution frame is not 0 sheets but remains (for example, 100 sheets).

続いて、第1製造ライン1の処理配分枠1000枚を見直すか判断する(S204)。すなわち、共有の製造装置13における第1製造ライン1の処理配分枠を1000枚から変更するか判断する。例えば、処理配分枠を1000枚から1500枚に変更する場合には、S201に戻って第1製造ライン1の処理配分枠を1500枚にする。そして、MES20上で、初期割り当て配分枠および残割り当て配分枠を1500枚に初期化する。このようにすることで、次の1日間は、第1製造ライン1のウェハを最大で1500枚処理するように設定される。   Subsequently, it is determined whether or not to review 1000 processing allocation frames of the first production line 1 (S204). That is, it is determined whether to change the processing distribution frame of the first manufacturing line 1 in the shared manufacturing apparatus 13 from 1000 sheets. For example, when the processing allocation frame is changed from 1000 sheets to 1500 sheets, the processing allocation frame of the first production line 1 is changed to 1500 sheets by returning to S201. Then, on the MES 20, the initial allocation distribution frame and the remaining allocation distribution frame are initialized to 1500 sheets. By doing in this way, it sets so that a maximum of 1500 wafers of the 1st production line 1 may be processed for the next one day.

一方、第1製造ライン1の処理配分枠を1000枚から変更しない場合には、次の1日間も処理配分枠は1000枚となる。ここで、残割り当て配分枠は、1日経過したことにより1000枚から減少しているので、再び初期化して残割り当て配分枠を1000枚にする。これにより、次の1日間も残割り当て配分枠1000枚から開始することができる。以上、第1製造ライン1の処理配分枠の決定方法について具体的に説明したが、他の製造ラインの処理配分枠も同様にして決定することができる。   On the other hand, if the processing distribution frame of the first production line 1 is not changed from 1000 sheets, the processing distribution frame will be 1000 sheets for the next day. Here, since the remaining allocation distribution frame has decreased from 1000 sheets due to the passage of one day, it is initialized again and the remaining allocation distribution frame is set to 1000 sheets. Thereby, it is possible to start from the remaining allocation allocation frame 1000 for the next day. Although the method for determining the process distribution frame for the first production line 1 has been specifically described above, the process distribution frame for other production lines can be determined in the same manner.

次に、共有する製造装置において、複数の製造ラインからロットの処理要求があった場合、どの製造ラインのロットを優先的に処理するかについて説明する。すなわち、ロット割り当てロジックについて説明する。   Next, in the shared manufacturing apparatus, when there is a lot processing request from a plurality of manufacturing lines, which manufacturing line lot is processed preferentially will be described. That is, the lot allocation logic will be described.

図5は、ロット割り当て方法を説明したフローチャートである。図5において、まず、複数の製造ライン(i)から共有する製造装置(j)へロットの処理要求が発生する(S301)。すると、共有する製造装置(j)は、優先度(P)が最大の製造ライン(i)から処理要求されたロットを1ロット選択し処理する(S302)。ここで、製造ライン(i)の優先度(P)は残割り当て配分枠(Zij)/初期割り当て配分枠(Yij)により決定される。 FIG. 5 is a flowchart illustrating the lot allocation method. In FIG. 5, first, a lot processing request is generated from a plurality of manufacturing lines (i) to a shared manufacturing apparatus (j) (S301). Then, the shared manufacturing apparatus (j) selects and processes one lot requested for processing from the manufacturing line (i) having the highest priority (P i ) (S302). Here, the priority (P i ) of the production line (i) is determined by the remaining allocation distribution frame (Z ij ) / initial allocation distribution frame (Y ij ).

次に、ロットを処理した製造ライン(i)の製造装置(j)における残割り当て配分枠(Zij)から処理ロットのウェハの枚数を減じる(S303)。その後、ロットの処理が完了すると(S304)、再びS301の戻り同様の動作を繰り返す。このようにして、複数の製造ラインからロットの処理要求があった場合、共有する製造装置で所定の製造ラインのロットを選択して処理することができる。 Next, the number of wafers in the processing lot is subtracted from the remaining allocation distribution frame (Z ij ) in the manufacturing apparatus (j) of the manufacturing line (i) that has processed the lot (S303). Thereafter, when the lot processing is completed (S304), the same operation as in S301 is repeated again. In this way, when there is a lot processing request from a plurality of manufacturing lines, a lot of a predetermined manufacturing line can be selected and processed by a shared manufacturing apparatus.

さらに、具体的に説明する。例えば、図2に示す製造装置13において、第1製造ライン1と第2製造ライン2からロットの処理要求があったとする(S301)。ここで、図4に示した方法により、第1製造ライン1と第2製造ライン2のそれぞれに、処理配分枠が決定されている。例えば、第1製造ライン1の処理配分枠は1000枚であり、第2製造ライン2の処理配分枠は2000枚とする。そして、第1製造ライン1および第2製造ライン2では、それぞれ既に所定枚数のウェハが処理されており、例えば第1製造ライン1の残割り当て配分枠は100枚、第2製造ライン2の残割り当て配分枠は500枚であるとする。すなわち、第1製造ライン1では、製造装置13において既に900枚のウェハが処理され、第2製造ライン2では、既に1500枚のウェハが処理されていることになる。   Furthermore, it demonstrates concretely. For example, in the manufacturing apparatus 13 shown in FIG. 2, it is assumed that there is a lot processing request from the first manufacturing line 1 and the second manufacturing line 2 (S301). Here, the processing allocation frame is determined for each of the first production line 1 and the second production line 2 by the method shown in FIG. For example, the process distribution frame of the first production line 1 is 1000 sheets, and the process distribution frame of the second production line 2 is 2000 sheets. In each of the first production line 1 and the second production line 2, a predetermined number of wafers have already been processed. For example, the remaining allocation distribution frame of the first production line 1 is 100, and the remaining allocation of the second production line 2 is It is assumed that the distribution frame is 500 sheets. That is, in the first production line 1, 900 wafers have already been processed in the manufacturing apparatus 13, and in the second production line 2, 1500 wafers have already been processed.

次に、第1製造ライン1の優先度は初期割り当て配分枠が1000枚であり、残割り当て配分枠が100枚であることから、100/1000=1/10となる。一方、第2製造ライン2の優先度は初期割り当て配分枠が2000枚であり、残割り当て配分枠が500枚であることから、500/2000=1/4となる。したがって、第2製造ライン2の優先度(1/4)が第1製造ライン1の優先度(1/10)に比べて大きいので、第2製造ライン2のロットが処理される(S302)。   Next, the priority of the first production line 1 is 100/1000 = 1/10 because the initial allocation distribution frame is 1000 sheets and the remaining allocation distribution frame is 100 sheets. On the other hand, the priority of the second production line 2 is 500/2000 = 1/4 because the initial allocation distribution frame is 2000 and the remaining allocation distribution frame is 500. Accordingly, since the priority (1/4) of the second production line 2 is higher than the priority (1/10) of the first production line 1, the lot of the second production line 2 is processed (S302).

続いて、第2製造ライン2から処理要求されたロットを処理したので、第2製造ライン2の残割り当て配分枠500枚から処理したウェハの枚数(例えば、25枚)を減じる。これにより、第2製造ライン2の残割り当て配分枠は500枚から475枚になる(S303)。   Subsequently, since the lot requested to be processed from the second production line 2 is processed, the number of processed wafers (for example, 25 sheets) is reduced from the remaining allocation allocation frame 500 of the second production line 2. Thereby, the remaining allocation distribution frame of the second production line 2 is changed from 500 sheets to 475 sheets (S303).

その後、第2製造ライン2のロットの処理が完了すると(S304)、上述した動作を繰り返す。この繰り返しにより、第2製造ライン2の残割り当て配分枠が100枚になったとする。すると、第1製造ライン1の優先度が1/10であるのに対し、第2製造ライン2の優先度は、100/2000=1/20となる。したがって、今度は、第1製造ライン1の優先度(1/10)が第2製造ライン2の優先度(1/20)より大きくなるので、第1製造ライン1のロットが処理されることになる。   Thereafter, when the lot processing of the second production line 2 is completed (S304), the above-described operation is repeated. It is assumed that the remaining allocation distribution frame of the second production line 2 becomes 100 sheets by this repetition. Then, the priority of the first production line 1 is 1/10, whereas the priority of the second production line 2 is 100/2000 = 1/20. Therefore, this time, since the priority (1/10) of the first production line 1 becomes higher than the priority (1/20) of the second production line 2, the lot of the first production line 1 is processed. Become.

このように、優先度に基づいて、第1製造ライン1または第2製造ライン2のロットが処理される。優先度は、上述したように残割り当て配分枠/初期割り当て配分枠で与えられる。この優先度は、初期割り当て配分枠に対して残割り当て配分枠が多いほど値が大きくなる。つまり、初期割り当て配分枠に対してロットの処理が進んでいない製造ラインほど優先度が大きくなる。本実施の形態1では、優先度が大きい製造ラインから処理要求されたロットを優先的に処理するようにしているので、製造ライン間で、ロットの処理が適正に行われ、製造ラインにおけるロット処理の平準化を図ることができる。また、ある製造ラインで発生した脈流により、ある製造ラインの仕掛り量が急激に増加した場合であっても、その製造ラインのロットを優先的に処理するのではなく、本実施の形態1では優先度が大きい製造ラインからのロットを処理する。このため、平準化生産していた製造ラインの生産進捗が妨げられることを防止することができる。   In this way, the lot of the first production line 1 or the second production line 2 is processed based on the priority. The priority is given by the remaining allocation distribution frame / initial allocation distribution frame as described above. This priority increases as the remaining allocation distribution frame increases with respect to the initial allocation distribution frame. In other words, the priority is higher in the production line where the lot processing is not progressing with respect to the initial allocation distribution frame. In the first embodiment, lots requested to be processed from a production line with a high priority are preferentially processed. Therefore, lot processing is properly performed between the production lines, and lot processing in the production line is performed. Leveling can be achieved. In addition, even if the amount of work in a certain production line suddenly increases due to a pulsating flow generated in a certain production line, the lot of that production line is not preferentially processed, but the first embodiment Now process lots from production lines with high priority. For this reason, it is possible to prevent the production progress of the production line that has been leveled production from being hindered.

以上、本実施の形態1によれば、製造工程(ウェハプロセス)の反復処理単位に沿って製造装置をレイアウトすることにより製造ラインを構成し、このような製造ラインを独立して複数設けている。そして、個々の製造ラインを一つの作業者チームが担当するようにしたので、作業者チームは初工程から最終工程まで担当することになり、製品の出来高に対する責任と権限が明確に移譲させる形式となっている。したがって、各作業者チームは垂直統合型の製造ラインで生産活動を行うため、その生産活動の問題点の把握および改善へのフィードバックが明確となり、生産性向上を図ることができる。   As described above, according to the first embodiment, a manufacturing line is configured by laying out a manufacturing apparatus along a repetitive processing unit of a manufacturing process (wafer process), and a plurality of such manufacturing lines are provided independently. . And since one worker team is in charge of each production line, the worker team will be in charge from the first process to the final process, and the responsibility and authority for the product volume are clearly transferred. It has become. Therefore, since each worker team performs production activities on a vertically integrated production line, the problem of the production activities can be grasped and feedback for improvement can be clarified, thereby improving productivity.

また、本実施の形態1によれば、各製造ラインをフローショップ形式で構成しているので物流が簡素化されるとともに各製造ラインの管理が容易となる。さらに、複数の製造ラインで共通する製造装置を上記した割り当てロジックで効率的に使用しているため、製造ラインでの平準化生産が推進される。これにより、製造ライン毎に仕掛りの最適な配分制御がなされ、棚資回転率が改善される。すなわち、保有資産(仕掛り途中のウェハ)の増加を抑制することができる。   Moreover, according to this Embodiment 1, since each manufacturing line is comprised by the flow shop format, distribution is simplified and management of each manufacturing line becomes easy. Furthermore, since the production apparatus common to the plurality of production lines is efficiently used in the above-described allocation logic, leveled production on the production line is promoted. Thereby, the optimal distribution control of the work in progress is performed for each production line, and the shelf turnover rate is improved. That is, it is possible to suppress an increase in owned assets (wafers in progress).

さらに、本実施の形態1によれば、独立した製造ライン毎に製品が製造されるため、例えば、ある顧客向け製品で起きた製造トラブルが、その他の顧客向け製品の製造進捗へ悪影響を及ぼすことを抑制することができる。つまり、本実施の形態1では、製造工程の初工程から最終工程まで実施できる製造ラインを独立して複数設けているので、ある製造ラインでは、ある顧客向けの製品を製造し、別の製造ラインでは他の顧客向けの製品を製造することが可能となる。個々の製造ラインは独立しているので、ある製造ラインでトラブルが発生しても、その影響が他の製造ラインに及ぶことを低減できる。したがって、顧客満足度を向上することができる。   Furthermore, according to the first embodiment, since a product is manufactured for each independent manufacturing line, for example, a manufacturing trouble that occurs in a product for a customer adversely affects the manufacturing progress of the product for another customer. Can be suppressed. In other words, in the first embodiment, a plurality of production lines that can be carried out independently from the initial process to the final process of the manufacturing process are provided independently. Now it is possible to produce products for other customers. Since the individual production lines are independent, even if a trouble occurs in a certain production line, it is possible to reduce the influence of the trouble on other production lines. Therefore, customer satisfaction can be improved.

また、複数の製造ラインにおいて、共有する製造装置を設け、この製造装置を上述したロット割り当て方法によって動作させているので、製造ライン間でバランスのとれた予定(処理配分枠)と変動の少ないロット処理が保持される。これにより、それぞれの製造ラインで別の顧客向け製品を扱っている場合、共有する製造装置で公平でバランスのとれた製造が行われていることを顧客に明示することができ、顧客満足度を向上させることができる。また、共有する製造装置に対して、定期的に一定量のロットを供給することが必要となるため、製造ラインにおいて平準化生産がなされる。   In addition, since a shared manufacturing apparatus is provided in a plurality of manufacturing lines and this manufacturing apparatus is operated by the above-described lot allocation method, a balanced schedule (processing allocation frame) among the manufacturing lines and a lot with less fluctuation Processing is retained. This makes it possible to clearly show to customers that each production line handles products for different customers, and that the production equipment they share is fair and balanced. Can be improved. In addition, since it is necessary to regularly supply a certain amount of lots to the shared manufacturing apparatus, leveled production is performed on the manufacturing line.

本実施の形態1における半導体製造システムは、工場の生産能力を複数の製造ラインに分割し、仮想的に小規模な製造ラインを配置する形式をとることから、生産規模が大きく多品種の製品を取り扱う工場への応用が適している。   The semiconductor manufacturing system according to the first embodiment takes a form in which the production capacity of a factory is divided into a plurality of manufacturing lines and a small-scale manufacturing line is virtually arranged. Applicable to factories to handle.

近年、新規半導体製造工場(前工程の工場)の建設コストが膨大となり、そのコストを一つの半導体製造会社で負担することが困難になってきている。このため、複数の半導体製造会社で新規半導体製造工場の建設コストを分担し、一つの半導体製造工場を複数の半導体製造会社が共有して生産を行う形式が検討されつつある。このような半導体製造工場では、本実施の形態1で説明した半導体製造システムを適用することが有用である。すなわち、各半導体製造会社が独立した各製造ラインを使用し、各製造ラインで共有する製造装置については上述した優先度を用いたロット割り当て方法を使用する。これにより、各半導体製造会社で公平でバランスのとれた製造を行うことができる。ここで、上述したロット割り当て方法では、各製造ラインの処理配分枠としてウェハの枚数を使用している。しかし、各製造ラインで共有する製造装置の使用割合を、その装置の使用時間で換算することが考えられる。この場合、処理配分枠を装置の使用時間にしてもよい。   In recent years, the construction cost of a new semiconductor manufacturing factory (pre-process factory) has become enormous, and it has become difficult to bear that cost by a single semiconductor manufacturing company. For this reason, a form in which a plurality of semiconductor manufacturing companies share the construction cost of a new semiconductor manufacturing factory and one semiconductor manufacturing factory is shared by a plurality of semiconductor manufacturing companies is being studied. In such a semiconductor manufacturing factory, it is useful to apply the semiconductor manufacturing system described in the first embodiment. That is, each semiconductor manufacturing company uses each independent manufacturing line, and the lot allocation method using the above-described priority is used for the manufacturing apparatus shared by each manufacturing line. Thereby, each semiconductor manufacturing company can perform fair and well-balanced manufacturing. Here, in the lot allocation method described above, the number of wafers is used as a process distribution frame for each production line. However, it is conceivable to convert the usage rate of the manufacturing apparatus shared by each manufacturing line by the usage time of the apparatus. In this case, the processing allocation frame may be the usage time of the apparatus.

なお、本実施の形態1では、各製造ラインで共有する製造装置がある場合のロット割り当て方法をMESで管理・制御する例について説明したが、共有する製造装置自体でロット割り当て方法を管理・制御するようにしてもよい。   In the first embodiment, an example of managing and controlling the lot assignment method when there is a production apparatus shared by each production line using the MES has been described. However, the lot assignment method is managed and controlled by the shared production apparatus itself. You may make it do.

また、図2では、製造装置10A〜12A、13、14A、15Aを逐次経由するように記載しているが、これらの製造装置10A〜12A、13、14A、15Aを反復処理する場合、製品によっては、例えば製造装置10Aで処理した後、製造装置11Aで処理せずに飛ばして製造装置12Aで処理するように一部の製造装置を通過して処理することも含まれる。   In addition, in FIG. 2, the manufacturing apparatuses 10 </ b> A to 12 </ b> A, 13, 14 </ b> A, and 15 </ b> A are described so as to pass through sequentially, but when these manufacturing apparatuses 10 </ b> A to 12 </ b> A, 13, 14 </ b> A, 15 </ b> A are repeatedly processed, For example, after processing by the manufacturing apparatus 10A, processing without passing through the manufacturing apparatus 11A and passing through some manufacturing apparatuses so as to be processed by the manufacturing apparatus 12A is included.

(実施の形態2)
前記実施の形態1では、ウェハプロセス全体を一つの反復処理単位に沿って配置された製造装置群で製造ラインを構成する例について説明した。本実施の形態2では、ウェハプロセスのうち基板工程の反復処理単位に沿って配置された第1処理装置群とウェハプロセスのうち配線工程の反復処理単位に沿って配置された第2処理装置群とを別々に反復させる製造ラインについて説明する。
(Embodiment 2)
In the first embodiment, the example in which the manufacturing line is configured by the manufacturing apparatus group in which the entire wafer process is arranged along one repetitive processing unit has been described. In the second embodiment, a first processing device group arranged along a repetitive processing unit of the substrate process in the wafer process and a second processing device group arranged along the repetitive processing unit of the wiring process in the wafer process. A production line that repeats the above will be described.

図6は、本実施の形態2における半導体製造システムの構成を示した図である。図6において、本実施の形態2における半導体製造システムでは、第1製造ライン1と第2製造ライン2を有している。   FIG. 6 is a diagram showing the configuration of the semiconductor manufacturing system according to the second embodiment. In FIG. 6, the semiconductor manufacturing system according to the second embodiment has a first manufacturing line 1 and a second manufacturing line 2.

第1製造ライン1は、製造装置30A、31、32Aからなる第1処理装置群と製造装置33A、34、35Aからなる第2処理装置群より構成されている。製造装置30A、31、32A(第1処理装置群)は、ウェハプロセスのうち基板工程の反復処理単位に沿って配置されている。この製造装置30A、31、32Aを反復して使用することにより、基板工程が実施され、ウェハ上にトランジスタが形成される。   The first production line 1 includes a first processing device group including manufacturing devices 30A, 31, and 32A and a second processing device group including manufacturing devices 33A, 34, and 35A. The manufacturing apparatuses 30A, 31, and 32A (first processing apparatus group) are arranged along the repetitive processing unit of the substrate process in the wafer process. By repeatedly using the manufacturing apparatuses 30A, 31, and 32A, a substrate process is performed, and a transistor is formed on the wafer.

また、製造装置33A、34、35A(第2処理装置群)は、ウェハプロセスのうち配線工程の反復処理単位に沿って配置されている。この製造装置33A、34、35Aを反復して使用することにより、配線工程が実施され、ウェハ上に配線が形成される。   In addition, the manufacturing apparatuses 33A, 34, and 35A (second processing apparatus group) are arranged along the repetitive processing unit of the wiring process in the wafer process. By repeatedly using the manufacturing apparatuses 33A, 34, and 35A, a wiring process is performed, and wiring is formed on the wafer.

同様に、第2製造ライン2は、製造装置30B、31、32Bからなる第1処理装置群と製造装置33B、34、35Bからなる第2処理装置群を有している。製造装置30B、31、32Bは、基板工程の反復処理単位に沿って配置され、製造装置33B、34、35Bは配線工程の反復処理単位に沿って配置されている。   Similarly, the second manufacturing line 2 includes a first processing device group including manufacturing devices 30B, 31, and 32B and a second processing device group including manufacturing devices 33B, 34, and 35B. The manufacturing apparatuses 30B, 31, and 32B are arranged along a repetitive processing unit of the substrate process, and the manufacturing apparatuses 33B, 34, and 35B are arranged along a repetitive processing unit of the wiring process.

これら第1製造ライン1と第2製造ライン2では、互いに共有する製造装置31、34を有している。   The first production line 1 and the second production line 2 have production apparatuses 31 and 34 that are shared with each other.

以下、第1製造ライン1でのロットの流れを説明する。まず、製造装置30Aでウェハの処理がなされた後、続いて、製造装置31でウェハの処理が行われる。製造装置31は、第2製造ライン2と共有している装置なので、前記実施の形態1で説明したロット割り当て方法に従ってウェハの処理が行われる。   Hereinafter, a lot flow in the first production line 1 will be described. First, the wafer is processed in the manufacturing apparatus 30A, and then the wafer is processed in the manufacturing apparatus 31. Since the manufacturing apparatus 31 is an apparatus shared with the second manufacturing line 2, the wafer is processed according to the lot assignment method described in the first embodiment.

続いて、製造装置31で処理が完了すると、製造装置32Aで処理され、その後製造装置30Aに戻って処理される。これを繰り返すことにより、ウェハにトランジスタが形成される。   Subsequently, when the process is completed in the manufacturing apparatus 31, it is processed in the manufacturing apparatus 32A, and then returned to the manufacturing apparatus 30A and processed. By repeating this, a transistor is formed on the wafer.

次に、基板工程を終了したウェハは、製造装置33Aで処理された後、製造装置34で処理される。その後、製造装置35Aで処理され、再び戻って製造装置33Aで処理される。これを繰り返すことにより、ウェハ上に多層配線が形成される。なお、ウェハはロット単位で搬送され、各製造装置では、例えばロットからウェハを一枚ずつ取り出して処理される。このように基板工程と配線工程を経ることにより、ウェハ上に回路を形成することができる。第2製造ライン2においても同様である。   Next, the wafer that has completed the substrate process is processed by the manufacturing apparatus 33 </ b> A and then processed by the manufacturing apparatus 34. Then, it is processed by the manufacturing apparatus 35A, returned again, and processed by the manufacturing apparatus 33A. By repeating this, multilayer wiring is formed on the wafer. Wafers are transported in lot units, and in each manufacturing apparatus, for example, wafers are taken out from the lot one by one and processed. Thus, a circuit can be formed on a wafer through the substrate process and the wiring process. The same applies to the second production line 2.

本実施の形態2によれば、処理プロセスの異なる基板工程と配線工程のそれぞれに対応して第1処理装置群と第2処理装置群を設けている。そして、第1処理装置群を反復して使用する構成により基板工程を実施する一方、第2処理装置群を反復して使用する構成で配線工程を実施している。このように第1処理装置群と第2処理装置群とを分離して構成することにより、処理プロセスの異なる基板工程と配線工程を分離するため、物流の観点から効率化を図ることができる。つまり、基板工程の物流と配線工程の物流とは異なるため、分離することによって物流を効率化できる。   According to the second embodiment, the first processing device group and the second processing device group are provided corresponding to each of the substrate process and the wiring process having different processing processes. The substrate process is performed with a configuration in which the first processing apparatus group is repeatedly used, while the wiring process is performed in a configuration in which the second processing apparatus group is repeatedly used. By separating the first processing device group and the second processing device group from each other in this way, the substrate process and the wiring process having different processing processes are separated, so that efficiency can be improved from the viewpoint of physical distribution. That is, since the distribution of the substrate process and the distribution of the wiring process are different, the distribution can be made more efficient by separating them.

なお、本実施の形態2においても前記実施の形態1と同様の効果を得ることができる。   In the second embodiment, the same effect as in the first embodiment can be obtained.

以上、本発明者によってなされた発明を実施の形態に基づき具体的に説明したが、本発明は前記実施の形態に限定されるものではなく、その要旨を逸脱しない範囲で種々変更可能であることはいうまでもない。   As mentioned above, the invention made by the present inventor has been specifically described based on the embodiment. However, the present invention is not limited to the embodiment, and various modifications can be made without departing from the scope of the invention. Needless to say.

本発明は、半導体装置を製造する製造業に幅広く利用することができる。   The present invention can be widely used in the manufacturing industry for manufacturing semiconductor devices.

MISFETの製造工程のうちウェハプロセスを示したフローチャートである。It is the flowchart which showed the wafer process among the manufacturing processes of MISFET. 本発明の実施の形態1における半導体製造システムの構成を示した図である。It is the figure which showed the structure of the semiconductor manufacturing system in Embodiment 1 of this invention. 本発明の実施の形態1における半導体製造システムの構成を示した図である。It is the figure which showed the structure of the semiconductor manufacturing system in Embodiment 1 of this invention. 各製造ラインに対するロットの処理配分枠を決定する動作を示したフローチャートである。It is the flowchart which showed the operation | movement which determines the processing allocation frame of the lot with respect to each manufacturing line. ロット割り当て方法を説明したフローチャートである。It is the flowchart explaining the lot allocation method. 本発明の実施の形態2における半導体製造システムの構成を示した図である。It is the figure which showed the structure of the semiconductor manufacturing system in Embodiment 2 of this invention.

符号の説明Explanation of symbols

1 第1製造ライン
2 第2製造ライン
10A〜12A 製造装置
10B〜12B 製造装置
13 製造装置
14A、15A 製造装置
14B、15B 製造装置
20 MES
30A 製造装置
30B 製造装置
31 製造装置
32A、33A 製造装置
32B、33B 製造装置
34 製造装置
35A 製造装置
35B 製造装置
DESCRIPTION OF SYMBOLS 1 1st manufacturing line 2 2nd manufacturing line 10A-12A Manufacturing apparatus 10B-12B Manufacturing apparatus 13 Manufacturing apparatus 14A, 15A Manufacturing apparatus 14B, 15B Manufacturing apparatus 20 MES
30A manufacturing apparatus 30B manufacturing apparatus 31 manufacturing apparatus 32A, 33A manufacturing apparatus 32B, 33B manufacturing apparatus 34 manufacturing apparatus 35A manufacturing apparatus 35B manufacturing apparatus

Claims (5)

(a)ウェハプロセスの反復処理単位に沿って配置された第1半導体製造装置群を反復して使用することにより、ウェハ上に回路を形成する第1製造ラインと、
(b)ウェハプロセスの反復処理単位に沿って配置された第2半導体製造装置群を反復して使用することにより、ウェハ上に回路を形成する第2製造ラインとを備え、
前記第1半導体製造装置群と前記第2半導体製造装置群には、互いに共有する半導体製造装置がある半導体製造システム。
(A) a first manufacturing line for forming a circuit on a wafer by repeatedly using a first semiconductor manufacturing apparatus group arranged along a repetitive processing unit of a wafer process;
(B) a second manufacturing line for forming a circuit on the wafer by repeatedly using the second semiconductor manufacturing apparatus group arranged along the repetitive processing unit of the wafer process,
A semiconductor manufacturing system in which the first semiconductor manufacturing device group and the second semiconductor manufacturing device group include semiconductor manufacturing devices shared with each other.
(a)ウェハプロセスに沿って配置された第1半導体製造装置群を反復して使用することにより、ウェハ上に回路を形成する第1製造ラインと、
(b)ウェハプロセスに沿って配置された第2半導体製造装置群を反復して使用することにより、ウェハ上に回路を形成する第2製造ラインとを備え、
前記第1半導体製造装置群と前記第2半導体製造装置群には、互いに共有する半導体製造装置があり、
前記第1半導体製造装置群および前記第2半導体製造装置群はそれぞれ、ウェハプロセスのうち基板工程の反復処理単位に沿って配置された第1処理装置群とウェハプロセスのうち配線工程の反復処理単位に沿って配置された第2処理装置群とを有している半導体製造システム。
(A) a first manufacturing line for forming a circuit on a wafer by repeatedly using a first semiconductor manufacturing apparatus group arranged along a wafer process;
(B) a second production line for forming a circuit on the wafer by repeatedly using the second semiconductor production equipment group arranged along the wafer process,
The first semiconductor manufacturing apparatus group and the second semiconductor manufacturing apparatus group have a semiconductor manufacturing apparatus shared by each other,
The first semiconductor manufacturing apparatus group and the second semiconductor manufacturing apparatus group are respectively a first processing apparatus group disposed along a repetitive processing unit of a substrate process in a wafer process and a repetitive processing unit of a wiring process in a wafer process. And a second processing unit group arranged along the line.
前記互いに共有する半導体製造装置へのロットの割り当ては、前記第1製造ラインの優先度と前記第2製造ラインの優先度に基づいて決定する請求項1記載の半導体製造システム。   2. The semiconductor manufacturing system according to claim 1, wherein allocation of lots to the semiconductor manufacturing apparatuses shared with each other is determined based on a priority of the first manufacturing line and a priority of the second manufacturing line. 前記第1製造ラインの優先度および前記第2製造ラインの優先度は、予め割り当てられる初期割り当て配分枠に対する残割り当て配分枠の割合により決定される請求項3記載の半導体製造システム。   4. The semiconductor manufacturing system according to claim 3, wherein the priority of the first production line and the priority of the second production line are determined by a ratio of a remaining allocation distribution frame to an initial allocation distribution frame allocated in advance. 前記第1製造ラインの前記残割り当て配分枠は、前記互いに共有する半導体製造装置において前記第1製造ラインのロットが処理されると、処理されたロットの枚数分だけ前記第1製造ラインの残割り当て配分枠を減じる請求項4記載の半導体製造システム。   When the lots of the first production line are processed in the semiconductor manufacturing apparatuses shared with each other, the remaining allocation allocation frame of the first production line is the remaining allocation of the first production line by the number of processed lots. The semiconductor manufacturing system according to claim 4, wherein the allocation frame is reduced.
JP2005080859A 2005-03-22 2005-03-22 Semiconductor manufacturing system Pending JP2006269449A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2005080859A JP2006269449A (en) 2005-03-22 2005-03-22 Semiconductor manufacturing system

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2005080859A JP2006269449A (en) 2005-03-22 2005-03-22 Semiconductor manufacturing system

Publications (1)

Publication Number Publication Date
JP2006269449A true JP2006269449A (en) 2006-10-05

Family

ID=37205138

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005080859A Pending JP2006269449A (en) 2005-03-22 2005-03-22 Semiconductor manufacturing system

Country Status (1)

Country Link
JP (1) JP2006269449A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2008062515A1 (en) * 2006-11-21 2008-05-29 Systemv Management Inc., Semiconductor manufacturing system

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2008062515A1 (en) * 2006-11-21 2008-05-29 Systemv Management Inc., Semiconductor manufacturing system
JP5075835B2 (en) * 2006-11-21 2012-11-21 株式会社システムブイマネジメント Semiconductor manufacturing system

Similar Documents

Publication Publication Date Title
US7289867B1 (en) Automated integrated circuit device manufacturing facility using distributed control
US7534725B2 (en) Advanced process control for semiconductor processing
US8673783B2 (en) Metal conductor chemical mechanical polish
CN102683237B (en) Method for producing semiconductors and system of chemical mechanical polishing
Chien et al. A novel approach to hedge and compensate the critical dimension variation of the developed-and-etched circuit patterns for yield enhancement in semiconductor manufacturing
KR20160063289A (en) Method and priority system for inventory management in semiconductor manufacturing
TWI277149B (en) Manufacturing method of semiconductor device, automatic operation method of semiconductor device, automatic operation system, and automatic operation method of CMP apparatus
JP3866143B2 (en) Manufacturing method of semiconductor device
US7831324B2 (en) Method and system for randomizing wafers in a complex process line
JP2006269449A (en) Semiconductor manufacturing system
US20110218660A1 (en) Method and apparatus for automated fab control
KR100666355B1 (en) Semiconductor manufacturing equipment of multi-layer structure and method for processing of the same
Van Der Meulen Linear semiconductor manufacturing logistics and the impact on cycle time
Bonnin et al. Single-wafer/mini-batch approach for fast cycle time in advanced 300-mm fab
JP2005108883A (en) Operation ratio evaluating system and design system of semiconductor production line
Pazhani et al. A bi-criteria mixed integer linear programming model for load balancing and chemical saving in wafer cleaning processes: IE: Industrial engineering
TW200421481A (en) Method for managing semiconductor manufacturing equipment and system for managing semiconductor manufacturing line
JP2002026106A (en) Semiconductor device manufacturing equipment
JP2004337987A (en) Polishing device and substrate treatment device
JP2010258356A (en) Manufacturing method for semiconductor device
JP2005197521A (en) Semiconductor manufacturing system and work manufacturing system
JP2005267371A (en) Lot dispatch method and manufacturing method for semiconductor device
JP2001022426A (en) Transportation control system
JP2004087680A (en) Method for manufacturing semiconductor device
Yang 2002 SMTW semiconductor manufacturing technology workshop