JP2006138837A - Multifunction x-ray analysis system - Google Patents

Multifunction x-ray analysis system Download PDF

Info

Publication number
JP2006138837A
JP2006138837A JP2005274293A JP2005274293A JP2006138837A JP 2006138837 A JP2006138837 A JP 2006138837A JP 2005274293 A JP2005274293 A JP 2005274293A JP 2005274293 A JP2005274293 A JP 2005274293A JP 2006138837 A JP2006138837 A JP 2006138837A
Authority
JP
Japan
Prior art keywords
sample
ray
angle
rays
scattered
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2005274293A
Other languages
Japanese (ja)
Other versions
JP2006138837A5 (en
JP5031215B2 (en
Inventor
Boris Yokhin
ヨクヒン ボリス
Alexander Krokhmal
クロクーマル アレキサンダー
Tzachi Rafaeli
ラファエリ トザチ
Isaac Mazor
マゾル イサク
Amos Gvirtzman
ギヴァートズマン アモス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Jordan Valley Applied Radiation Ltd
Original Assignee
Jordan Valley Applied Radiation Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/946,426 external-priority patent/US7120228B2/en
Application filed by Jordan Valley Applied Radiation Ltd filed Critical Jordan Valley Applied Radiation Ltd
Publication of JP2006138837A publication Critical patent/JP2006138837A/en
Publication of JP2006138837A5 publication Critical patent/JP2006138837A5/ja
Application granted granted Critical
Publication of JP5031215B2 publication Critical patent/JP5031215B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/2055Analysing diffraction patterns
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01JMEASUREMENT OF INTENSITY, VELOCITY, SPECTRAL CONTENT, POLARISATION, PHASE OR PULSE CHARACTERISTICS OF INFRARED, VISIBLE OR ULTRAVIOLET LIGHT; COLORIMETRY; RADIATION PYROMETRY
    • G01J1/00Photometry, e.g. photographic exposure meter
    • G01J1/02Details
    • G01J1/04Optical or mechanical part supplementary adjustable parts
    • G01J1/0407Optical elements not provided otherwise, e.g. manifolds, windows, holograms, gratings
    • G01J1/0411Optical elements not provided otherwise, e.g. manifolds, windows, holograms, gratings using focussing or collimating elements, i.e. lenses or mirrors; Aberration correction
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N15/00Investigating characteristics of particles; Investigating permeability, pore-volume, or surface-area of porous materials
    • G01N15/08Investigating permeability, pore-volume, or surface area of porous materials
    • G01N15/0806Details, e.g. sample holders, mounting samples for testing
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/20075Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials by measuring interferences of X-rays, e.g. Borrmann effect

Abstract

<P>PROBLEM TO BE SOLVED: To provide an apparatus capable of easily performing measurement of small angle scattering, X-ray diffraction, or reflectance by use of one apparatus. <P>SOLUTION: The apparatus for analysis of a sample includes a radiation source, which is adapted to direct a converging beam of X-rays toward a surface of the sample and to direct a second collimated beam of the X-rays toward the surface of the sample. A motion assembly moves the radiation source between a first source position, in which the X-rays are directed toward the surface of the sample at a grazing angle, and a second source position, in which the X-rays are directed toward the surface in a vicinity of a Bragg angle of the sample. A detector assembly senses the X-rays scattered from the sample as a function of angle while the radiation source is in either of the first and second source configurations and in either of the first and second source positions. A signal processing part receives and processes output signals from the detector assembly so as to determine a characteristic of the sample. <P>COPYRIGHT: (C)2006,JPO&NCIPI

Description

本発明は、一般に分析機器に関し、具体的には、X線を用いた材料分析のための機器および方法に関する。   The present invention relates generally to analytical instruments, and specifically to instruments and methods for material analysis using X-rays.

X線反射率測定(XRR)は、基板上に蒸着された薄膜層の膜厚、密度および表面品質を測定するための、周知の技術である。こうした反射率計では、通常、X線を試料物質の全反射角近傍のかすめ角で、すなわち試料表面に対して小角度で、試料に照射して動作する。試料から反射されたX線強度を角度の関数として測定することによって、干渉縞のパターンが得られ、これを分析して、干渉縞パターンの作成に関与する膜層の特性を判定する。XRRの代表的なシステムおよび方法が、米国特許第5,619,548号、同第5,923,720号、同6,512,814号、同6,639,968号、および同6,771,735号に記載されており、これらの開示を参考として本明細書に組み込む。   X-ray reflectometry (XRR) is a well-known technique for measuring the film thickness, density and surface quality of a thin film layer deposited on a substrate. Such a reflectometer normally operates by irradiating the sample with X-rays at a grazing angle near the total reflection angle of the sample material, that is, at a small angle with respect to the sample surface. By measuring the X-ray intensity reflected from the sample as a function of angle, an interference fringe pattern is obtained and analyzed to determine the characteristics of the film layer involved in creating the interference fringe pattern. Exemplary XRR systems and methods are described in US Pat. Nos. 5,619,548, 5,923,720, 6,512,814, 6,639,968, and 6,771. , 735, the disclosures of which are incorporated herein by reference.

X線小角散乱(SAXS)は、表面層の特性を評価するための別の方法である。これは、例えば、Parrillらの「GISAXS−反射X線小角散乱(GISAXS−Glancing Incidence Small Angle X−ray Scattering)」(Journal de Physique IV 3、1993年12月、411−417頁)に記載されており、これを参考として本明細書に組み込む。この方法では、入射X線ビームは表面で全反射する。表面領域内のエバネッセント波は、領域内の微小構造で散乱する。散乱したエバネッセント波を測定することで、その構造に関する情報が得られる。例えば、このようにSAXSを使用することによって、シリコンウェハ上に形成された低誘電率の誘電体の表面層にある空孔の特性を、測定することができる。
米国特許第5,619,548号 米国特許第5,923,720号 米国特許第6,512,814号 米国特許第6,639,968号 米国特許第6,771,735号 米国特許第6,895,075号 米国特許第6,381,303号 米国特許第6,389,102号 米国特許出願第11/000,044号 米国特許公開第2004/0109531A1号 米国特許公開第2004/0131151A1号 米国特許第6,643,354号 米国特許出願第10/902,177号 米国特許公開第2001/0043668A1号 Parrillら著「GISAXS−反射X線小角散乱(GISAXS−Glancing Incidence Small Angle X−ray Scattering)」(Journal de Physique IV 3、1993年12月、411−417頁) Bowenら著「回折および反射率によるX線測定学(X−Ray metrology by Diffraction and Reflectivity)」(Characterization and Metrology for ULSI Technology, 2000 International Conference,American Institute of Physics, 2001) Goorskyら著、「微小焦点X線管を用いた面内モザイクのかすめ角入射面内回折測定(Grazing Incidence In−plane Diffraction Measurement of In−plane Mosaic with Microfocus X−ray Tubes)」(Crystal Research and Technology,37:7(2002),645−653頁) Kozaczekら著「200mmプロセス品質と安定性の評価のためのX線回折測定学(X−ray Diffraction Metrology for 200 mm Process Qualification and Stability Assessment)」(Advanced Metallization Conference、カナダ、モントリオール、2001年10月8〜11日)
X-ray small angle scattering (SAXS) is another method for evaluating surface layer properties. This is described, for example, in Parrill et al., “GISAXS-Granching Incidence Small Angle X-ray Scattering” (Journal de Physique IV 3, December 1993, pages 411-417). Which is incorporated herein by reference. In this method, the incident X-ray beam is totally reflected at the surface. Evanescent waves in the surface region are scattered by the microstructure in the region. Information on the structure can be obtained by measuring the scattered evanescent wave. For example, by using SAXS in this way, the characteristics of vacancies in the surface layer of a low dielectric constant dielectric formed on a silicon wafer can be measured.
US Pat. No. 5,619,548 US Pat. No. 5,923,720 US Pat. No. 6,512,814 US Pat. No. 6,639,968 US Pat. No. 6,771,735 US Pat. No. 6,895,075 US Pat. No. 6,381,303 US Pat. No. 6,389,102 US Patent Application No. 11 / 000,044 US Patent Publication No. 2004 / 0109531A1 US Patent Publication No. 2004/0131151 A1 US Pat. No. 6,643,354 US patent application Ser. No. 10 / 902,177 US Patent Publication No. 2001 / 0043668A1 Parrill et al., “GISAXS-Granching Incidence Small X-ray Scattering” (Journal de Physiique IV 3, December 1993, 411-417). Bowen et al., “X-Ray metrology by Diffraction and Reflectivity” (Characterization and Metrology for ULSI Technology, 2000 International Technology, 2000 International). Goorsky et al., “Grazing Incidence In-plane Diffusion Measurement of In-plane Moisture Micro-Train Refocus”. 37: 7 (2002), pages 645-653) Kozaczek et al., “X-ray Diffraction Metrology for 200 mm Process Qualification and Stableness Assessment, Canada 10th Month, Advanced Metallology, 10th Annual Metallurgy, 10th Annual Metallization. ~ 11 days)

その開示が参考として本明細書に組み込まれる米国特許第6,895,075号は、XRRとSAXSを組み合わせて試料の測定を行う方法およびシステムを説明している。XRRとSAXSは、それらによって得られる情報に関しては補完的であるものの、単一のシステムを用いて両方の測定を行うには、内在的な困難がある。試料の照射の観点では、SAXSで精密な測定を行うためには、平行ビームを使用するのが有利である。一方、XRRでは、複数の角度範囲での反射率測定を同時に行えるように、収束角の大きな収束ビームを使用するのが有利な場合がある。米国特許第6,895,075号に開示される実施形態では、X線検査装置は、試料表面の狭い範囲に放射線を照射するように構成された、放射線源を備えている。X線光学素子によって、放射ビームの角度幅および高さを、XRRまたはSAXSに適切なように調整する。   US Pat. No. 6,895,075, the disclosure of which is incorporated herein by reference, describes a method and system for measuring samples using a combination of XRR and SAXS. Although XRR and SAXS are complementary in terms of the information they obtain, there are inherent difficulties in making both measurements using a single system. From the viewpoint of irradiating the sample, it is advantageous to use a parallel beam in order to perform precise measurement with SAXS. On the other hand, in XRR, it may be advantageous to use a convergent beam having a large convergence angle so that reflectance measurements in a plurality of angular ranges can be performed simultaneously. In the embodiment disclosed in US Pat. No. 6,895,075, the x-ray inspection apparatus includes a radiation source configured to irradiate a narrow area of the sample surface. X-ray optics adjust the angular width and height of the radiation beam as appropriate for XRR or SAXS.

検出に関しては、SAXSは通常、試料の表面内での散乱を方位の関数として観察し、一方XRRは、表面に垂直な反射X線を仰角の関数として測定することを基盤としている。米国特許第6,895,075号に記載の実施形態では、検出アセンブリは、照射領域から反射または散乱した放射光を受けるように配置された検出素子のアレイを備えている。このアレイは、2つの動作構成を有しており、そのうちの一方では、アレイの素子が、試料平面に垂直な軸に沿って放射光を分解し、他方では、素子が平面に平行な軸に沿って放射光を分解する。実行する測定の種類によって、機械的または電子的に、適切な構成が選択される。 With respect to detection, SAXS typically observes scattering within the surface of a sample as a function of orientation, while XRR is based on measuring reflected X-rays perpendicular to the surface as a function of elevation. In the embodiment described in US Pat. No. 6,895,075, the detection assembly comprises an array of detection elements arranged to receive radiation reflected or scattered from the illuminated area. The array has two operational configurations, one of which the elements of the array decompose the emitted light along an axis perpendicular to the sample plane, and the other, the elements are on an axis parallel to the plane. Along the way, decompose the emitted light. Depending on the type of measurement to be performed, an appropriate configuration is selected mechanically or electronically.

X線回折法(XRD)は、物質の結晶構造を観察するための周知の技術である。XRDでは、試料に単色X線ビームを照射し、回折ピークの位置と強度が測定される。観察される試料に特徴的な散乱角および散乱光強度は、その試料の格子面とその面を占める原子によって決まる。所与の波長λおよび格子面間隔dに対して、ブラッグ条件nλ=2dsinθ(nは散乱次数)を満たす角度θでX線ビームが格子面に入射したときに、回折ピークが観測される。ブラッグ条件を満たす角度θは、ブラッグ角として知られている。応力、固溶体、または他の影響による格子面の歪みは、目に見える変化としてXRDスペクトルに現れる。 X-ray diffraction (XRD) is a well-known technique for observing the crystal structure of a substance. In XRD, a sample is irradiated with a monochromatic X-ray beam, and the position and intensity of a diffraction peak are measured. The scattering angle and scattered light intensity characteristic of the sample to be observed are determined by the lattice plane of the sample and the atoms occupying the plane. A diffraction peak is observed when an X-ray beam is incident on the grating plane at an angle θ that satisfies the Bragg condition nλ = 2d sin θ (n is the scattering order) for a given wavelength λ and grating plane spacing d. The angle θ that satisfies the Bragg condition is known as the Bragg angle. Lattice distortion due to stress, solid solution, or other effects appears in the XRD spectrum as a visible change.

XRDは、特に、半導体ウェハ上に形成された結晶層の特性を測定するのに使用されてきた。例えば、Bowenらは、「回折および反射率によるX線測定学(X−Ray metrology by Diffraction and Reflectivity)」(Characterization and Metrology for ULSI Technology, 2000 International Conference,American Institute of Physics, 2001)で、高分解能XRDを用いてSiGe構造内のゲルマニウム濃度を測定する方法を説明しており、これを参考として本明細書に組み込む。 XRD has been used in particular to measure the properties of crystalline layers formed on semiconductor wafers. For example, Bowen et al., “X-Ray metrology by Diffraction and Reflectivity,” and “Characterization and Metrology for Biotechnology, 2000 International Technology, 2000 International Technology, 2000 International Technology.” A method for measuring germanium concentration in a SiGe structure using XRD is described and is incorporated herein by reference.

XRDはまた、かすめ角での入射で試料表面の構造を観察するために使用されてもよい。例えば、Goorskyらは、「微小焦点X線管を用いた面内モザイクのかすめ角入射面内回折測定(Grazing Incidence In−plane Diffraction Measurement of In−plane Mosaic with Microfocus X−ray Tubes)」(Crystal Research and Technology,37:7(2002),645−653頁)で、半導体ウェハのエピタキシャル層構造を分析するためにかすめ角入射XRDを用いることを説明しており、これを参考として本明細書に組み込む。この著者らは、超薄表面と埋込み半導体層の面内格子のパラメータと格子の配向を測定する方法を適用している。 XRD may also be used to observe the structure of the sample surface with incidence at a grazing angle. For example, Goorsky et al., “Grazing Incidence In-plane Diffraction Measurement of In-plane Mosaic MicroRefocus (X-ray)”. and Technology, 37: 7 (2002), pages 645-653) describe the use of grazing incidence XRD to analyze the epitaxial layer structure of a semiconductor wafer, which is incorporated herein by reference. . The authors apply a method to measure the in-plane lattice parameters and lattice orientation of ultra-thin surfaces and buried semiconductor layers.

本特許出願および請求項との関連において、用語「散乱」および「散乱する」は、試料にX線を照射することによって試料からX線が放射される、あらゆるすべてのプロセスを指すのに用いられる。したがって、これに関連して、「散乱する」は、XRR、XRDおよびSAXSにおける現象、ならびに蛍光X線(XRF)などの当該分野において既知の他の散乱現象を包含する。一方、SAXSと略される「X線小角散乱」という特定の用語は、上述したような、試料平面でのかすめ角散乱という特有の現象を指す。 In the context of this patent application and claims, the terms “scattering” and “scattering” are used to refer to any process in which X-rays are emitted from a sample by irradiating the sample with X-rays. . Thus, in this context, “scattering” encompasses phenomena in XRR, XRD and SAXS, as well as other scattering phenomena known in the art such as X-ray fluorescence (XRF). On the other hand, the specific term “X-ray small angle scattering”, abbreviated as SAXS, refers to a unique phenomenon called grazing angle scattering on the sample plane as described above.

上述の米国特許出願第10/946,426号は、高速XRRおよびXRDに基づいた試料分析システムを説明している。放射源により、X線収束ビームが半導体ウェハなどの試料表面に向けられる。検出素子アレイは、複数の仰角範囲にわたって同時に、試料から散乱したX線を仰角の関数として感知する。システムは、XRRおよびXRDの構成を有している。XRRの構成では、放射源および検出素子アレイは、アレイが試料表面からかすめ角で反射したX線を感知するように配置される。XRDの構成では、放射源および検出素子アレイは、アレイがブラッグ角近傍で試料表面から回折したX線を感知するように配置される。動作アセンブリは、XRRおよびXRDの構成間で、放射源および検出素子アレイをシフトさせるように設けられてもよい。 The aforementioned US patent application Ser. No. 10 / 946,426 describes a sample analysis system based on high speed XRR and XRD. The radiation source directs an X-ray focused beam to the surface of a sample such as a semiconductor wafer. The detection element array senses X-rays scattered from the sample simultaneously as a function of the elevation angle over a plurality of elevation angle ranges. The system has XRR and XRD configurations. In the XRR configuration, the radiation source and detector element array are arranged such that the array senses x-rays reflected at a grazing angle from the sample surface. In the XRD configuration, the radiation source and detector element array are arranged such that the array senses X-rays diffracted from the sample surface near the Bragg angle. An operating assembly may be provided to shift the radiation source and detector element array between XRR and XRD configurations.

本発明のいくつかの実施形態は、さらに一歩進んだ複合システムを採用しているため、SAXS測定能力も提供される。そのため、放射源と関連付けられたX線光学素子は、収束ビームまたは平行ビームのいずれかを生成するように構成できる。収束ビームは、XRRおよび高分解能XRD測定に用いられ、検出素子アレイは試料平面に垂直な軸に沿って散乱した放射光を分解するように配置される。平行ビームは、高速低分解能XRDならびにSAXS測定を実施するのに用いることができる。SAXSのためには、検出素子アレイは、試料平面に平行な軸に沿って散乱した放射光を分解するように配置される。 Some embodiments of the present invention employ a complex system that goes one step further, thus providing SAXS measurement capability. As such, the x-ray optical element associated with the radiation source can be configured to generate either a focused beam or a collimated beam. The focused beam is used for XRR and high resolution XRD measurements, and the detector element array is positioned to resolve scattered radiation along an axis perpendicular to the sample plane. The collimated beam can be used to perform high speed, low resolution XRD as well as SAXS measurements. For SAXS, the detector element array is arranged to resolve radiated light scattered along an axis parallel to the sample plane.

別の方法としてまたはこれに加えて、かすめ角XRD測定も実施できるように、システムを構成することもできる。 Alternatively or in addition, the system can be configured such that grazing angle XRD measurements can also be performed.

したがって、単一のX線源を使用して、所与の試料に対する異なる(および補完的な)X線散乱測定を実施することができる。こうした複合性能は、薄膜層の密度、膜厚、結晶構造、孔隙率、およびその他の性質を判定するための薄膜のX線測定学に、特に有用である。別の方法としてまたはこれに加えて、本発明の原理を、X線分析および測定学の他の分野に適用してもよい。さらに別の方法として、以下に記載する実施形態の態様は、必ずしも多機能性能を提供しない、SAXSなどの一種類の散乱測定に特化されたシステムに用いてもよい。 Thus, a single x-ray source can be used to perform different (and complementary) x-ray scatter measurements for a given sample. Such composite performance is particularly useful for thin film X-ray metrology to determine thin film layer density, film thickness, crystal structure, porosity, and other properties. Alternatively or in addition, the principles of the present invention may be applied to other fields of X-ray analysis and metrology. As yet another method, aspects of the embodiments described below may be used in a system specialized for one type of scatter measurement, such as SAXS, that does not necessarily provide multifunctional performance.

したがって、本発明の一実施形態にしたがって、
第1のX線収束ビームを試料表面に向け、第2のX線平行ビームを試料表面に向けるように構成された照射源と、
放射源を、X線が放射源から試料表面にかすめ角で向けられる第1の光源位置と、X線が試料のブラッグ角近傍で放射源から試料表面に向けられる第2の光源位置との間で移動させるように作動する動作アセンブリと、
放射源が第1および第2の光源構成のいずれか、ならびに第1および第2の光源位置のいずれかにあるときに、試料から散乱したX線を角度の関数として感知し、散乱したX線に応答して出力信号を生成するように構成された検出素子アセンブリと、
出力信号を受けて処理し、試料の特性を判定するように結合した信号処理部とを備える試料分析装置が提供される。
Thus, according to one embodiment of the present invention,
An illumination source configured to direct a first X-ray focused beam to the sample surface and a second X-ray parallel beam to the sample surface;
The radiation source is between a first light source position where X-rays are directed from the radiation source to the sample surface at a grazing angle and a second light source position where X-rays are directed from the radiation source to the sample surface in the vicinity of the Bragg angle of the sample. An actuating assembly that operates to move in
When the radiation source is in either of the first and second light source configurations, and in either of the first and second light source positions, the scattered X-ray is sensed as a function of angle, as detected from the sample. A sensing element assembly configured to generate an output signal in response to
A sample analyzer is provided comprising a signal processor coupled to receive and process the output signal and to determine the characteristics of the sample.

開示される実施形態では、放射源は、X線を放射するように機能するX線管と、X線を受けて集光し、収束ビームにするように構成された第1のミラーと、X線を受けて集光し、平行ビームにするように構成された第2のミラーとを包含する。一般に、第1および第2のミラーは、二重湾曲構造を包含する。   In the disclosed embodiment, the radiation source includes an X-ray tube that functions to emit X-rays, a first mirror configured to receive and collect X-rays into a focused beam, and X-rays And a second mirror configured to receive and collect the line into a collimated beam. In general, the first and second mirrors include a double curved structure.

いくつかの実施形態では、動作アセンブリは、検出素子アセンブリがかすめ角で試料から散乱したX線を感知する第1の検出素子仰角と、検出素子アセンブリがブラッグ角近傍で試料から散乱したX線を感知する第2の検出素子仰角との間で検出素子アセンブリを移動させるように機能する。動作アセンブリはまた、第1の検出素子仰角にある検出素子アセンブリを、検出素子アセンブリがX線の小角度散乱を感知する第1の方位角と、検出素子アセンブリが試料表面の面内構造から回折されたX線を感知する第2のより大きな方位角との間で移動させるように機能してもよい。   In some embodiments, the motion assembly includes a first detection element elevation angle at which the detection element assembly senses X-rays scattered from the sample at a grazing angle, and an X-ray scattered from the sample by the detection element assembly near a Bragg angle. It functions to move the sensing element assembly between a sensing second sensing element elevation angle. The motion assembly also diffracts the detector element assembly at a first detector element elevation angle, a first azimuth angle at which the detector element assembly senses small angle scattering of X-rays, and the detector element assembly diffracts from the in-plane structure of the sample surface. It may function to move between a second larger azimuth angle that senses the emitted X-rays.

開示される一実施形態において、検出素子アセンブリは、散乱したX線を試料表面に垂直な第1の軸に沿って分解する第1の検出素子構成と、散乱したX線を試料に平行な第2の軸に沿って分解する第2の検出素子構成とを有する、検出素子アレイを包含する。一般に、信号処理部は、第1の検出素子構成にある検出素子アセンブリからの出力信号を処理して、表面の反射率を表面に対する仰角の関数として判定し、第2の検出素子構成にある検出素子アセンブリからの出力信号を処理して、表面の散乱特性を試料面内の方位角の関数として判定するように構成される。   In one disclosed embodiment, the detector element assembly includes a first detector element configuration for resolving scattered X-rays along a first axis perpendicular to the sample surface, and a first X-ray element parallel to the sample. A detector element array having a second detector element configuration that resolves along two axes. In general, the signal processing unit processes the output signal from the detection element assembly in the first detection element configuration to determine the reflectance of the surface as a function of the elevation angle relative to the surface, and the detection in the second detection element configuration The output signal from the element assembly is processed to determine the scattering properties of the surface as a function of the azimuth angle in the sample plane.

いくつかの実施形態では、信号処理部は、放射源が第1のビームを放射し、また第1の光源位置にあるときに、検出素子アセンブリからの出力信号を処理して表面のX線反射率(XRR)スペクトルを獲得し、放射源が第2のビームを放射し、また第1の光源位置にあるときに、検出素子アセンブリからの出力信号を処理して、表面のX線小角散乱(SAXS)スペクトルおよび小角X線回折(XRD)スペクトルの少なくとも一つを獲得し、ならびに、放射源が第2の光源位置にあるときに、検出素子アセンブリからの出力信号を処理して表面の高角度XRDスペクトルを獲得するように構成される。   In some embodiments, the signal processor processes the output signal from the detector element assembly when the radiation source emits the first beam and is at the first light source position to provide a surface x-ray reflection. A rate (XRR) spectrum is acquired, and when the radiation source emits a second beam and is in the first light source position, the output signal from the detector element assembly is processed to produce a small angle X-ray scattering ( Acquiring at least one of a SAXS) spectrum and a small angle X-ray diffraction (XRD) spectrum, and processing the output signal from the detector element assembly when the radiation source is at the second light source position to obtain a high angle of the surface Configured to acquire an XRD spectrum.

一実施形態では、信号処理部は、放射源が第2の光源位置にあって第1のビームを放射するときに、高分解能XRDスペクトルを獲得し、放射源が第2の光源位置にあって第2のビームを放射するときに、低分解能XRDスペクトルを獲得するように構成される。一般に、動作センサは、高分解能XRDスペクトルを獲得するために、検出素子アセンブリを試料表面からの第1距離に配置し、低分解能XRDスペクトルを獲得するために、検出素子アセンブリを試料表面からの第1距離よりも小さい第2距離に配置するように、構成される。   In one embodiment, the signal processor obtains a high resolution XRD spectrum when the radiation source is at the second light source position and emits the first beam, and the radiation source is at the second light source position. It is configured to acquire a low resolution XRD spectrum when emitting the second beam. In general, the motion sensor places the detector assembly at a first distance from the sample surface to obtain a high resolution XRD spectrum and moves the detector assembly from the sample surface to obtain a low resolution XRD spectrum. It arrange | positions so that it may arrange | position to the 2nd distance smaller than 1 distance.

別の方法としてまたはこれに加えて、試料が少なくとも1つの表面層を包含するとき、信号処理部は、XRR、SAXSおよびXRDスペクトルの2つ以上を分析して、少なくとも1つの表面層の特性を判定するように構成されてもよい。一般に、特性には、膜厚、密度、表面品質、空隙率、および結晶構造が挙げられる。   Alternatively or additionally, when the sample includes at least one surface layer, the signal processor analyzes two or more of the XRR, SAXS and XRD spectra to determine the characteristics of the at least one surface layer. It may be configured to determine. In general, properties include film thickness, density, surface quality, porosity, and crystal structure.

開示される一実施形態では、装置は、試料表面に平行にかつ選択領域に近接して配置されて、表面とナイフエッジとの間に空隙を画定し、空隙を通過しないビームの一部分を遮断するナイフエッジを包含する。装置はまた、対象とする角度範囲内に散乱するX線を遮断することなく、空隙を通過してさらにビーム軸に沿って伝播するX線を遮断する、ビーム遮断部を包含してもよい。   In one disclosed embodiment, the apparatus is positioned parallel to the sample surface and proximate to the selected region to define a gap between the surface and the knife edge and block a portion of the beam that does not pass through the gap. Includes knife edge. The apparatus may also include a beam blocker that blocks X-rays that travel through the air gap and further propagate along the beam axis without blocking X-rays scattered within the angular range of interest.

また、本発明の一実施形態にしたがって、
X線平行ビームを、ビーム軸に沿ってかすめ角で試料の選択領域に向け、X線の一部が方位角範囲全体の領域から散乱するように機能する照射源と、
試料表面に平行に、かつ選択領域に近接して配置されて、表面とナイフエッジとの間に空隙を画定し、空隙を通過しないビームの部分を遮断するナイフエッジと、
方位角範囲の少なくとも一部分に散乱したX線を遮断することなく、空隙を通過してさらにビーム軸に沿って伝播するX線を遮断するように構成されたビーム遮断部と、
散乱したX線を方位角の関数として感知し、散乱したX線に応答して出力信号を生成するように構成された検出素子アセンブリと、
出力信号を受けて処理し、試料特性を判定するように結合された信号処理部とを備える試料分析装置が提供される。
Also, according to one embodiment of the present invention,
An irradiation source that functions to direct a parallel X-ray beam toward a selected region of the sample at a grazing angle along the beam axis and to scatter a portion of the X-ray from the entire azimuthal range;
A knife edge, positioned parallel to the sample surface and close to the selected area, defining a gap between the surface and the knife edge and blocking a portion of the beam that does not pass through the gap;
A beam blocker configured to block X-rays that pass through the air gap and further propagate along the beam axis without blocking X-rays scattered in at least a portion of the azimuthal range;
A sensing element assembly configured to sense scattered x-rays as a function of azimuth and to generate an output signal in response to the scattered x-rays;
A sample analyzer is provided that includes a signal processor coupled to receive and process the output signal and to determine sample characteristics.

開示される実施形態では、装置は、試料表面に垂直であって、放射源およびナイフエッジの間に配置されて、散乱したX線を遮断しながら平行ビームの少なくとも一部分を通過させる、少なくとも1つのスリットを包含する。少なくとも1つのスリットは、放射現に近接して配置された第1のスリットと、ナイフエッジに近接して配置された第2のスリットとを包含してもよい。   In the disclosed embodiment, the apparatus is at least one perpendicular to the sample surface and disposed between the radiation source and the knife edge to pass at least a portion of the parallel beam while blocking scattered x-rays. Includes a slit. The at least one slit may include a first slit disposed proximate to the radiation and a second slit disposed proximate to the knife edge.

別の方法としてまたはこれに加えて、検出素子アセンブリは、アレイ長さ、ならびに少なくともアレイ長さに等しい距離離間した前面および背面をもつ排気可能な筐体を有する検出素子のアレイを包含し、アレイは筐体の背面に配置され、筐体は、その前面に窓を包含して、放射光がそれを通過してアレイに衝突するように構成される。   Alternatively or in addition, the sensing element assembly includes an array of sensing elements having an array length and an exhaustable housing having a front surface and a back surface that are spaced a distance equal to at least the array length. Is disposed on the back of the housing, and the housing includes a window in front of the housing and is configured such that the emitted light passes through it and strikes the array.

さらに、本発明の一実施形態にしたがって、
X線ビームを試料の選択領域に向け、X線の一部が領域から散乱するように機能する照射源と、
試料表面に平行に、かつ選択領域に近接して配置されて、表面とシリンダとの間に空隙を画定し、空隙を通過しないビームの部分を遮断する、X線吸収材料のシリンダを包含するナイフエッジと、
散乱したX線を角度の関数として感知し、散乱したX線に応答して出力信号を生成するように構成された検出素子アセンブリと、
出力信号を受けて処理し、試料特性を判定するように結合された信号処理部とを備える試料分析装置が提供される。
Furthermore, according to one embodiment of the present invention,
An irradiation source that functions to direct an X-ray beam to a selected region of the sample and to scatter a portion of the X-ray from the region;
A knife that includes a cylinder of X-ray absorbing material that is positioned parallel to the sample surface and in close proximity to the selected region to define a gap between the surface and the cylinder and block portions of the beam that do not pass through the gap. Edge,
A sensing element assembly configured to sense scattered x-rays as a function of angle and to generate an output signal in response to the scattered x-rays;
A sample analyzer is provided that includes a signal processor coupled to receive and process the output signal and to determine sample characteristics.

開示される実施形態では、X線吸収材料のシリンダは金属線を包含する。   In the disclosed embodiment, the cylinder of X-ray absorbing material includes a metal wire.

さらにまた、本発明の一実施形態にしたがって、
分析中に試料を支持し、配向を調整する取付アセンブリ、
X線平行ビームを試料表面上の選択領域に向け、X線の一部が方位角範囲全体の領域から散乱するように機能する照射源と、
散乱したX線を方位角の関数として感知し、散乱したX線に応答して出力信号を生成するように構成した検出素子アセンブリと、
特徴的な表面の傾き角を示す傾きマップを受け入れ、傾きマップに基づいて選択領域の傾き角を判定し、推定傾き角に応答して取付アセンブリを方向付けて試料の配向を調整するように機能する信号処理部であって、配向を調整した後に、出力信号を処理して試料の特性を判定する、信号処理部とを備える試料分析装置が提供される。
Furthermore, according to one embodiment of the present invention,
A mounting assembly that supports the sample and adjusts the orientation during the analysis,
An irradiation source that functions to direct an X-ray parallel beam to a selected region on the sample surface and to scatter a portion of the X-ray from the entire azimuthal range;
A sensing element assembly configured to sense scattered X-rays as a function of azimuth and to generate an output signal in response to the scattered X-rays;
Capability to accept tilt map showing characteristic surface tilt angle, determine tilt angle of selected area based on tilt map, and direct mounting assembly in response to estimated tilt angle to adjust sample orientation There is provided a sample analysis apparatus including a signal processing unit that includes a signal processing unit that determines the characteristics of the sample by processing the output signal after adjusting the orientation.

通常、照射源は、X線の収束ビームを試料の複数の位置それぞれに向けるように機能し、検出素子アセンブリは、表面から反射したX線を表面に対する仰角の関数として感知するように機能し、信号処理部は、反射したX線に応答して各位置のX線反射率(XRR)スペクトルを測定し、XRRスペクトルに基づいて各位置の傾き角を判定するように機能する。   Typically, the illumination source functions to direct a focused beam of x-rays to each of a plurality of locations on the sample, and the detector element assembly functions to sense x-rays reflected from the surface as a function of elevation relative to the surface; The signal processing unit functions to measure an X-ray reflectivity (XRR) spectrum at each position in response to the reflected X-ray, and to determine an inclination angle at each position based on the XRR spectrum.

またさらに、本発明の一実施形態にしたがって、
第1のX線収束ビームを試料表面に向け、第2のX線平行ビームを試料表面に向けるように構成された照射源を操作し、
放射源を、X線が放射源から試料表面にかすめ角で向けられる第1の光源位置と、X線が試料のブラッグ角近傍で放射源から試料表面に向けられる第2の光源位置との間で移動させ、
放射源が第1および第2の光源構成の両方、ならびに第1および第2の光源位置の両方にあるときに、試料から散乱したX線を角度の関数として感知して、試料特性を判定することを含む、試料分析方法が提供される。
Still further, according to one embodiment of the present invention,
Operating an irradiation source configured to direct a first X-ray focused beam to the sample surface and a second X-ray parallel beam to the sample surface;
The radiation source is between a first light source position where X-rays are directed from the radiation source to the sample surface at a grazing angle and a second light source position where X-rays are directed from the radiation source to the sample surface in the vicinity of the Bragg angle of the sample. Move it with
X-rays scattered from the sample are sensed as a function of angle to determine sample characteristics when the radiation source is in both the first and second light source configurations and in both the first and second light source positions. A sample analysis method is provided.

さらに、本発明の一実施形態にしたがって、
X線平行ビームを、ビーム軸に沿ってかすめ角で試料の選択領域に向け、X線の一部が方位角範囲全体の領域から散乱するようにし、
ナイフエッジを試料表面に平行に、かつ選択領域に近接して配置して、表面とナイフエッジとの間に空隙を画定し、空隙を通過しないビームの部分を遮断し、
方位角範囲の少なくとも一部分に散乱したX線を遮断することなく、空隙を通過してさらにビーム軸に沿って伝播するX線を遮断するようにビーム遮断部を配置し、
散乱したX線を方位角の関数として感知して、試料の特性を判定することを含む、試料分析方法が提供される。
Furthermore, according to one embodiment of the present invention,
Directing an X-ray parallel beam at a grazing angle along the beam axis to a selected area of the sample such that a portion of the X-ray scatters from the entire azimuthal range;
A knife edge is placed parallel to the sample surface and close to the selected area to define a gap between the surface and the knife edge, blocking the portion of the beam that does not pass through the gap,
A beam blocking part is arranged to block X-rays that pass through the air gap and propagate along the beam axis without blocking X-rays scattered in at least a part of the azimuth angle range;
A sample analysis method is provided that includes sensing scattered X-rays as a function of azimuth to determine sample characteristics.

さらにまた、本発明の一実施形態にしたがって、
X線ビームを、試料の選択領域に向け、X線の一部が領域から散乱するようにし、
X線吸収材料のシリンダを、試料表面に平行に、かつ選択領域に近接して配置して、表面とシリンダとの間に空隙を画定し、空隙を通過しないビームの部分を遮断し、
散乱したX線を角度の関数として感知して、試料の特性を判定することを含む、試料分析方法が提供される。
Furthermore, according to one embodiment of the present invention,
Direct the x-ray beam to a selected area of the sample so that part of the x-ray is scattered from the area;
A cylinder of X-ray absorbing material is placed parallel to the sample surface and in close proximity to the selected area to define a gap between the surface and the cylinder, blocking a portion of the beam that does not pass through the gap;
A sample analysis method is provided that includes sensing scattered X-rays as a function of angle to determine sample characteristics.

さらになお、本発明の一実施形態にしたがって、
試料の傾きマップを生成し、
X線平行ビームを、ビーム軸に沿ってかすめ角で試料の選択領域に向け、X線の一部が方位角範囲全体の領域から散乱するようにし、
傾きマップに基づいて、選択領域の傾き角を判定し、
試料の配向を調整して傾き角を補償し、
配向を調整した後、散乱したX線を方位角の関数として感知して、試料の特性を判定することを含む、試料分析方法が提供される。本発明は、以下の実施形態の詳細な説明を図面と併せて読むことで、より十分に理解されよう。
Furthermore, according to one embodiment of the present invention,
Generate a sample tilt map,
Directing an X-ray parallel beam at a grazing angle along the beam axis to a selected area of the sample such that a portion of the X-ray scatters from the entire azimuthal range;
Based on the tilt map, determine the tilt angle of the selected area,
Adjust the sample orientation to compensate the tilt angle,
After adjusting the orientation, a sample analysis method is provided that includes sensing scattered X-rays as a function of azimuth to determine sample characteristics. The present invention will be more fully understood when the following detailed description of the embodiments is read in conjunction with the drawings.

図1は、本発明の一実施形態にしたがった、試料22からのX線の散乱を測定し分析するためのシステム20の概略側面図である。システム20は、X線反射率測定(XRR)、X線小角散乱(SAXS)およびX線回折(XRD)を、高分解能モードおよび低分解能モードの両方で実施することができる。試料22は、試料の位置および配向を精密に調整できる、動作ステージ24などの取付アセンブリ上に載置される。X線源26は、試料22の小さな領域50を照射する。試料から散乱したX線は、検出素子アセンブリ32で収集される。   FIG. 1 is a schematic side view of a system 20 for measuring and analyzing X-ray scattering from a sample 22 in accordance with one embodiment of the present invention. The system 20 can perform X-ray reflectometry (XRR), X-ray small angle scattering (SAXS) and X-ray diffraction (XRD) in both high and low resolution modes. The sample 22 is mounted on a mounting assembly, such as an operating stage 24, that allows the position and orientation of the sample to be precisely adjusted. The X-ray source 26 irradiates a small area 50 of the sample 22. X-rays scattered from the sample are collected by the detection element assembly 32.

光源動作アセンブリ28は、照射源26を、以下に説明するように、異なる種類の測定に対して上側光源位置と下側光源位置との間でシフトさせる。同様に、検出素子動作アセンブリ34は、検出素子アセンブリ32を、上側検出素子位置と下側検出素子位置との間で移動させる。以下により詳細に説明するように、光源アセンブリおよび検出素子アセンブリの下側位置は、一般にSRRとSAXS、さらに任意追加的に小角XRD(GIXRD)に使用され、一方、上側位置は高角XRDに使用される。GIXRDでは、以下に説明し図2に示すように、検出素子アセンブリが横方向にもシフトされる。   The light source operation assembly 28 shifts the illumination source 26 between an upper light source position and a lower light source position for different types of measurements, as described below. Similarly, the sensing element operation assembly 34 moves the sensing element assembly 32 between an upper sensing element position and a lower sensing element position. As described in more detail below, the lower position of the light source assembly and detector assembly is typically used for SRR and SAXS, and optionally additionally for small angle XRD (GIXRD), while the upper position is used for high angle XRD. The In GIXRD, the detection element assembly is also shifted laterally as described below and shown in FIG.

図1に示す例では、動作アセンブリは曲線軌道30および36を備え、これに沿って、光源アセンブリ26および検出素子アセンブリ32がそれぞれ、領域50からの一定の距離を維持しながら平行移動する。別の方法としてまたはこれに加えて、検出素子動作アセンブリ34は、検出素子アセンブリと領域50との距離を変更可能であってもよく、それによって検出の有効な捕捉角および角度分解能が変更される。光源動作アセンブリはまた、この種の軸方向動作(すなわち、軌道30および36によってもたらされる垂直横方向の動作に加えて、X線ビームの軸に沿った動作)が可能であってもよい。さらに別の方法としてまたはこれに加えて、検出素子動作アセンブリは、以下に説明するように、検出素子アセンブリを回転させる、および/または水平横方向に検出素子アセンブリをシフトさせることが可能であってもよい。   In the example shown in FIG. 1, the motion assembly includes curved trajectories 30 and 36 along which the light source assembly 26 and the detector element assembly 32 each translate while maintaining a constant distance from the region 50. Alternatively or in addition, the sensing element operating assembly 34 may be capable of changing the distance between the sensing element assembly and the region 50, thereby changing the effective capture angle and angular resolution of the detection. . The light source motion assembly may also be capable of this type of axial motion (ie, motion along the axis of the x-ray beam in addition to the vertical lateral motion provided by the trajectories 30 and 36). As a further alternative or in addition, the sensing element operating assembly may rotate the sensing element assembly and / or shift the sensing element assembly in a horizontal lateral direction as described below. Also good.

曲線軌道30および36は、システム20内で使用してもよい動作アセンブリの一例に過ぎず、このような目的に使用される他の好適なタイプの動作アセンブリが、当業者にとっては明白であろう。例えば、X線源および検出素子アセンブリが別個のプレート上に載置されて、傾けられ、引き上げられ、あるいは引き下げられて、図1に示す位置に置かれてもよい。このようなタイプのすべての動作アセンブリは、本発明の範囲内にあるものと見なされる。本特許出願および請求項で、さらなる詳細な説明なしに用語「動作アセンブリ」が用いられる場合、その用語が使用される文脈によって、光源動作アセンブリと検出素子動作アセンブリのいずれかまたは両方を指すものと解釈されるべきである。   Curved trajectories 30 and 36 are only examples of motion assemblies that may be used within system 20, and other suitable types of motion assemblies used for such purposes will be apparent to those skilled in the art. . For example, the x-ray source and detector element assembly may be mounted on separate plates, tilted, lifted or pulled down and placed in the position shown in FIG. All motion assemblies of this type are considered to be within the scope of the present invention. In this patent application and in the claims, where the term “motion assembly” is used without further detailed description, it refers to either or both of the light source motion assembly and the detector element motion assembly, depending on the context in which the term is used. Should be interpreted.

あるいは、複数のX線源および/または複数の検出素子アセンブリが、XRRおよびXRD測定に用いられてもよい。この場合、動作アセンブリが不要なこともある。さらに別の方法として、単一のX線管が下側位置と上側位置との間でシフトされてもよく、このとき各位置は、それぞれ固定の光学素子を有する。   Alternatively, multiple X-ray sources and / or multiple detector element assemblies may be used for XRR and XRD measurements. In this case, no motion assembly may be required. As a further alternative, a single x-ray tube may be shifted between a lower position and an upper position, each position having its own fixed optical element.

X線源26は、以下に図3を参照してより詳細に説明するように、収束X線ビームまたは平行ビームのいずれかを生成するように構成されてもよい。図1は、XRRおよび高分解能XRD測定に使用される収束ビームの構成を示し、図2は、SAXS、GIXRD、および従来のXRD測定(本明細書では、平行ビームを使用する高分解能XRDモードと区別して、「低分解能」XRDと称する)に使用される、収束ビームおよび平行ビーム両方の構成を示す。本特許出願および請求項の文脈において、ビームは、その開き(半値全幅−FWHM)が0.5°未満のときに「平行」と見なされる。この平行の度合いは、システム20で形成されるタイプのSAXSおよび低分解能XRD測定には十分であるが、平行度がより良好であれば(例えば、0.3°の開き)、一般により良い測定結果が得られる。
次の表は、システムの選択的な構成の概要を示す。
The x-ray source 26 may be configured to generate either a convergent x-ray beam or a collimated beam, as will be described in more detail below with reference to FIG. FIG. 1 shows the configuration of a focused beam used for XRR and high-resolution XRD measurements, and FIG. 2 shows SAXS, GIXRD, and conventional XRD measurements (here, high-resolution XRD mode using parallel beams) The configuration of both convergent and collimated beams used for distinction, referred to as “low resolution” XRD) is shown. In the context of this patent application and claims, a beam is considered “parallel” when its opening (full width at half maximum−FWHM) is less than 0.5 °. This degree of parallelism is sufficient for the type of SAXS and low resolution XRD measurements formed by the system 20, but generally better measurements if the parallelism is better (eg, 0.3 ° opening). Results are obtained.
The following table outlines the selective configuration of the system.

Figure 2006138837
Figure 2006138837

次にシステム20の詳細を参照すると、X線源26は通常、光源動作アセンブリ40に搭載されたX線管38を備える。管38は、一般に狭い放射領域を有しているので、試料22表面に精密に焦点を合わせることができる。例えば、管28は、オックスフォード・インストゥルメンツ(Oxford Instruments、カリフォルニア州スコットバレー)で製造されるXTF5011X線管を備える。システム20における反射率測定および散乱測定の標準的なX線エネルギーは、約8.05keV(CuKal)である。あるいは、5.4keV(CrKal)など、他のエネルギーを用いてもよい。   Referring now to the details of the system 20, the x-ray source 26 typically includes an x-ray tube 38 mounted on the light source operation assembly 40. Since the tube 38 generally has a narrow radiation area, it can be precisely focused on the surface of the sample 22. For example, tube 28 comprises an XTF 5011 x-ray tube manufactured by Oxford Instruments, Scott Valley, CA. The standard x-ray energy for reflectance and scatter measurements in system 20 is about 8.05 keV (CuKal). Alternatively, other energy such as 5.4 keV (CrKal) may be used.

図1に示すXRR構成では、集光光学素子42が、管38から放射されたビームを集光して収束ビーム44とし、これが領域50の焦点に収束する。一般に、光学素子42は二重湾曲結晶を備え、これもまた、ビーム44を単色化する。この目的でシステム20に用いられてもよい光学素子が、例えば、米国特許第6,381,303号に記載されており、その開示を参考として本明細書に組み込む。光学素子は、XOS社(XOS Inc.、ニューヨーク州アルバニー)で製造される二重湾曲集光結晶光学素子(Doubly−Bent Focusing Crystal Optic)などの、湾曲結晶モノクロメータを備えてもよい。他の好適な光学素子が、上述の米国特許第5,619,548号および第5,923,720号に記載されている。二重湾曲焦点結晶によって、ビーム44は水平方向および垂直方向の両方に収束し、領域50の一点にほぼ集光される。あるいは、シリンダ状の光学素子を用いてビーム34を集光し、ビームを試料表面上の一つの線に収束させてもよい。さらに可能な光学素子の構成は、当業者には明白であろう。   In the XRR configuration shown in FIG. 1, the condensing optical element 42 condenses the beam emitted from the tube 38 into a convergent beam 44 that converges to the focal point of the region 50. In general, the optical element 42 comprises a double curved crystal, which also monochromates the beam 44. Optical elements that may be used in system 20 for this purpose are described, for example, in US Pat. No. 6,381,303, the disclosure of which is incorporated herein by reference. The optical element may comprise a curved crystal monochromator, such as a Double-Bent Focusing Crystal Optic manufactured by XOS Inc. (XOS Inc., Albany, NY). Other suitable optical elements are described in the aforementioned US Pat. Nos. 5,619,548 and 5,923,720. Due to the double curved focus crystal, the beam 44 converges in both the horizontal and vertical directions and is almost focused at a point in the region 50. Alternatively, the beam 34 may be collected using a cylindrical optical element, and the beam may be converged to one line on the sample surface. Further possible optical element configurations will be apparent to those skilled in the art.

XRR測定では、収束ビーム44は、通常は約0°〜4.5°の入射角範囲全体にわたって、かすめ角で領域50に衝突するが、これより大きいまたは小さい角度も可能である。この構成において、検出素子アセンブリ32は、反射X線の発散ビーム52を垂直方向の角度範囲全体にわたって、約0°から少なくとも2°、一般には約3°までの仰角(Φ)の関数として収集する。この範囲は、全外反射Φに対する試料の臨界角より上および下の両方を包含する。(例示を明確にするため、図に示される角度範囲は誇張されており、XRR構成で試料22平面より上にある光源26および検出素子アセンブリ38の立ち上がりもやはり誇張されている。この図面およびそれに伴う説明を簡便かつ明確にするため、試料平面は任意にXY平面とされ、ここでY軸は、試料表面上に投射されるX線ビームの軸に平行である。Z軸は、試料平面に対して垂直な、鉛直方向である。) For XRR measurements, the focused beam 44 typically impinges on the region 50 at a grazing angle over the entire incident angle range of about 0 ° to 4.5 °, although larger or smaller angles are possible. In this configuration, the detector element assembly 32 collects the reflected X-ray divergent beam 52 as a function of elevation angle (Φ) from about 0 ° to at least 2 °, typically about 3 °, over the entire vertical angular range. . This range encompasses both above and below the critical angle of the sample for total external reflection Φ c . (For clarity of illustration, the angular range shown in the figure is exaggerated, and the rise of the light source 26 and detector element assembly 38 above the plane of the sample 22 in the XRR configuration is also exaggerated. In order to simplify and clarify the accompanying description, the sample plane is arbitrarily an XY plane, where the Y axis is parallel to the axis of the X-ray beam projected on the sample surface, and the Z axis is the sample plane. It is perpendicular to the vertical direction.)

動的なナイフエッジ48およびシャッター46が使用されて、X線入射ビーム44の角度範囲を垂直方向に(すなわち、試料22平面に垂直に)制限してもよい。これらのビーム制限光学素子をXRR構成で使用することは、上述の米国特許第6,512,814号に記載されている。ナイフエッジ48はまた、ビーム遮断部およびビーム制限スリット(図4に示されるが、簡略化のため図1では省略されている)とともに、SAXS構成における背景散乱を低減するために使用されてもよい。試料表面に対するナイフエッジおよびシャッターの高さは、行われる測定の種類、および対象となる測定角度の範囲に応じて調整可能である。   A dynamic knife edge 48 and shutter 46 may be used to limit the angular range of the x-ray incident beam 44 in the vertical direction (ie, perpendicular to the sample 22 plane). The use of these beam limiting optics in an XRR configuration is described in the aforementioned US Pat. No. 6,512,814. Knife edge 48 may also be used with beam blockers and beam limiting slits (shown in FIG. 4 but omitted in FIG. 1 for simplicity) to reduce background scatter in SAXS configurations. . The height of the knife edge and the shutter relative to the sample surface can be adjusted according to the type of measurement to be performed and the range of measurement angles to be measured.

検出素子アセンブリ32は、CCDアレイなどの検出素子アレイ54を備える。例示を簡単にするために、図では、比較的少ない数の検出素子を有する一列の検出素子のみが示されているが、アレイ54は通常、線形のアレイまたはマトリックス(二次元)アレイに配列された、より多数の素子を包含する。検出素子アセンブリ32およびアレイ54のさらなる態様が、図4を参照して以下に説明される。   The detection element assembly 32 includes a detection element array 54 such as a CCD array. For simplicity of illustration, only one row of detector elements having a relatively small number of detector elements is shown in the figure, but the array 54 is typically arranged in a linear or matrix (two-dimensional) array. More elements. Further aspects of detector element assembly 32 and array 54 are described below with reference to FIG.

信号処理部56は、検出素子アセンブリ32からの出力を受けてこれを分析し、所与のエネルギーまたはエネルギー範囲全体での角度の関数として、試料22から散乱したX線光子束の分布58を判定する。通常、試料22は領域50に、薄膜などの1以上の薄い表面層を有しており、角度の関数としての分布58は、表面層および層の界面による干渉、回折および/または他の散乱効果の特性を示す構造を表す。処理部56は、角度分布の特性を分析して、膜厚、密度、空隙率、組成および層の表面品質など、試料の1以上の表面層の特性を、上述の特許および特許出願に記載の分析方法を用いて判定する。処理部56(または他のコンピュータ)は、他のシステム構成要素の位置および構成を設定し調整する、システム制御部として機能してもよい。   The signal processor 56 receives and analyzes the output from the detector element assembly 32 to determine the distribution 58 of the x-ray photon flux scattered from the sample 22 as a function of angle for a given energy or energy range. To do. Typically, the sample 22 has one or more thin surface layers, such as thin films, in the region 50, and the distribution 58 as a function of angle can be caused by interference, diffraction and / or other scattering effects due to the surface layer and layer interface. A structure showing the characteristics of The processing unit 56 analyzes the characteristics of the angular distribution and describes the characteristics of one or more surface layers of the sample, such as film thickness, density, porosity, composition, and surface quality of the layers, as described in the above-mentioned patents and patent applications. Determine using analytical methods. The processing unit 56 (or other computer) may function as a system control unit that sets and adjusts the position and configuration of other system components.

システム20の高分解能XRD構成は、試料22上の単結晶膜の物性を評価するのに特に有用である。この構成では、上述の表に示したように、光源26および検出素子アセンブリ32の両方が、試料22のブラッグ角近傍の比較的高い角度にシフトされる。光源26は、領域50のブラッグ角近傍に収束ビーム60を照射し、検出素子アセンブリ32は、ブラッグ角近傍の角度範囲全体で発散ビーム62を受ける。この例では、回折パターンを形成する格子面は試料22表面にほぼ平行であると仮定されるので、ビーム60および62で画定される表面に対する入射角および射出角は、いずれもブラッグ角と等しい。この仮定は、シリコンウェハなどの半導体基板、ならびにそれらの基板上に成長させた単結晶薄膜層に関して当てはまる場合が多い。あるいは、光源26および検出素子アセンブリ32は、試料22表面に平行でない格子面からの回折を測定するために、異なる入射角および射出角に配置されてもよい。   The high resolution XRD configuration of the system 20 is particularly useful for evaluating the physical properties of the single crystal film on the sample 22. In this configuration, both the light source 26 and the detector element assembly 32 are shifted to a relatively high angle near the Bragg angle of the sample 22 as shown in the table above. The light source 26 irradiates the convergent beam 60 in the vicinity of the Bragg angle of the region 50, and the detection element assembly 32 receives the divergent beam 62 over the entire angular range near the Bragg angle. In this example, the grating plane that forms the diffraction pattern is assumed to be substantially parallel to the surface of the sample 22, so that the incident and exit angles for the surface defined by the beams 60 and 62 are both equal to the Bragg angle. This assumption is often true for semiconductor substrates, such as silicon wafers, and single crystal thin film layers grown on those substrates. Alternatively, the light source 26 and the detector element assembly 32 may be arranged at different incident and exit angles to measure diffraction from a grating plane that is not parallel to the sample 22 surface.

図2は、本発明の一実施形態にしたがったシステム20の概略上面図を示している。この図は、管38からのX線が、集光光学素子42および視準光学素子72(例示を明確にするため図1では省略されていた)の両方に衝突する。光源搭載アセンブリ40は、管からのビームが適切な角度で光学素子42に衝突してビーム44が領域50上で収束するように、管38を配置する。X線管38からのビームはまた、視準光学素子72にも適切な角度で衝突し、同様に領域50に衝突する収束ビーム74を生成する。光学素子72は、例えば、8keVの放射光を反射して、開き度<0.3°およびスポットサイズ<100μmのビームを生成する、多機能コーティングを施した二重湾曲ミラーを備えてもよい。この種の光学素子は、アプライドXレイオプティクス(Applied X−ray Optics、AXO、ドイツ、ドレスデン)など、多くの製造元から入手可能である。この光学素子もまた、X線ビームを単色化する。上述した集光光学素子および視準光学素子では、X線管および光学素子は通常、管からのX線が光学素子42に約14°の角度で、また光学素子72に約1°の角度で衝突するように配置される。   FIG. 2 shows a schematic top view of the system 20 according to one embodiment of the present invention. This figure shows that X-rays from the tube 38 impinge on both the condensing optical element 42 and the collimating optical element 72 (omitted in FIG. 1 for clarity of illustration). The light source mounting assembly 40 positions the tube 38 so that the beam from the tube impinges on the optical element 42 at an appropriate angle and the beam 44 converges on the region 50. The beam from the x-ray tube 38 also strikes the collimating optical element 72 at an appropriate angle, producing a convergent beam 74 that also strikes the region 50. The optical element 72 may comprise, for example, a double-curved mirror with a multi-functional coating that reflects 8 keV radiation and produces a beam with an openness <0.3 ° and a spot size <100 μm. This type of optical element is available from many manufacturers, such as Applied X-ray Optics (AXO, Dresden, Germany). This optical element also monochromates the X-ray beam. In the concentrating and collimating optical elements described above, the X-ray tube and optical element typically have X-rays from the tube at an angle of about 14 ° to the optical element 42 and at an angle of about 1 ° to the optical element 72. Arranged to collide.

X線管38ならびに光学素子42および72を配置したことによって、収束ビーム44および平行ビーム74の方位がずれ、すなわちビーム軸が同一線上ではなくなる。X線管38で生成されるビーム、ならびに光学素子42および72の開口は、管または光学素子を移動することなくビームが光学素子42および72の両方に衝突するように、十分な幅があってもよい。あるいは、より幅の狭いビームおよび/または開口が使用される場合、X線管がXRR位置とSAXS位置との間で垂直に平行移動してもよい。いずれの場合も、通常はビーム44および74のどちらか一方が、所与の時間に測定に使用される。したがって、可動の光源ビーム遮断部75は、ビーム44および74の一方のみが試料22に衝突するように、X線管からのビームの一部を遮断するように配置されてもよい。(あるいは、用途によっては、2つのビームが同時に生成されてもよい。)この方位のずれがあることで、XRRモードで生成される発散ビーム52は、SAXSモードで生成される散乱ビーム70とはX方向にずれる。このずれを補償するため、検出素子アセンブリ32は、システム20の操作モードに応じてX方向にシフトされてもよい。あるいは、検出素子アセンブリは、それぞれビーム52および70を捕捉するように配置され配向された、2つの検出素子アレイを備えてもよい。   By arranging the X-ray tube 38 and the optical elements 42 and 72, the directions of the convergent beam 44 and the parallel beam 74 are shifted, that is, the beam axes are not collinear. The beam generated by the x-ray tube 38 and the apertures of the optical elements 42 and 72 are sufficiently wide so that the beam strikes both the optical elements 42 and 72 without moving the tube or optical element. Also good. Alternatively, if a narrower beam and / or aperture is used, the x-ray tube may translate vertically between the XRR and SAXS positions. In either case, typically one of beams 44 and 74 is used for the measurement at a given time. Therefore, the movable light source beam blocking unit 75 may be arranged to block a part of the beam from the X-ray tube so that only one of the beams 44 and 74 collides with the sample 22. (Alternatively, depending on the application, two beams may be generated at the same time.) Due to this misalignment, the divergent beam 52 generated in the XRR mode is different from the scattered beam 70 generated in the SAXS mode. Shifts in the X direction. To compensate for this deviation, the sensing element assembly 32 may be shifted in the X direction depending on the operating mode of the system 20. Alternatively, the detector element assembly may comprise two detector element arrays arranged and oriented to capture beams 52 and 70, respectively.

光源および検出素子アセンブリが高角度位置にあり、光源アセンブリが平行ビーム構成にある場合、システム20は低分解能XRD測定に良好に適合する。この種の測定は、半導体ウェハ上にある金属膜中の多結晶など、多結晶構造の位相および組織を評価するのに特に有用である。このような結晶は配向が制御されていないため、作成されるXRDパターンはデバイリングとして特徴づけられる。この現象は、例えば、Kozaczekらの「200mmプロセス品質と安定性の評価のためのX線回折測定学(X−ray Diffraction Metrology for 200 mm Process Qualification and Stability Assessment)」(Advanced Metallization Conference、カナダ、モントリオール、2001年10月8〜11日)に記載されており、これを本明細書に参照として組み込む。   System 20 is well suited for low resolution XRD measurements when the light source and detector element assembly are in a high angle position and the light source assembly is in a parallel beam configuration. This type of measurement is particularly useful for evaluating the phase and texture of a polycrystalline structure, such as a polycrystal in a metal film on a semiconductor wafer. Since the orientation of such crystals is not controlled, the created XRD pattern is characterized as Debye ring. This phenomenon is described, for example, by Kozaczek et al., "X-ray Diffraction Metrology for 200 mm Process Quality and Stable Assessment Assessment," , Oct. 8-11, 2001), which is incorporated herein by reference.

この場合にXRDパターンが延在する角度範囲は、通常10〜20°程度である。この範囲をカバーするため、検出素子アセンブリ32を試料22上の領域50に近づけて、検出素子アレイ54が、高分解能構成の場合よりも相対的に広い範囲をカバーするようにすることが望ましい。アレイを試料に近づけることも角度分解能を低下させるが、約0.3°の分解能は、多結晶の位相を識別するのには十分である。この目的のため、光学素子72を選択して、ビーム74の開きが約0.3°を越えないように調整することが望ましい。   In this case, the angle range in which the XRD pattern extends is usually about 10 to 20 °. In order to cover this range, it is desirable to bring the detection element assembly 32 closer to the region 50 on the sample 22 so that the detection element array 54 covers a relatively wider range than in the high resolution configuration. Bringing the array closer to the sample also reduces the angular resolution, but a resolution of about 0.3 ° is sufficient to identify the polycrystalline phase. For this purpose, it is desirable to select the optical element 72 and adjust it so that the opening of the beam 74 does not exceed about 0.3 °.

SAXSの場合、光源アセンブリ26および検出素子アセンブリ32は、より低い位置に配置される。任意選択的に、SAXSの場合、光源動作アセンブリ28を操作して、光源アセンブリ26をXRRのときよりもわずかにXY平面に近づけて、平行ビーム74が適切に低い角度で領域50に衝突するようにする。あるいは、光学素子72をアセンブリ74で光学素子42よりも低い仰角に支持し、ビーム74が、ビーム44よりも低いビーム軸に沿ってアセンブリ26から放射されるようにしてもよい。以下に説明するように、水平(アジマス−θ)方向の角度範囲にわたって散乱X線を収集して分解するため、検出素子アレイ54の配向はSAXS用に回転されてもよい。通常、散乱スペクトルは約0〜3°の範囲にわたって測定される。SAXSの角度分解能を向上させるため、検出素子アセンブリ32は通常、領域50よりも比較的遠い位置に保持される。   In the case of SAXS, the light source assembly 26 and the detection element assembly 32 are arranged at a lower position. Optionally, in the case of SAXS, the light source operation assembly 28 is manipulated to bring the light source assembly 26 slightly closer to the XY plane than in XRR so that the collimated beam 74 strikes the region 50 at a suitably low angle. To. Alternatively, the optical element 72 may be supported by the assembly 74 at a lower elevation than the optical element 42 so that the beam 74 is emitted from the assembly 26 along a lower beam axis than the beam 44. As will be described below, the orientation of the detector array 54 may be rotated for SAXS to collect and resolve scattered X-rays over a horizontal (azimuth-θ) angular range. Usually, the scattering spectrum is measured over a range of about 0-3 °. In order to improve the angular resolution of the SAXS, the detection element assembly 32 is usually held at a position relatively far from the region 50.

GIXRDの場合、光源アセンブリ26は、SAXSとほぼ同じ位置に配置される。しかしながら、検出素子アセンブリ32は通常、回折ビーム75を捕捉するために、検出素子動作アセンブリによって試料22平面内でより高い方位角に横方向にシフトされる。入射平行ビーム74に対する回折ビーム75の方位角は、回折に関与する試料表面上の面内構造のブラッグ角によって決定される。ステージ24(図1)は、面内格子が入射ビーム角度と一直線上にならぶように、X−Y平面内で試料22を回転させる構成であってもよい。   In the case of GIXRD, the light source assembly 26 is arranged at substantially the same position as SAXS. However, the detector element assembly 32 is typically shifted laterally to a higher azimuth in the plane of the sample 22 by the detector element working assembly to capture the diffracted beam 75. The azimuth angle of the diffracted beam 75 with respect to the incident parallel beam 74 is determined by the Bragg angle of the in-plane structure on the sample surface involved in diffraction. The stage 24 (FIG. 1) may be configured to rotate the sample 22 in the XY plane so that the in-plane grating is aligned with the incident beam angle.

図3Aおよび3Bは、本発明の一実施形態にしたがった、検出素子アレイ54の第1および第2の動作構成それぞれの概略前面図である。図3Aに示される第1の構成は、XRRおよび高角度XRDに使用され、図3Bに示される第2の構成は、SAXSおよびGIXRD測定に使用される。これらの図では、アレイ54は、一列の検出素子76を備えるものとして示されており、この素子は、XRRおよび高角度XRD用の試料22平面に垂直なZ軸と、SAXSおよびGIXRD用の試料平面に平行なX軸の、2つの軸のいずれかに沿って入射光を分解するように整列可能なアレイ軸を有している。   3A and 3B are schematic front views of first and second operational configurations of detector element array 54, respectively, in accordance with one embodiment of the present invention. The first configuration shown in FIG. 3A is used for XRR and high angle XRD, and the second configuration shown in FIG. 3B is used for SAXS and GIXRD measurements. In these figures, the array 54 is shown as comprising a row of detector elements 76, which are Z-axis perpendicular to the sample 22 plane for XRR and high angle XRD, and samples for SAXS and GIXRD. It has an array axis that can be aligned to resolve incident light along one of two axes, the X axis parallel to the plane.

検出素子76は高いアスペクト比を有しており、すなわち、アレイ軸を横断する方向の幅が、軸に沿った長さよりも十分に大きい。高アスペクト比を有することで、アレイ54が、アレイ軸に沿って角度が増大する比較的広い領域にわたってX線光子を収集できるため、システム20の信号/雑音比を向上させるのに有用である。図中で、素子76の寸法は例示だけのために示されており、本発明の原理は、用途の必要性および好適な検出機器の能力によって、より小さいあるいはより大きいアスペクト比の素子にも適用できる。   The detection element 76 has a high aspect ratio, i.e., the width across the array axis is sufficiently larger than the length along the axis. Having a high aspect ratio is useful for improving the signal / noise ratio of the system 20 because the array 54 can collect x-ray photons over a relatively large area that increases in angle along the array axis. In the figure, the dimensions of element 76 are shown for illustrative purposes only, and the principles of the present invention may be applied to smaller or larger aspect ratio elements depending on the needs of the application and the ability of a suitable detection instrument. it can.

検出素子アレイ54は、線形アレイまたはマトリックスアレイのいずれかを備えていてもよい。マトリックスアレイの場合、アレイの各列にある複数の検出素子が、高アスペクト比の単一素子として有効に機能するように、ラインビニング(line-binning)モードでアレイが動作してもよい。この場合、アレイ54は、物理的には検出素子の二次元のマトリックスを備えるが、機能的には、図4Aおよび4Bに示されたビニング方向によって、アレイは一列の検出素子の形態をとる。あるいは、アレイ54は好適な読み出し回路を有するPINダイオードのアレイを備えてもよく、このダイオードとしては、その開示を本明細書に参考として組み込む米国特許第6,389,102号に開示されるような、集中処理電子機器などが挙げられてもよい。システム20に使用可能な検出素子アレイの種類に関するさらなる詳細、およびそのようなアレイをXRRおよびSAXSに適合させることに関しては、上述の米国特許第6,895,075号に記載されている。   The detection element array 54 may comprise either a linear array or a matrix array. In the case of a matrix array, the array may operate in a line-binning mode so that multiple detector elements in each column of the array function effectively as a single element with a high aspect ratio. In this case, array 54 physically comprises a two-dimensional matrix of detector elements, but functionally, the array takes the form of a row of detector elements, depending on the binning direction shown in FIGS. 4A and 4B. Alternatively, array 54 may comprise an array of PIN diodes with suitable readout circuitry, such as disclosed in US Pat. No. 6,389,102, the disclosure of which is incorporated herein by reference. A centralized processing electronic device may also be mentioned. Further details regarding the types of detector element arrays that can be used in the system 20 and adapting such arrays to XRR and SAXS are described in the aforementioned US Pat. No. 6,895,075.

検出素子動作アセンブリ34は、図3Aおよび3Bに示す配向の間で検出素子アセンブリ32を機械的に回転させるように構成されてもよい。あるいは、検出素子アセンブリ32自体が、図3Aおよび3Bに示す配向の間で検出素子アレイ54を回転させるアレイ動作デバイス(図示せず)を備えてもよい。いずれの場合のハードウェアも、実行される測定の種類によって、アレイを垂直軸と平行軸との間で90°回転させる。回転点がアレイ54の中心に近い場合、SAXS測定のときは下方向(試料22の平面により近く)に、XRRのときは上方向に、アレイをシフトさせる必要がある場合もある。あるいは、アレイの垂直方向への移動が不要なように、回転点を試料平面近くに固定してもよい。一般に、SAXS構成では、アレイ54の中心は入射ビーム74の軸近くにはない。SAXSは通常、入射ビーム軸に対称なので、実質的に失われる情報はなく、軸の片側あるいは両側の散乱放射を測定するようにアレイ54を配置して、散乱を測定する角度範囲を増大させてもよい。 The sensing element operating assembly 34 may be configured to mechanically rotate the sensing element assembly 32 between the orientations shown in FIGS. 3A and 3B. Alternatively, the sensing element assembly 32 itself may comprise an array operating device (not shown) that rotates the sensing element array 54 between the orientations shown in FIGS. 3A and 3B. In either case, the hardware rotates the array 90 ° between the vertical and parallel axes, depending on the type of measurement being performed. When the rotation point is close to the center of the array 54, it may be necessary to shift the array downward (closer to the plane of the sample 22) for SAXS measurement and upward for XRR. Alternatively, the rotation point may be fixed near the sample plane so that the vertical movement of the array is unnecessary. In general, in the SAXS configuration, the center of the array 54 is not near the axis of the incident beam 74. Since SAXS is typically symmetric about the incident beam axis, there is virtually no information lost and the array 54 is positioned to measure scattered radiation on one or both sides of the axis, increasing the angular range over which scattering is measured. Also good.

図4は、本発明の一実施形態にしたがった、システム20の概略上面図であり、SAXS測定に使用されるシステム構成のさらなる詳細を示している。SAXS信号は一般に弱いため、システム20は、擬似X線が検出素子アレイ54に衝突して実際のSAXS信号を阻害する現象を生じさせる可能性がある背景散乱を低減するために、新規なビーム制御光学素子を使用する。具体的には、この図に示すように、システムは、散乱防止スリット80および回折防止スリット82、ならびにナイフエッジ48およびビーム遮断部84を備える。各構成要素の目的を以下に説明する。 FIG. 4 is a schematic top view of system 20 according to one embodiment of the present invention, showing further details of the system configuration used for SAXS measurements. Since the SAXS signal is generally weak, the system 20 has developed a novel beam control to reduce background scattering that can cause a phenomenon where the pseudo X-rays impinge on the detector array 54 and interfere with the actual SAXS signal. Use optical elements. Specifically, as shown in this figure, the system includes an anti-scattering slit 80 and an anti-diffraction slit 82, and a knife edge 48 and a beam blocking unit 84. The purpose of each component will be described below.

視準光学素子72は、図4にAとして示された出力開口を有しており、ここからX線が検出素子アレイ54に向かって回折および/または散乱してもよい。(例えば、上述のXenocsミラーの場合、出力開口は1.2×1.2mmであり、平行出力ビームは約0.3°の開き角を有する。開口Aの中心は試料平面より、通常は約1mm以下のわずかな距離だけ上にある。)スリット82は、開口から散乱した放射光が、検出素子アレイ54に直接衝突するか、または試料22に衝突して検出素子アレイに反射するかのいずれかを阻害する。代表的な一実施形態では、スリット82は幅約0.4mmであり、ナイフエッジ48の前面で、試料22からわずかに(通常は20mm未満)上に配置される。最良の結果を得るため、スリット82の下部はできるだけ試料表面近くに、通常は表面の上約80μm未満の位置に配置される。 The collimating optical element 72 has an output aperture shown as A in FIG. 4 from which X-rays may be diffracted and / or scattered toward the detector element array 54. (For example, in the case of the Xenocs mirror described above, the output aperture is 1.2 × 1.2 mm and the parallel output beam has an opening angle of about 0.3 °. The center of the aperture A is usually about The slit 82 is either for the radiated light scattered from the aperture to collide directly with the detection element array 54 or to collide with the sample 22 and reflect to the detection element array. Inhibits. In one exemplary embodiment, the slit 82 is about 0.4 mm wide and is positioned slightly above the sample 22 (usually less than 20 mm) in front of the knife edge 48. For best results, the lower portion of the slit 82 is located as close to the sample surface as possible, usually less than about 80 μm above the surface.

スリット80は通常、光学素子72の出力開口の近くに配置されて、この開口から回折したX線を遮断する。代表的な一実施形態では、スリット80は幅約1mmであり、焦点領域50から約160mmのところにある開口Aから約10mm未満の位置に配置される。スリット80は通常、試料22平面の上および下の両方に延在する。 The slit 80 is usually disposed near the output opening of the optical element 72 and blocks X-rays diffracted from the opening. In one exemplary embodiment, the slit 80 is about 1 mm wide and is positioned less than about 10 mm from the opening A at about 160 mm from the focal region 50. The slit 80 typically extends both above and below the plane of the sample 22.

ナイフエッジ48もまた、試料22よりわずかに上のところに配置される。ナイフエッジは、光学素子72の開口から散乱して、スリット82で阻害されなかった放射光を遮断し、またスリット80および82自体から、あるいは光学素子72と領域50との間の大気分子から散乱した放射光も遮断する。ナイフエッジの可能な一つの構成を、図5を参照して以下に説明する。 The knife edge 48 is also located slightly above the sample 22. The knife edge scatters from the aperture of the optical element 72 to block radiation that was not blocked by the slit 82, and from the slits 80 and 82 itself, or from atmospheric molecules between the optical element 72 and the region 50. Also cut off the emitted light. One possible configuration of the knife edge is described below with reference to FIG.

光学素子72からの適切な平行X線は、スリット80および82を、またナイフエッジ48の下を通過して、試料22上の領域50に衝突する。入射X線のほとんどは、Y軸に沿って試料から鏡面反射するか、あるいは反射せずにナイフエッジ48の下を直接通過する。これらのX線は次いで大気分子で散乱され、その結果多様な角度で検出素子アレイ54に衝突する。この望ましくない散乱を低減するために、ビーム遮断部84は、ナイフエッジ48の後ろに近接して、また試料22からわずかに上の位置に配置される。代表的な一実施形態では、ビーム遮断部は、ナイフエッジの後ろ約30mm、試料表面の上約70μmの位置にある。ビーム遮断部は通常、図に示すように中心からずらして、ビーム軸をわずかに(例えば、約250μm)横切るように配置されて、直接のまたは鏡面反射したビームを遮断する。その結果、検出素子アレイ54は、Y軸から水平に離れた領域50から散乱したX線束のほぼ全体を受け、大気分子からの寄生的な散乱はほとんど遮断される。 Appropriate parallel X-rays from optical element 72 pass through slits 80 and 82 and under knife edge 48 and impinge on region 50 on sample 22. Most of the incident X-rays are specularly reflected from the sample along the Y axis or pass directly under the knife edge 48 without reflection. These X-rays are then scattered by atmospheric molecules, resulting in impact on the detector array 54 at various angles. In order to reduce this undesirable scattering, the beam blocker 84 is positioned proximately behind the knife edge 48 and slightly above the sample 22. In one exemplary embodiment, the beam block is about 30 mm behind the knife edge and about 70 μm above the sample surface. The beam blocker is typically offset from the center as shown in the figure and positioned slightly across the beam axis (eg, about 250 μm) to block the direct or specularly reflected beam. As a result, the detection element array 54 receives almost the entire X-ray flux scattered from the region 50 horizontally separated from the Y-axis, and parasitic scattering from atmospheric molecules is almost blocked.

寄生的な散乱をさらに防ぐために、検出素子アセンブリ32は、ベリリウムなどの好適なX線透過材料で作成された、検出素子アレイ54の正面から離れた窓86を備えてもよい。窓は、検出素子アレイ54に隣接した排気可能な筐体88を画定する。通常、アレイ54から窓86までの距離は、少なくとも(アレイ軸に沿って、すなわち図3BのX方向に沿って測定した)アレイ長さに等しく、アレイ長さの2〜3倍またはそれ以上であってもよい。操作中には、筐体を排気する。検出素子アレイのすぐ前の領域にある大気を除去し、窓をアレイから離間させることは、アレイ近傍でのX線の寄生的な散乱をさらに低減させるのに有用である。この種の窓構造のさらなる詳細は、上述の米国特許第6,512,814号に記載されている。 To further prevent parasitic scattering, the detector element assembly 32 may include a window 86 that is made of a suitable X-ray transmissive material, such as beryllium, away from the front of the detector element array 54. The window defines an evacuable housing 88 adjacent to the detector array 54. Typically, the distance from the array 54 to the window 86 is at least equal to the array length (measured along the array axis, ie, along the X direction of FIG. 3B), and is 2 to 3 times the array length or more. There may be. During operation, the housing is evacuated. Removing the atmosphere in the region immediately in front of the detector array and spacing the window away from the array is useful for further reducing parasitic X-ray scattering near the array. Further details of this type of window structure are described in the aforementioned US Pat. No. 6,512,814.

図5は、本発明の一実施形態にしたがった、ナイフエッジ48の細部の概略図である。この実施形態では、試料22表面に隣接したナイフの下端部は、金属線90などのシリンダ状のX線吸収材料で作成される。例えば、光子エネルギー8keVを有するX線の場合、ワイヤ90は、直径200μmのタンタル線を備える。この構成により、試料を傷つける恐れなく、ナイフの下端部が試料表面に非常に近く、表面の上3μm程度の位置に置かれる。 FIG. 5 is a schematic diagram of details of the knife edge 48, in accordance with one embodiment of the present invention. In this embodiment, the lower end of the knife adjacent to the surface of the sample 22 is made of a cylindrical X-ray absorbing material such as a metal wire 90. For example, in the case of an X-ray having a photon energy of 8 keV, the wire 90 includes a tantalum wire having a diameter of 200 μm. With this configuration, the lower end of the knife is very close to the sample surface and is placed at a position of about 3 μm on the surface without fear of damaging the sample.

ワイヤ90は、精密に試料と直線に並べることができ、それにより表面上の小さな空隙が得られるが、この有効な高さは、通常は0〜4°である対象となる角度領域全体にわたって均一である。エッジが平坦なナイフを使用した場合とは異なり、この整列はワイヤをその軸周りで回転させても不変である。一般に、ナイフエッジと表面との間の空隙のサイズおよびその均一性によって、表面に対するX線焦点のサイズおよび均一性が画定される。この種の小さく均一な空隙がワイヤ90によってもたらされることで、システム20で行われる散乱測定の空間分解能および角精度が向上する。本実施形態に基づいて、本特許出願および請求項の文脈において、用語「ナイフエッジ」は、試料表面近くに配置されてこの種の空隙を作り、空隙の外のX線を遮断する、あらゆるタイプの直線エッジ(鋭敏である必要はない)を指すことが理解されよう。 The wire 90 can be precisely aligned with the sample, resulting in a small air gap on the surface, but this effective height is uniform over the entire angular range of interest, typically 0-4 °. It is. Unlike the case of using a knife with a flat edge, this alignment does not change when the wire is rotated around its axis. In general, the size of the air gap between the knife edge and the surface and its uniformity define the size and uniformity of the X-ray focus with respect to the surface. This type of small and uniform air gap is provided by the wire 90 to improve the spatial resolution and angular accuracy of scatter measurements performed in the system 20. Based on this embodiment, in the context of this patent application and claims, the term “knife edge” is any type that is placed near the sample surface to create this type of void and block X-rays outside the void. It will be understood that it refers to a straight edge (not necessarily sensitive).

図6は、本発明の一実施形態にしたがって、システム20でSAXS測定を実施するための方法を概略的に説明するフローチャートである。SAXS信号の強度は、領域50の表面に対するビーム74(図4)の平均入射角に大きく依存する。一方、入射角は、試料の傾きの影響を直接受ける。標準的なSAXSでの適用において、ビーム74は約0.4°で試料表面に衝突するようにされる。SAXS測定が試料22表面の異なる位置で実行され、傾きが例えば±0.1°変化した場合、入射角は表面全体で0.3〜0.5°変化する。この角度の変化によって、異なる位置で測定されるSAXSスペクトルの強度は、見かけ上大きく変化する。 FIG. 6 is a flow chart that schematically illustrates a method for performing SAXS measurements in system 20, in accordance with one embodiment of the present invention. The intensity of the SAXS signal is highly dependent on the average incident angle of the beam 74 (FIG. 4) with respect to the surface of the region 50. On the other hand, the incident angle is directly affected by the tilt of the sample. In standard SAXS applications, the beam 74 is caused to impinge on the sample surface at about 0.4 °. When the SAXS measurement is performed at a different position on the surface of the sample 22 and the inclination changes, for example, by ± 0.1 °, the incident angle changes by 0.3 to 0.5 ° over the entire surface. Due to this change in angle, the intensity of the SAXS spectrum measured at different positions appears to change greatly.

例えば、システム20の通常の適用では、試料22は半導体ウェハであり、ステージ表面にある吸気口(図示せず)を介して吸引されることによって、ステージ24上の定位置に保持される。このような状況では、ウェハはステージの形状に適合し、吸引力によって変形する。結果として、ウェハの局所的な傾き角は、ウェハ表面の位置によって違ってくる。 For example, in a typical application of the system 20, the sample 22 is a semiconductor wafer and is held in place on the stage 24 by being sucked through an inlet (not shown) on the stage surface. In such a situation, the wafer conforms to the shape of the stage and is deformed by the suction force. As a result, the local tilt angle of the wafer varies depending on the position of the wafer surface.

図6の方法は、システム20のXRR測定機能を用いて、SAXSにおける試料の傾きに伴う問題を解決する。この目的のため、システム20は、傾きマッピングステップ100で、XRRモードで動作して試料22の傾きをマッピングする。この目的に用いてもよい傾きのマッピングの代表的な方法が、本特許出願の譲受人に譲渡された米国特許出願第11/000,044号に記載されており、その開示を参考として本明細書に組み込む。マップを生成するために、試料の表面がいくつかの領域に分割され、各領域の表面の傾きが測定されて傾き値が得られる。(このマップは、SAXS試料自体を用いて作成されてもよいし、あるいは裸のシリコンウェハなど参照用の試料を用いてもよい。)表面の傾きを判定するのに、あらゆる好適な方法が使用されてもよい。XRRを用いて傾きを測定するための代表的な方法が、その開示を本明細書に参考として組み込む米国特許公開US2004/0109531 A1およびUS2004/0131151 A1と、ならびに上述の米国特許6,895,075で開示されている。別の方法としてまたはこれに加えて、例えば,その開示を本明細書に参考として組み込む米国特許6,643,354に記載されるように、傾き測定用の光学的方法が使用されてもよい。傾きマップはシステム制御部に記録される(上述のように、処理部56が行ってもよい)。 The method of FIG. 6 uses the XRR measurement function of the system 20 to solve the problems associated with sample tilt in SAXS. To this end, the system 20 operates in XRR mode to map the tilt of the sample 22 in the tilt mapping step 100. A representative method of slope mapping that may be used for this purpose is described in US patent application Ser. No. 11 / 000,044, assigned to the assignee of the present patent application, the disclosure of which is hereby incorporated by reference. Include in the book. In order to generate a map, the surface of the sample is divided into several areas, and the inclination of the surface of each area is measured to obtain an inclination value. (This map may be created using the SAXS sample itself, or a reference sample such as a bare silicon wafer may be used.) Any suitable method may be used to determine the surface tilt. May be. Representative methods for measuring tilt using XRR are disclosed in US Patent Publications US 2004/0109531 A1 and US 2004/0131151 A1, the disclosures of which are incorporated herein by reference, and the aforementioned US Pat. No. 6,895,075. Is disclosed. Alternatively or in addition, optical methods for tilt measurement may be used, for example, as described in US Pat. No. 6,643,354, the disclosure of which is incorporated herein by reference. The inclination map is recorded in the system control unit (which may be performed by the processing unit 56 as described above).

傾きマップが生成された後、モード設定ステップ102で、光源アセンブリ26および検出素子アセンブリ32は、上述のようにSAXS測定用に設定される。次に、部位選択ステップ104で、ステージ24が操作されて、X線ビーム74が所望の試験部位に衝突するように試料22が移動される。通常、試料22上の複数の部位がこの目的のために予め選択され、ステージ24は、各部位が領域50内に順に配置されるように、試料を移動させる。システム制御部は、傾き決定ステップ106で、その部位の記録された傾き値を傾きマップ上で調べる。あるいは、その特定の部位に対する記録された傾き値がない場合、システム制御部は、近接する地点の傾き値を傾きマップ上で調べて、補間によって試験部位の近似傾き値を検出してもよい。図2に示したように、XRRビーム軸およびSAXSビーム軸が互いにずれている場合、傾き値に対して回転による転換を行って、X−Y面での角度のずれを相殺してもよい。 After the tilt map is generated, in the mode setting step 102, the light source assembly 26 and the detector element assembly 32 are set for SAXS measurement as described above. Next, in the site selection step 104, the stage 24 is operated to move the sample 22 so that the X-ray beam 74 collides with a desired test site. Usually, multiple sites on the sample 22 are preselected for this purpose, and the stage 24 moves the sample so that each site is placed in sequence within the region 50. In the inclination determination step 106, the system control unit checks the recorded inclination value of the part on the inclination map. Alternatively, when there is no recorded inclination value for the specific part, the system control unit may check the inclination value of the adjacent point on the inclination map and detect the approximate inclination value of the test part by interpolation. As shown in FIG. 2, when the XRR beam axis and the SAXS beam axis are deviated from each other, the inclination value may be converted by rotation to cancel the angle deviation in the XY plane.

次いで、傾き補正ステップ108で、システム制御部がステージ24に対して、試料22の配向角を調整して、現在の試験部位での傾きを補償するように指示を出す。換言すれば、現在の試験部位がX軸周りで+0.1°傾いていることが傾きマップから判断された場合、ステージ24は−0.1°の傾きを加える。あるいは、試料の傾きを補償するために、光源アセンブリ26のX線ビーム軸が調整されてもよい。傾きが適切に調整されると、データ収集ステップ110で、光源アセンブリおよび検出素子アセンブリが作動し、処理部56が試験部位のSAXSスペクトルを収集し分析する。次いでこの工程が、残りの試験部位に対して繰り返される。 Next, in an inclination correction step 108, the system control unit instructs the stage 24 to adjust the orientation angle of the sample 22 to compensate for the inclination at the current test site. In other words, if it is determined from the tilt map that the current test site is tilted + 0.1 ° about the X axis, the stage 24 adds a tilt of −0.1 °. Alternatively, the X-ray beam axis of the light source assembly 26 may be adjusted to compensate for sample tilt. When the tilt is properly adjusted, in data collection step 110, the light source assembly and detector element assembly are activated and the processor 56 collects and analyzes the SAXS spectrum of the test site. This process is then repeated for the remaining test sites.

上記で説明した実施形態では、主に半導体ウェハの表面層特性を判定することを扱っているものの、本発明の原理は、X線ベースの分析の他の応用、ならびにX線だけではなく他のイオン化照射帯域も使用した、他の種類の照射を基にした分析にも同様に用いることができる。さらに、例えば、その開示を参考として本明細書に組み込む米国特許出願第6,381,303号に記載されるように、蛍光X線測定など、照射を基にした分析の他の方法を組み込むために、システム20を変更してもよい。別の方法としてまたはこれに加えて、システム20は、本特許出願の譲受人に譲渡され、その開示を参考として本明細書に組み込む米国特許出願第10/902,177号(2004年7月30日出願)に記載されるように、拡散XRR測定を実施するように構成してもよい。 While the embodiments described above deal primarily with determining the surface layer characteristics of a semiconductor wafer, the principles of the present invention are not limited to other applications of X-ray-based analysis, as well as other It can be used in the same way for analyzes based on other types of irradiation, also using an ionization irradiation zone. In addition, to incorporate other methods of analysis based on irradiation, such as, for example, X-ray fluorescence measurements, as described in US Pat. No. 6,381,303, the disclosure of which is incorporated herein by reference. In addition, the system 20 may be changed. Alternatively or in addition, the system 20 is assigned to the assignee of the present patent application, the disclosure of which is incorporated herein by reference, US patent application Ser. No. 10 / 902,177 (July 30, 2004). As described in Japanese application), it may be configured to perform diffusion XRR measurements.

さらに、本発明の特徴は、複数の異なるX線分析モードを組み合わせたシステム20に関連して上記に説明されているものの、代替案として、これらの特徴の一部が、SAXS、XRD(高角度またはかすめ角)および/またはXRRなどの、1つあるいは2つの動作モードのみを提供するシステムに組み入れられてもよい。 Further, while the features of the present invention have been described above in connection with a system 20 that combines a plurality of different x-ray analysis modes, as an alternative, some of these features may be SAXS, XRD (high angle Or may be incorporated into a system that provides only one or two modes of operation, such as grazing angle) and / or XRR.

本発明の原理はまた、実稼働環境で使用するための測定システムおよびツールに適用されてもよい。例えば、本発明の代替実施形態(図示せず)では、本来位置検査を実行するために、システム20の要素が半導体ウェハ作製ツールと一体化される。当該技術分野で既知のように、通常、作製ツールは蒸着装置を含む真空チャンバを備えて、ウェハ上に薄膜を形成する。例えば、その開示を参考として本明細書に組み込む米国特許公開US2001/0043668 A1に記載されるように、チャンバはX線窓を有している。X線源アセンブリ26は次に、窓の1つを介してウェハ上の領域50を照射してもよく、上述したようなXRR、XRDまたはSAXS構成の1つ以上で、検出素子アセンブリ32は別の窓を介して散乱X線を受ける。別の代替実施形態では、システム20は、他のステーションとともに製造工程を実施するのに使用される、集合ツールのステーションとして構成されてもよい。 The principles of the present invention may also be applied to measurement systems and tools for use in a production environment. For example, in an alternative embodiment (not shown) of the present invention, the elements of system 20 are integrated with a semiconductor wafer fabrication tool in order to perform in-situ inspection. As is known in the art, a fabrication tool typically includes a vacuum chamber that includes a vapor deposition apparatus to form a thin film on a wafer. For example, the chamber has an x-ray window, as described in US Patent Publication US2001 / 0043668 A1, whose disclosure is incorporated herein by reference. The x-ray source assembly 26 may then irradiate the region 50 on the wafer through one of the windows, with one or more of the XRR, XRD or SAXS configurations as described above, separate from the detector element assembly 32. The scattered X-rays are received through the window. In another alternative embodiment, the system 20 may be configured as a collective tool station used to perform manufacturing processes with other stations.

したがって、上述の実施形態は例示のために挙げられたものであり、本発明は上記に具体的に示して説明したものに限定されないことは明らかであろう。本発明の範囲は、上記に説明した多様な特徴の組合せおよび副次的な組合せ、ならびに、当業者が上述の説明を読むことで想起することができ、先行技術には開示されていない、それらの変形および修正を包含する。   Therefore, it will be apparent that the above-described embodiments have been given by way of example, and that the present invention is not limited to what has been particularly shown and described hereinabove. The scope of the present invention is the various feature combinations and sub-combinations described above, as well as those that can be conceived by those skilled in the art upon reading the above description and which are not disclosed in the prior art. Includes variations and modifications.

本発明の一実施形態にしたがったX線測定システムの概略側面図である。1 is a schematic side view of an X-ray measurement system according to an embodiment of the present invention. 本発明の一実施形態にしたがったX線測定システムの概略上面図である。1 is a schematic top view of an X-ray measurement system according to an embodiment of the present invention. 本発明の一実施形態にしたがった、XRR用に構成された検出素子アレイの概略前面図である。1 is a schematic front view of a detector element array configured for XRR, in accordance with one embodiment of the present invention. FIG. 本発明の一実施形態にしたがった、SAXS用に構成された検出素子アレイの概略前面図である。1 is a schematic front view of a detector element array configured for SAXS, according to one embodiment of the present invention. FIG. 本発明の一実施形態にしたがった、SAXS測定システムの概略上面図である。1 is a schematic top view of a SAXS measurement system according to an embodiment of the present invention. FIG. 本発明の一実施形態にしたがった、表面に入射するX線ビームの焦点を制御するために使用されるナイフエッジの細部の概略図である。FIG. 2 is a schematic illustration of the details of a knife edge used to control the focus of an x-ray beam incident on a surface, in accordance with one embodiment of the present invention. 本発明の一実施形態にしたがったSAXS測定方法を概略的に説明するフローチャートである。4 is a flowchart schematically illustrating a SAXS measurement method according to an embodiment of the present invention.

符号の説明Explanation of symbols

20:システム
22:試料
24:ステージ
26:X線源
28、40:光源動作アセンブリ
30、36:動作アセンブリは曲線軌道
32:検出素子アセンブリ
34:検出素子動作アセンブリ
38:管
42:光学素子
44:収束ビーム
46:シャッター
48:ナイフエッジ
50:領域
54:アレイ
56:処理部
58:分布
70:散乱ビーム
72:視準光学素子
74:X線ビーム
75:光源ビーム遮断部
76:検出素子
80:スリット
82:回折防止スリット
86:窓
88:筐体
90:ワイヤ
100:傾きマッピングステップ
102:モード設定ステップ
104:部位選択ステップ
106:傾き決定ステップ
108:傾き補正ステップ
20: System 22: Sample 24: Stage 26: X-ray source 28, 40: Light source operation assembly 30, 36: The operation assembly is a curved trajectory 32: Detection element assembly 34: Detection element operation assembly 38: Tube 42: Optical element 44: Convergent beam 46: Shutter 48: Knife edge 50: Area 54: Array 56: Processing unit 58: Distribution 70: Scattered beam 72: Collimating optical element 74: X-ray beam 75: Light source beam blocking unit 76: Detection element 80: Slit 82: Diffraction prevention slit 86: Window 88: Housing 90: Wire 100: Inclination mapping step 102: Mode setting step 104: Site selection step 106: Inclination determination step 108: Inclination correction step

Claims (50)

試料分析装置であって、
第1のX線収束ビームを試料表面に向け、第2のX線平行ビームを前記試料表面に向けるように構成された照射源と、
前記照射源を、前記X線が前記照射源から前記試料表面にかすめ角で向けられる第1の光源位置と、前記X線が前記照射源から前記試料表面に前記試料のブラッグ角近傍で向けられる第2の光源位置との間で移動させるように動作する動作アセンブリと、
前記照射源が、前記第1および第2の光源構成のいずれか、および前記第1および第2の光源位置のいずれかにあるときに、前記試料から散乱した前記X線を角度の関数として感知し、前記散乱したX線に応答して出力信号を生成するように構成された検出素子アセンブリと、
前記出力信号を受けてこれを処理し、試料の特性を判定するように結合された信号処理部とを備える、試料分析装置。
A sample analyzer comprising:
An irradiation source configured to direct a first X-ray focused beam to the sample surface and a second X-ray parallel beam to the sample surface;
A first light source position at which the X-ray is directed from the irradiation source to the sample surface at a grazing angle, and the X-ray is directed from the irradiation source to the sample surface in the vicinity of the Bragg angle of the sample. An operative assembly operable to move between a second light source position;
Sensing the X-rays scattered from the sample as a function of angle when the illumination source is in either of the first and second light source configurations and in either of the first and second light source positions A sensing element assembly configured to generate an output signal in response to the scattered x-rays;
A sample analyzer comprising: a signal processing unit coupled to receive and process the output signal and to determine a property of the sample.
前記照射源が、
前記X線を放射するように動作するX線管と、
前記X線を受けて前記収束ビームに集光するように構成された第1のミラーと、
前記X線を受けて前記平行ビームに集光するように構成された第2のミラーとを備える、請求項1に記載の装置。
The irradiation source is
An X-ray tube operating to emit said X-rays;
A first mirror configured to receive the x-ray and focus the focused beam;
The apparatus according to claim 1, comprising: a second mirror configured to receive the X-ray and focus it into the parallel beam.
前記第1および第2のミラーが二重湾曲構造を有する、請求項2に記載の装置。   The apparatus of claim 2, wherein the first and second mirrors have a double curved structure. 前記動作アセンブリが、前記検出素子アセンブリが前記試料からかすめ角で散乱した前記X線を感知する第1の検出素子仰角と、前記検出素子アセンブリが前記表面からブラッグ角の近傍で散乱した前記X線を感知する第2の検出素子仰角との間で、前記検出素子アセンブリを移動するように動作する、請求項1に記載の装置。   The motion assembly has a first detection element elevation angle that senses the X-rays that the detection element assembly has scattered from the sample at a grazing angle, and the X-rays that the detection element assembly has scattered from the surface in the vicinity of a Bragg angle. The apparatus of claim 1, wherein the apparatus is operative to move the sensing element assembly between a second sensing element elevation angle that senses. 前記動作アセンブリが、前記第1の検出素子仰角にある前記検出素子アセンブリを、前記検出素子アセンブリが前記X線の小角散乱を感知する第1の方位角と、前記検出素子アセンブリが前記試料表面の面内構造から回折された前記X線を感知する第2のより大きい方位角との間で移動させるように動作する、
請求項4に記載の装置。
The motion assembly includes the detection element assembly at an elevation angle of the first detection element, a first azimuth angle at which the detection element assembly senses small angle scattering of the X-ray, and the detection element assembly is positioned on the surface of the sample. Operating to move between a second larger azimuth angle that senses the X-rays diffracted from in-plane structures;
The apparatus according to claim 4.
前記検出素子アセンブリが、前記試料表面に垂直な第1の軸に沿って前記散乱したX線を分解する第1の検出素子構成と、前記表面に平行な第2の軸に沿って前記散乱したX線を分解する第2の検出素子構成とを有する検出素子アレイを備える、請求項1に記載の装置。   The detection element assembly has a first detection element configuration for resolving the scattered X-ray along a first axis perpendicular to the sample surface, and the scattered along a second axis parallel to the surface. The apparatus of claim 1, comprising a detector element array having a second detector element configuration for resolving x-rays. 前記信号処理部が、前記第1の検出素子構成にある前記検出素子アセンブリからの前記出力信号を処理して、前記表面からの反射率を前記表面に対する仰角の関数として判定し、前記第2の検出素子構成にある前記検出素子アセンブリからの前記出力信号を処理して、前記表面の散乱特性を前記表面平面の方位角の関数として判定するように構成された、請求項6に記載の装置。   The signal processing unit processes the output signal from the detection element assembly in the first detection element configuration to determine a reflectance from the surface as a function of an elevation angle with respect to the surface; The apparatus of claim 6, configured to process the output signal from the sensing element assembly in a sensing element configuration to determine the scattering properties of the surface as a function of the azimuth angle of the surface plane. 前記信号処理部が、前記照射源が前記第1のビームを照射し、かつ前記第1の光源位置にあるときに、前記検出素子アセンブリからの前記出力信号を処理して、前記表面のX線反射率(XRR)スペクトルを獲得し、前記照射源が前記第2のビームを照射し、かつ前記第1の光源位置にあるときに、前記検出素子アセンブリからの前記出力信号を処理して、前記表面のX線小角散乱(SAXS)スペクトルおよび小角X線回折(XRD)スペクトルの少なくとも一つを獲得し、また前記照射源が前記第2の光源位置にあるときに、前記検出アセンブリからの前記出力信号を処理して、前記表面の高角度XRDスペクトルを獲得するように構成された、請求項1に記載の装置。   The signal processing unit processes the output signal from the detection element assembly when the irradiation source irradiates the first beam and is at the first light source position, so that X-rays on the surface Acquiring a reflectance (XRR) spectrum, processing the output signal from the detector element assembly when the illumination source illuminates the second beam and is in the first light source position; Acquiring at least one of a surface X-ray small angle scattering (SAXS) spectrum and a small angle X-ray diffraction (XRD) spectrum, and the output from the detection assembly when the illumination source is at the second light source position; The apparatus of claim 1, configured to process a signal to obtain a high angle XRD spectrum of the surface. 前記信号処理部が、前記照射源が前記第2の光源位置にあって前記第1のビームを照射しているときに高分解能XRDスペクトルを獲得し、前記照射源が前記第2の光源位置にあって前記第2のビームを照射しているときに低分解能XRDスペクトルを獲得するように構成された、請求項8に記載の装置。   The signal processing unit acquires a high-resolution XRD spectrum when the irradiation source is at the second light source position and irradiates the first beam, and the irradiation source is at the second light source position. 9. The apparatus of claim 8, wherein the apparatus is configured to acquire a low resolution XRD spectrum when irradiating the second beam. 動作センサが、前記高分解能XRDスペクトルを獲得するように、前記検出素子アセンブリを前記試料表面からの第1の距離に配置し、前記低分解能XRDスペクトルを獲得するように、前記検出素子アセンブリを、前記第1の距離よりも小さい前記表面からの第2の距離に配置するように構成された、請求項9に記載の装置。   The detector element assembly is positioned at a first distance from the sample surface such that a motion sensor acquires the high resolution XRD spectrum, and the detector element assembly is acquired to acquire the low resolution XRD spectrum; The apparatus of claim 9, wherein the apparatus is configured to be disposed at a second distance from the surface that is less than the first distance. 前記試料が少なくとも1つの表面層を備え、前記信号処理部が、前記XRR、SAXSおよびXRDスペクトルの2つ以上を共に分析するように構成されて、前記少なくとも1つの表面層の特性を判定する、請求項8に記載の装置。   The sample comprises at least one surface layer, and the signal processor is configured to analyze two or more of the XRR, SAXS and XRD spectra together to determine a property of the at least one surface layer; The apparatus according to claim 8. 前記特性が、膜厚、密度、表面品質、空隙率、および結晶構造の少なくとも1つを含む、請求項11に記載の装置。   The apparatus of claim 11, wherein the characteristics include at least one of film thickness, density, surface quality, porosity, and crystal structure. 前記試料表面に平行に、かつ選択領域に隣接して配置されたナイフエッジを備え、前記表面と前記ナイフエッジとの間に空隙を画定して、前記空隙を通過しない前記ビームの一部を遮断する、請求項1に記載の装置。   A knife edge disposed parallel to the sample surface and adjacent to the selected area, defining a gap between the surface and the knife edge to block a portion of the beam that does not pass through the gap The apparatus of claim 1. 対象となる角度範囲に散乱する前記X線を遮断することなく、前記空隙を通過してさらに前記ビーム軸に沿って伝播する前記X線を遮断するように構成されたビーム遮断部を備える、請求項13に記載の装置。   A beam blocking unit configured to block the X-rays that pass through the gap and propagate along the beam axis without blocking the X-rays scattered in a target angle range. Item 14. The device according to Item 13. 前記ナイフエッジがX線吸収材料のシリンダを備える、請求項13に記載の装置。   The apparatus of claim 13, wherein the knife edge comprises a cylinder of x-ray absorbing material. 分析中に前記試料の配向を受けてこれを調整する搭載アセンブリを備え、前記照射源が、前記X線ビームを前記試料表面の選択領域に向けるように構成され、前記信号処理部が、前記表面の特徴的な傾き角を示す傾きマップを受けて、前記傾きマップを基に前記選択領域の傾き角を決定し、前記搭載アセンブリで前記試料の配向を調整させて前記推定された傾き角を補償するように構成された、請求項1に記載の装置。   A mounting assembly for receiving and adjusting the orientation of the sample during analysis, wherein the illumination source is configured to direct the X-ray beam to a selected region of the sample surface; An inclination map showing a characteristic inclination angle is determined, an inclination angle of the selected region is determined based on the inclination map, and the orientation of the sample is adjusted by the mounting assembly to compensate the estimated inclination angle. The apparatus of claim 1, configured to: X線平行ビームをビーム軸に沿ってかすめ角で試料表面の選択領域に向け、前記X線の一部が方位角の範囲で前記領域から散乱するように構成された照射源と、
前記試料表面に平行に、かつ前記選択領域に隣接して配置され、前記表面とナイフエッジとの間に空隙を画定して、前記空隙を通過しない前記ビームの一部を遮断するナイフエッジと、
方位角範囲の少なくとも一部分に散乱したX線を遮断することなく、空隙を通過してさらにビーム軸に沿って伝播するX線を遮断するように構成されたビーム遮断部と、
前記散乱したX線を方位角の関数として感知し、前記散乱したX線に応答して出力信号を生成するように構成された検出素子アセンブリと、
前記出力信号を受けてこれを処理し、試料の特性を判定するように結合された信号処理部とを備える、試料分析装置。
An irradiation source configured to direct an X-ray parallel beam along a beam axis at a grazing angle to a selected region of the sample surface and to scatter a portion of the X-ray from the region within an azimuthal range;
A knife edge disposed parallel to the sample surface and adjacent to the selected region, defining a gap between the surface and the knife edge, and blocking a portion of the beam that does not pass through the gap;
A beam blocker configured to block X-rays that pass through the air gap and further propagate along the beam axis without blocking X-rays scattered in at least a portion of the azimuthal range;
A sensing element assembly configured to sense the scattered x-rays as a function of azimuth and to generate an output signal in response to the scattered x-rays;
A sample analyzer comprising: a signal processing unit coupled to receive and process the output signal and to determine a property of the sample.
前記試料表面に垂直に、かつ前記照射源と前記ナイフエッジとの間に配置された少なくとも1つのスリットを備え、前記散乱したX線を遮断しながら前記平行ビームの少なくとも一部を通過させる、請求項17に記載の装置。   At least one slit disposed perpendicular to the sample surface and between the irradiation source and the knife edge, allowing at least a portion of the parallel beam to pass through while blocking the scattered X-rays. Item 18. The device according to Item 17. 前記少なくとも1つのスリットが、前記照射源に近接して配置された第1のスリットと、前記ナイフエッジに近接して配置された第2のスリットとを備える、請求項18に記載の装置。   The apparatus of claim 18, wherein the at least one slit comprises a first slit disposed proximate to the irradiation source and a second slit disposed proximate to the knife edge. 前記検出素子アセンブリが、
アレイ長さを有する検出素子のアレイと、
少なくとも前記アレイ長さに等しい距離離間した前面および背面を有する排気可能な筐体とから成り、前記アレイが前記筐体の背面に配置され、前記筐体が、その前面に窓を備えて、放射光がそれを通過して前記アレイに衝突するように構成される、請求項17に記載の装置。
The sensing element assembly comprises:
An array of detector elements having an array length;
An evacuable housing having a front surface and a back surface separated by a distance equal to at least the array length, the array being disposed on the back surface of the housing, the housing comprising a window on the front surface, and radiating The apparatus of claim 17, wherein the apparatus is configured to allow light to pass through it and strike the array.
前記ナイフエッジがX線吸収材料のシリンダを備える、請求項17に記載の装置。   The apparatus of claim 17, wherein the knife edge comprises a cylinder of x-ray absorbing material. X線を試料の選択領域に向け、前記X線の一部が前記領域から散乱するように構成された照射源と、
前記試料表面に平行に、かつ前記選択領域に隣接して配置され、前記表面とナイフエッジとの間に空隙を画定して、前記空隙を通過しない前記ビームの一部を遮断する、X線吸収材料のシリンダを有するナイフエッジと、
前記散乱したX線を角度の関数として感知し、前記散乱したX線に応答して出力信号を生成するように構成された検出素子アセンブリと、
前記出力信号を受けてこれを処理し、試料の特性を判定するように結合された信号処理部とを備える試料分析装置。
An irradiation source configured to direct X-rays to a selected region of the sample and to scatter a portion of the X-rays from the region;
X-ray absorption, positioned parallel to the sample surface and adjacent to the selected area, defining a gap between the surface and a knife edge to block a portion of the beam that does not pass through the gap A knife edge with a cylinder of material;
A sensing element assembly configured to sense the scattered x-rays as a function of angle and generate an output signal in response to the scattered x-rays;
A sample analyzer comprising: a signal processing unit coupled to receive and process the output signal and to determine the characteristics of the sample.
前記X線吸収材料のシリンダが金属線を含む、請求項22に記載の装置。   23. The apparatus of claim 22, wherein the x-ray absorbing material cylinder comprises a metal wire. 分析中に前記試料の配向を受けてこれを調整する搭載アセンブリと、
X線平行ビームを前記搭載アセンブリ上の前記試料表面の選択領域に向け、前記X線の一部が方位角の範囲で前記領域から散乱するように構成された照射源と、
前記散乱したX線を方位角の関数として感知し、前記散乱したX線に応答して出力信号を生成するように構成された検出素子アセンブリと、
前記表面の特徴的な傾き角を示す傾きマップを受けて、前記傾きマップを基に前記選択領域の傾き角を決定し、前記推定された傾き角に応答して前記搭載アセンブリで前記試料の配向を調整させる信号処理部であって、配向の調整後に前記出力信号を受けてこれを処理し、試料の特性を判定するように結合された信号処理部とを備える試料分析装置。
A mounting assembly for receiving and adjusting the orientation of the sample during analysis;
An irradiation source configured to direct a parallel X-ray beam to a selected region of the sample surface on the mounting assembly, and to scatter a portion of the X-ray from the region in an azimuthal range;
A sensing element assembly configured to sense the scattered x-rays as a function of azimuth and to generate an output signal in response to the scattered x-rays;
An inclination map indicating a characteristic inclination angle of the surface is received, an inclination angle of the selected region is determined based on the inclination map, and the orientation of the sample in the mounting assembly in response to the estimated inclination angle And a signal processing unit coupled to receive the output signal after adjusting the orientation and process the output signal to determine the characteristics of the sample.
前記照射源が、前記X線の収束ビームを前記表面の複数の位置のそれぞれに向けるように構成され、前記検出素子アセンブリが、前記表面から反射した前記X線を前記表面に対する仰角の関数として感知し、前記信号処理部が、前記反射したX線に応答して前記位置のそれぞれのX線反射率(XRR)スペクトルを測定し、前記XRRスペクトルに基づいて前記位置それぞれの傾き角を決定する、請求項24に記載の装置。   The illumination source is configured to direct the focused beam of X-rays to each of a plurality of positions on the surface, and the detection element assembly senses the X-rays reflected from the surface as a function of an elevation angle relative to the surface. The signal processing unit measures an X-ray reflectivity (XRR) spectrum of each position in response to the reflected X-ray, and determines an inclination angle of each position based on the XRR spectrum. 25. The device according to claim 24. 第1のX線収束ビームを試料表面に向け、第2のX線平行ビームを前記試料表面に向けるように照射源を操作し、
前記照射源を、前記X線が前記照射源から前記試料表面にかすめ角で向けられる第1の光源位置と、前記X線が前記照射源から前記試料表面に前記試料のブラッグ角近傍で向けられる第2の光源位置との間で移動させ、
前記照射源が、前記第1および第2の光源構成の両方、および前記第1および第2の光源位置の両方にあるときに、前記試料から散乱した前記X線を角度の関数として感知して、前記試料の特性を判定する試料分析方法。
Manipulating the irradiation source to direct a first X-ray focused beam to the sample surface and a second X-ray parallel beam to the sample surface;
A first light source position at which the X-ray is directed from the irradiation source to the sample surface at a grazing angle, and the X-ray is directed from the irradiation source to the sample surface in the vicinity of the Bragg angle of the sample. Move between the second light source position,
Sensing the x-rays scattered from the sample as a function of angle when the illumination source is in both the first and second light source configurations and in both the first and second light source positions; Sample analysis method for determining characteristics of the sample.
前記照射源が、
X線源からの前記X線を前記収束ビームに集光する第1のミラーを配置し、
前記X線源からの前記X線を前記平行ビームに集光する第2のミラーを配置することを特徴とする、請求項26に記載の方法。
The irradiation source is
Arranging a first mirror for condensing the X-ray from an X-ray source into the convergent beam;
27. A method according to claim 26, characterized in that a second mirror is provided for condensing the X-rays from the X-ray source into the parallel beam.
前記第1および第2のミラーが二重湾曲構造を有する、請求項27に記載の方法。   28. The method of claim 27, wherein the first and second mirrors have a double curved structure. 前記X線を感知することが、前記散乱したX線を検出素子を用いて捕捉し、前記検出素子を、前記照射源が前記第1の光源位置にあるときに、前記検出素子が前記試料からかすめ角で散乱した前記X線を感知する第1の検出素子仰角と、前記照射源が前記第2の光源位置にあるときに、前記検出素子が前記表面からブラッグ角の近傍で散乱した前記X線を感知する第2の検出素子仰角との間で、前記検出素子を移動させることを特徴とする、請求項26に記載の方法。   Sensing the X-ray captures the scattered X-rays using a detection element, and the detection element is removed from the sample when the irradiation source is at the first light source position. A first detection element elevation angle that senses the X-rays scattered at a grazing angle, and the X of the detection element scattered from the surface in the vicinity of a Bragg angle when the irradiation source is at the second light source position. 27. The method of claim 26, wherein the detection element is moved between a second detection element elevation angle that senses a line. 前記検出素子を移動させることが、前記第1の検出素子仰角にある前記検出素子を、前記検出素子アセンブリが前記X線の小角散乱を感知する第1の方位角と、前記検出素子アセンブリが前記試料表面の面内構造から回折された前記X線を感知する第2のより大きい方位角との間で移動させることをさらに特徴とする、請求項29に記載の方法。   Moving the detection element includes: detecting the detection element at an elevation angle of the first detection element; a first azimuth angle at which the detection element assembly senses small angle scattering of the X-ray; 30. The method of claim 29, further comprising moving between a second larger azimuth angle that senses the x-ray diffracted from the in-plane structure of the sample surface. 前記X線を感知することが、前記試料表面に垂直な第1の軸に沿って前記散乱したX線を分解する第1の検出素子構成と、前記表面に平行な第2の軸に沿って前記散乱したX線を分解する第2の検出素子構成とに配置することを特徴とする、請求項26に記載の方法。   Sensing the x-ray includes a first detector element configuration that resolves the scattered x-ray along a first axis perpendicular to the sample surface, and a second axis parallel to the surface. 27. Method according to claim 26, characterized in that it is arranged in a second detection element configuration for resolving the scattered X-rays. 前記X線を感知することが、前記第1の検出素子構成にある前記検出素子アレイの出力を処理して、前記表面からの反射率を前記表面に対する仰角の関数として判定し、前記第2の検出素子構成にある前記検出素子アレイの出力を処理して、前記表面の散乱特性を前記表面平面の方位角の関数として判定することを特徴とする、請求項30に記載の方法。   Sensing the X-ray processes the output of the detector element array in the first detector element configuration to determine reflectivity from the surface as a function of elevation relative to the surface; 31. The method of claim 30, wherein the output of the detector element array in a detector element configuration is processed to determine the scattering properties of the surface as a function of the azimuth angle of the surface plane. 前記X線を感知することが、前記照射源が前記第1の光源位置にあって前記表面に前記第1のビームを向けているときに、前記表面のX線反射率(XRR)スペクトルを獲得し、前記照射源が前記第1の光源位置にあって前記表面に前記第1のビームを向けているときに、前記表面のX線小角散乱(SAXS)スペクトルおよび小角X線回折(XRD)スペクトルの少なくとも一つを獲得し、また前記照射源が前記第2の光源位置にあるときに、前記表面の高角度XRDスペクトルを獲得することを特徴とする、請求項26に記載の方法。   Sensing the X-ray obtains an X-ray reflectivity (XRR) spectrum of the surface when the illumination source is at the first light source position and directing the first beam to the surface. Then, when the irradiation source is at the first light source position and directs the first beam to the surface, an X-ray small angle scattering (SAXS) spectrum and a small angle X-ray diffraction (XRD) spectrum of the surface 27. The method of claim 26, wherein at least one of the following is acquired and a high angle XRD spectrum of the surface is acquired when the illumination source is at the second light source position. 前記XRDスペクトルを獲得することが、前記照射源が前記第2の光源位置にあって前記表面に前記第1のビームを向けているときに高分解能XRDスペクトルを獲得し、前記照射源が前記第2の光源位置にあって前記表面に前記第2のビームを向けているときに低分解能XRDスペクトルを獲得することを特徴とする、請求項33に記載の方法。   Acquiring the XRD spectrum is to acquire a high resolution XRD spectrum when the illumination source is at the second light source position and directing the first beam to the surface, and the illumination source is 34. The method of claim 33, wherein a low resolution XRD spectrum is acquired when the second beam is at two light source positions and the second beam is directed at the surface. 前記X線を感知することが、前記高分解能XRDスペクトルを獲得するために、前記試料表面からの第1の距離で前記散乱したX線を受けるように検出素子を配置し、前記低分解能XRDスペクトルを獲得するために、前記第1の距離よりも小さい前記表面からの第2の距離で前記散乱したX線を受けるように前記検出素子を配置することを特徴とする、請求項34に記載の方法。   Sensing the X-rays arranges a detector element to receive the scattered X-rays at a first distance from the sample surface to obtain the high resolution XRD spectrum, and the low resolution XRD spectrum. 35. The detection element of claim 34, wherein the detection element is arranged to receive the scattered X-rays at a second distance from the surface that is less than the first distance to obtain Method. 前記試料が少なくとも1つの表面層を備え、前記少なくとも1つの表面層の特性を判定するために、前記XRR、SAXSおよびXRDスペクトルの2つ以上を共に分析することを特徴とする、請求項33に記載の方法。   34. The sample of claim 33, wherein the sample comprises at least one surface layer, and wherein two or more of the XRR, SAXS and XRD spectra are analyzed together to determine characteristics of the at least one surface layer. The method described. 前記特性が、膜厚、密度、表面品質、空隙率、および結晶構造の少なくとも1つを含む、請求項36に記載の方法。   37. The method of claim 36, wherein the properties include at least one of film thickness, density, surface quality, porosity, and crystal structure. 前記試料表面に平行に、かつ選択領域に隣接してナイフエッジを配置し、前記表面と前記ナイフエッジとの間に空隙を画定して、前記空隙を通過しない前記ビームの一部を遮断することを特徴とする、請求項27に記載の方法。   Placing a knife edge parallel to the sample surface and adjacent to the selected area, defining a gap between the surface and the knife edge, and blocking a portion of the beam that does not pass through the gap. 28. The method of claim 27, wherein: 対象となる角度範囲に散乱する前記X線を遮断することなく、前記空隙を通過してさらに前記ビーム軸に沿って伝播する前記X線を遮断するビーム遮断部を配置することを特徴とする、請求項38に記載の方法。   A beam blocking unit that blocks the X-rays that pass through the gap and propagate along the beam axis without blocking the X-rays scattered in a target angle range is characterized by: 40. The method of claim 38. 前記ナイフエッジがX線吸収材料のシリンダを備える、請求項38に記載の方法。   40. The method of claim 38, wherein the knife edge comprises a cylinder of x-ray absorbing material. 前記照射源を操作することが、前記X線ビームを前記試料表面の選択領域に向けることを特徴とし、さらに、
前記表面の特徴的な傾き角を示す傾きマップを提供し、
前記傾きマップに基づいて前記選択領域の傾き角を決定し、
前記試料の配向を調整して前記推定された傾き角を補償することを特徴とする、請求項27に記載の方法。
Manipulating the irradiation source directs the X-ray beam to a selected region of the sample surface;
Providing an inclination map showing a characteristic inclination angle of the surface;
Determining an inclination angle of the selected area based on the inclination map;
28. The method of claim 27, wherein the sample orientation is adjusted to compensate for the estimated tilt angle.
X線平行ビームをビーム軸に沿ってかすめ角で試料表面の選択領域に向けて、前記X線の一部が方位角の範囲で前記領域から散乱するようにし、
前記試料表面に平行に、かつ前記選択領域に隣接してナイフエッジを配置して、前記表面と前記ナイフエッジとの間に空隙を画定して、前記空隙を通過しない前記ビームの一部を遮断し、
方位角範囲の少なくとも一部に散乱する前記X線を遮断することなく、前記空隙を通過してさらに前記ビーム軸に沿って伝播する前記X線を遮断するようにビーム遮断部を配置し、
前記散乱したX線を方位角の関数として感知して試料の特性を判定する試料分析方法。
Directing an X-ray parallel beam at a grazing angle along the beam axis toward a selected region of the sample surface such that a portion of the X-ray scatters from the region within an azimuthal range;
A knife edge is placed parallel to the sample surface and adjacent to the selected area to define a gap between the surface and the knife edge to block a portion of the beam that does not pass through the gap. And
A beam blocking unit is arranged to block the X-rays that pass through the gap and propagate along the beam axis without blocking the X-rays scattered in at least a part of the azimuth range,
A sample analysis method for determining characteristics of a sample by sensing the scattered X-ray as a function of azimuth angle.
前記試料表面に垂直に、前記X線平行ビームの光源と前記ナイフエッジとの間に少なくとも1つのスリットを配置して、前記少なくとも1つのスリットが、前記散乱したX線を遮断しながら前記平行ビームの少なくとも一部を通過させる、請求項42に記載の方法。   At least one slit is disposed perpendicularly to the sample surface between the light source of the X-ray parallel beam and the knife edge, and the parallel beam blocks the scattered X-ray while the at least one slit blocks the scattered X-ray. 43. The method of claim 42, wherein at least a portion of the is passed. 前記少なくとも1つのスリットが、前記照射源に近接して配置された第1のスリットと、前記ナイフエッジに近接して配置された第2のスリットとを備える、請求項43に記載の方法。   44. The method of claim 43, wherein the at least one slit comprises a first slit disposed proximate to the irradiation source and a second slit disposed proximate to the knife edge. 前記ナイフエッジがX線吸収材料のシリンダを備える、請求項42に記載の方法。   43. The method of claim 42, wherein the knife edge comprises a cylinder of x-ray absorbing material. X線ビームを試料の選択領域に向けて、前記X線の一部が前記領域から散乱するようにし、
前記試料表面に平行に、かつ前記選択領域に隣接してX線吸収材料のシリンダを配置して、前記表面と前記シリンダとの間に空隙を画定して、前記空隙を通過しない前記ビームの一部を遮断し、
前記散乱したX線を角度の関数として感知して試料の特性を判定する試料分析方法。
Directing an X-ray beam to a selected region of the sample such that a portion of the X-ray is scattered from the region;
A cylinder of X-ray absorbing material is disposed parallel to the sample surface and adjacent to the selected region to define a gap between the surface and the cylinder, and to prevent the beam from passing through the gap. Block the part,
A sample analysis method for determining characteristics of a sample by sensing the scattered X-ray as a function of angle.
前記X線吸収材料のシリンダが金属線を含む、請求項46に記載の方法。   47. The method of claim 46, wherein the cylinder of x-ray absorbing material comprises a metal wire. 試料の傾きマップを生成し、
X線平行ビームをビーム軸に沿ってかすめ角で前記試料の選択領域に向けて、前記X線の一部が方位角の範囲で前記領域から散乱するようにし、
前記傾きマップに基づいて前記選択領域の傾き角を決定し、
前記試料の配向を調整して前記傾き角を補償し、
配向の調整後に前記散乱したX線を方位角の関数として感知して試料の特性を判定する試料分析方法。
Generate a sample tilt map,
Directing an X-ray parallel beam at a grazing angle along the beam axis toward a selected region of the sample, such that a portion of the X-ray is scattered from the region within an azimuthal range;
Determining an inclination angle of the selected area based on the inclination map;
Adjusting the orientation of the sample to compensate for the tilt angle;
A sample analysis method for determining the characteristics of a sample by sensing the scattered X-rays as a function of azimuth after adjusting the orientation.
前記傾きマップを生成することが、表面の複数の位置それぞれからのX線反射率(XRR)スペクトルを測定し、前記XRRスペクトルに基づいて前記位置それぞれの傾き角を決定することを特徴とする、請求項48に記載の方法。   Generating the tilt map is characterized by measuring an X-ray reflectivity (XRR) spectrum from each of a plurality of positions on the surface and determining a tilt angle of each position based on the XRR spectrum; 49. The method of claim 48. 前記XRRスペクトルを測定することが、前記X線の収束ビームを前記複数の位置それぞれに向け、前記表面から反射した前記X線を前記表面に対する仰角の関数として検出することを特徴とする、請求項49に記載の方法。   The XRR spectrum is measured by directing the X-ray convergent beam to each of the plurality of positions and detecting the X-ray reflected from the surface as a function of an elevation angle with respect to the surface. 49. The method according to 49.
JP2005274293A 2004-09-21 2005-09-21 Multifunctional X-ray analysis system Active JP5031215B2 (en)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US10/946,426 US7120228B2 (en) 2004-09-21 2004-09-21 Combined X-ray reflectometer and diffractometer
US10/946,426 2004-09-21
US11/200,857 2005-08-10
US11/200,857 US7551719B2 (en) 2004-09-21 2005-08-10 Multifunction X-ray analysis system

Publications (3)

Publication Number Publication Date
JP2006138837A true JP2006138837A (en) 2006-06-01
JP2006138837A5 JP2006138837A5 (en) 2011-06-02
JP5031215B2 JP5031215B2 (en) 2012-09-19

Family

ID=36619748

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005274293A Active JP5031215B2 (en) 2004-09-21 2005-09-21 Multifunctional X-ray analysis system

Country Status (2)

Country Link
JP (1) JP5031215B2 (en)
KR (1) KR101231731B1 (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006091017A (en) * 2004-09-21 2006-04-06 Jordan Valley Applied Radiation Ltd X-ray reflectometer combined with diffractometer
JP2008046130A (en) * 2006-08-15 2008-02-28 Jordan Valley Semiconductors Ltd Control for x-ray beam spot size
JP2009085659A (en) * 2007-09-28 2009-04-23 Rigaku Corp X-ray diffraction measuring instrument equipped with debye-scherrer optical system and x-ray diffraction measuring method therefor
JP2012177688A (en) * 2011-01-31 2012-09-13 Rigaku Corp X-ray diffraction device
JP2017504045A (en) * 2014-01-23 2017-02-02 リヴェラ インコーポレイテッド Method and system for measuring periodic structure using multi-angle X-ray reflection scatter measurement (XRS)
CN110567997A (en) * 2019-10-11 2019-12-13 中国科学院上海应用物理研究所 vacuum cavity assembly for scattering experiment station

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109997031B (en) * 2016-09-19 2022-05-10 索雷克核研究中心 X-ray fluorescence system and method for detecting material and control system
KR102135213B1 (en) * 2020-01-10 2020-07-17 주식회사 에이피엔 A Focus Regulating Type of a Collimator Apparatus for a Radiation Investigation
JP7316473B1 (en) 2023-03-27 2023-07-27 直行 棚野 Switchboard installation method

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0666741A (en) * 1992-08-24 1994-03-11 Mc Sci:Kk X-ray diffractometer
JPH08313458A (en) * 1995-05-17 1996-11-29 Rigaku Corp X-ray equipment
JPH09229879A (en) * 1996-02-20 1997-09-05 Rigaku Corp X-ray apparatus
JPH1114562A (en) * 1997-06-23 1999-01-22 Nec Corp Method and apparatus for x-ray diffraction microscopy
JP2003515729A (en) * 1999-11-29 2003-05-07 エックス−レイ オプティカル システムズ,インク. Double curved optical element with gradually changing atomic planes
JP2003194744A (en) * 2001-12-28 2003-07-09 Rigaku Corp X-ray diffractometer
WO2004013867A2 (en) * 2002-08-02 2004-02-12 X-Ray Optical Systems, Inc. An optical device for directing x-rays having a plurality of optical crystals
JP2004245840A (en) * 2003-02-12 2004-09-02 Jordan Valley Applied Radiation Ltd X-ray reflectance measurement including small angle scattering measurement

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4327477B2 (en) * 2003-02-27 2009-09-09 株式会社島津製作所 X-ray fluoroscope

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0666741A (en) * 1992-08-24 1994-03-11 Mc Sci:Kk X-ray diffractometer
JPH08313458A (en) * 1995-05-17 1996-11-29 Rigaku Corp X-ray equipment
JPH09229879A (en) * 1996-02-20 1997-09-05 Rigaku Corp X-ray apparatus
JPH1114562A (en) * 1997-06-23 1999-01-22 Nec Corp Method and apparatus for x-ray diffraction microscopy
JP2003515729A (en) * 1999-11-29 2003-05-07 エックス−レイ オプティカル システムズ,インク. Double curved optical element with gradually changing atomic planes
JP2003194744A (en) * 2001-12-28 2003-07-09 Rigaku Corp X-ray diffractometer
WO2004013867A2 (en) * 2002-08-02 2004-02-12 X-Ray Optical Systems, Inc. An optical device for directing x-rays having a plurality of optical crystals
JP2004245840A (en) * 2003-02-12 2004-09-02 Jordan Valley Applied Radiation Ltd X-ray reflectance measurement including small angle scattering measurement

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006091017A (en) * 2004-09-21 2006-04-06 Jordan Valley Applied Radiation Ltd X-ray reflectometer combined with diffractometer
JP2008046130A (en) * 2006-08-15 2008-02-28 Jordan Valley Semiconductors Ltd Control for x-ray beam spot size
JP2009085659A (en) * 2007-09-28 2009-04-23 Rigaku Corp X-ray diffraction measuring instrument equipped with debye-scherrer optical system and x-ray diffraction measuring method therefor
JP2012177688A (en) * 2011-01-31 2012-09-13 Rigaku Corp X-ray diffraction device
US8903044B2 (en) 2011-01-31 2014-12-02 Rigaku Corporation X-ray diffraction apparatus
JP2017504045A (en) * 2014-01-23 2017-02-02 リヴェラ インコーポレイテッド Method and system for measuring periodic structure using multi-angle X-ray reflection scatter measurement (XRS)
CN110567997A (en) * 2019-10-11 2019-12-13 中国科学院上海应用物理研究所 vacuum cavity assembly for scattering experiment station

Also Published As

Publication number Publication date
JP5031215B2 (en) 2012-09-19
KR101231731B1 (en) 2013-02-08
KR20060051491A (en) 2006-05-19

Similar Documents

Publication Publication Date Title
US7551719B2 (en) Multifunction X-ray analysis system
JP5031215B2 (en) Multifunctional X-ray analysis system
JP5009563B2 (en) Sample inspection method and apparatus
JP4512382B2 (en) X-ray reflectivity measurement including small angle scattering measurement
US8731138B2 (en) High-resolution X-ray diffraction measurement with enhanced sensitivity
KR101242520B1 (en) Enhancement of x-ray reflectometry by measurement of diffuse reflections
US7076024B2 (en) X-ray apparatus with dual monochromators
US7130376B2 (en) X-ray reflectometry of thin film layers with enhanced accuracy
JP7308233B2 (en) Small-angle X-ray scattering meter
US7600916B2 (en) Target alignment for X-ray scattering measurements
US20240077435A1 (en) Small-angle x-ray scatterometry
JP2007285923A (en) Measurement of critical dimensions using x-ray diffraction in reflection mode
JP4677217B2 (en) Sample inspection method, sample inspection apparatus, cluster tool for manufacturing microelectronic devices, apparatus for manufacturing microelectronic devices
JP5302281B2 (en) Sample inspection method and apparatus

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080919

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20080919

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080930

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20080930

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101221

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110317

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110323

A524 Written submission of copy of amendment under article 19 pct

Free format text: JAPANESE INTERMEDIATE CODE: A524

Effective date: 20110420

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110906

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120612

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120627

R150 Certificate of patent or registration of utility model

Ref document number: 5031215

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150706

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250