JP2005531156A - Manufacturing method of CMOS device by implantation of N and P type cluster ions and anions - Google Patents

Manufacturing method of CMOS device by implantation of N and P type cluster ions and anions Download PDF

Info

Publication number
JP2005531156A
JP2005531156A JP2004517618A JP2004517618A JP2005531156A JP 2005531156 A JP2005531156 A JP 2005531156A JP 2004517618 A JP2004517618 A JP 2004517618A JP 2004517618 A JP2004517618 A JP 2004517618A JP 2005531156 A JP2005531156 A JP 2005531156A
Authority
JP
Japan
Prior art keywords
ion
cluster
implantation
ions
energy
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2004517618A
Other languages
Japanese (ja)
Other versions
JP4744141B2 (en
Inventor
トーマス エヌ ホースキー
デイル シー ジャコブソン
ウェイド エイ クラル
Original Assignee
セムエキップ インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/251,491 external-priority patent/US20040002202A1/en
Application filed by セムエキップ インコーポレイテッド filed Critical セムエキップ インコーポレイテッド
Publication of JP2005531156A publication Critical patent/JP2005531156A/en
Application granted granted Critical
Publication of JP4744141B2 publication Critical patent/JP4744141B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26566Bombardment with radiation with high-energy radiation producing ion implantation of a cluster, e.g. using a gas cluster ion beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/2658Bombardment with radiation with high-energy radiation producing ion implantation of a molecular ion, e.g. decaborane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/42Bombardment with radiation
    • H01L21/423Bombardment with radiation with high-energy radiation
    • H01L21/425Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/31701Ion implantation

Abstract

【課題】 イオン注入システムと、N型ドーパントクラスターイオン及び負に荷電したクラスターイオンビームのクラスターから形成されたイオンビームを注入する半導体製造方法とを提供する。
【解決手段】 半導体素子製造に対する半導体基板内へのクラスターイオンの注入のためのイオン注入システム(10)、及びCMOS素子内のトランジスタを形成するためにN及びP型ドーパントのクラスターが注入される半導体素子を製造する方法。例えば、注入中に、As4x +クラスターとB10x又はB10x +クラスターとは、それぞれAs及びBドーパントの供給源として使用される。イオン注入システム(10)は、半導体素子製造のための半導体基板内へのクラスターイオンの注入に関して説明される。
PROBLEM TO BE SOLVED: To provide an ion implantation system and a semiconductor manufacturing method for implanting an ion beam formed from a cluster of N-type dopant cluster ions and a negatively charged cluster ion beam.
An ion implantation system for implanting cluster ions into a semiconductor substrate for semiconductor device fabrication, and a semiconductor into which clusters of N and P type dopants are implanted to form a transistor in a CMOS device. A method of manufacturing an element. For example, during implantation, As 4 H x + clusters and B 10 H x or B 10 H x + clusters are used as sources of As and B dopants, respectively. The ion implantation system (10) is described with reference to the implantation of cluster ions into a semiconductor substrate for semiconductor device fabrication.

Description

関連出願
本出願は、いずれも2002年6月26日出願の米国特許仮出願出願番号第60/392,271号及び第60/391,847号に対する優先権及びその恩典を請求する。本特許出願はまた、2002年9月16日出願の本出願人所有で現在特許出願中の米国特許出願出願番号第10/244,617号及び2002年9月20日出願の米国特許出願出願番号第10/251,491号に対する優先権を請求する。
RELATED APPLICATIONS This application claims priority to and benefits from US Provisional Application Nos. 60 / 392,271 and 60 / 391,847, both filed June 26, 2002. This patent application is also owned by the present applicant, filed Sep. 16, 2002, and currently filed in U.S. Patent Application No. 10 / 244,617 and U.S. Patent Application No. filed on Sep. 20, 2002. Claim priority to 10 / 251,491.

本発明は、イオン注入システムと、N型ドーパントクラスターイオン及び負に荷電したクラスターイオンビームのクラスターから形成されたイオンビームを注入する半導体製造方法とに関する。   The present invention relates to an ion implantation system and a semiconductor manufacturing method for implanting an ion beam formed from a cluster of N-type dopant cluster ions and a negatively charged cluster ion beam.

半導体素子の製造では、ドープ領域を形成するために半導体基板内への不純物の導入が部分的に行われる。不純物元素は、半導体材料と適切に結合して電気担体を生成し、半導体材料の導電率を変えるように選択される。電気担体は、電子(N型ドーパントによって生成)又は正孔(P型ドーパントによって生成)とすることができる。導入されたドーパント不純物の濃度により、得られる領域の導電率が決まる。集合的に半導体素子として機能するトランジスタ構造、隔離構造、及び他のそのような電子構造を形成するために、多くのこのようなN型及びP型不純物領域が作り出されるべきである。   In the manufacture of a semiconductor device, impurities are partially introduced into a semiconductor substrate in order to form a doped region. The impurity element is selected to appropriately combine with the semiconductor material to produce an electrical carrier and change the conductivity of the semiconductor material. The electrical carrier can be an electron (generated by an N-type dopant) or a hole (generated by a P-type dopant). The conductivity of the resulting region is determined by the concentration of the introduced dopant impurity. Many such N-type and P-type impurity regions should be created to form transistor structures, isolation structures, and other such electronic structures that collectively function as semiconductor elements.

ドーパントを半導体基板内に導入する従来の方法は、イオン注入によるものである。イオン注入においては、望ましい元素を含有する供給材料をイオン源に導入し、供給材料をイオン化するためにエネルギが導入されて、ドーパント元素(例えば、元素75As、11B、115In、31P、又は121Sb)を含有するイオンを作り出す。一般的に正電荷のイオンを抽出して加速し、従ってイオンビームを作り出すために、加速電界が設けられる。次に、当業技術で公知のように、抽出される種を選択するために質量分析を用い、イオンビームが半導体基板に向けられる。加速電界により、イオンの運動エネルギが得られ、それによってイオンは、ターゲットの中に入り込むことができる。イオンのエネルギ及び質量によってターゲットへの貫通の深さが決まり、イオンのエネルギが高いほど及び/又は質量が小さいほど、その速度が大きくなるためにターゲット内に深く貫通することができる。イオン注入システムは、イオンビームエネルギ、イオンビーム質量、イオンビーム流(電荷/単位時間)、及びターゲットにおけるイオン線量(ターゲット内に貫通する単位面積あたりのイオンの総数)のような注入処理における重要な変数を慎重に制御するように構成される。更に、ビーム角の広がり(イオンが基板に当たる角度の変動)及びビームの空間的均一性及び範囲もまた、半導体素子収率を維持するために制御されるべきである。 A conventional method for introducing a dopant into a semiconductor substrate is by ion implantation. In ion implantation, a feed material containing a desired element is introduced into an ion source, energy is introduced to ionize the feed material, and a dopant element (eg, elements 75 As, 11 B, 115 In, 31 P, Alternatively, ions containing 121 Sb) are created. In general, an accelerating electric field is provided to extract and accelerate positively charged ions, thus creating an ion beam. The ion beam is then directed at the semiconductor substrate using mass spectrometry to select the species to be extracted, as is known in the art. The accelerating electric field provides the kinetic energy of the ions so that they can penetrate into the target. The depth of penetration into the target is determined by the energy and mass of the ions, and the higher the energy of the ions and / or the smaller the mass, the greater the velocity, and the deeper the penetration into the target. Ion implantation systems are important in implantation processes such as ion beam energy, ion beam mass, ion beam flow (charge / unit time), and ion dose at the target (total number of ions per unit area penetrating into the target). Configured to carefully control variables. In addition, the beam angle spread (variation in the angle at which ions strike the substrate) and the spatial uniformity and extent of the beam should also be controlled to maintain semiconductor device yield.

陰イオンの注入は、陽イオン注入に優る利点を有することが近年認識されている(例えば、D.C.Jacobson、Konstantin Bourdelle、H−J.Gossman、M.Sosnowski、M.A.Albano、V.Babaram、J.M.Poate、Aditya Agarwal、Alex Perel、及び、Tom Horsky「デカボランという超低エネルギイオン注入の代替手法」、第13回イオン注入技術に関する国際会議のIEEE講演論文集、オーストリア、アルプスバック、2000年、N.Kishimoto他「高電流陰イオン注入装置及び絶縁体におけるナノ結晶製造のためのその応用」、第12回イオン注入技術に関する国際会議のIEEE講演論文集、京都、日本、6月22〜26日、1998年、(1999年)342〜345頁、N.Tsubouchi他「質量分離した低エネルギ陽及び陰イオン堆積装置のビーム特徴付け」、第12回イオン注入技術に関する国際会議のIEEE講演論文集、京都、日本、6月22〜26日、1998年、(1999年)350〜353頁、及び、Junzo Ishikawa他「陰イオン注入技術」、物理研究における核計装及び方法、B96(1995年)7〜12頁を参照)。陰イオン注入の1つの非常に重要な利点は、CMOS製造におけるVLSI素子のイオン注入で誘導される表面電荷を低減することである。一般的に、陽イオンの高電流(1mA程度又はそれ以上)の注入は、ゲート酸化物及び半導体素子の他の構成要素上にゲート酸化物の損傷閾値を容易に超える可能性がある陽電位を生成する。陽イオンが半導体素子の表面に衝突すると、正味の正電荷を堆積するばかりでなく、同時に二次電子を遊離させ、荷電効果が倍増する。従って、イオン注入システムの機器販売業者は、注入処理中に低エネルギ電子を正電荷イオンビーム内及び素子ウェーハ表面上に導入するための最先端の荷電制御装置、いわゆる電子フラッドガンを既に開発している。このような電子フラッドシステムは、付加的な変数を製造工程に導入し、表面電荷による収率損失を完全に排除することはできない。半導体素子がますます小型化すると、トランジスタ作動電圧及びゲート酸化物肉厚も小さくなり、半導体素子製造における損害閾値が小さくなり、収率を更に減少させる。従って、陰イオン注入は、潜在的に多くの最先端処理に対して従来の陽イオン注入を凌ぐ大幅な収率改善をもたらす。残念ながら、この技術は、まだ商業的に利用可能ではなく、実際に、本発明人の知り限りでは、陰イオン注入は、研究開発においてさえも集積回路の製造には使用されていない。   It has recently been recognized that anion implantation has advantages over cation implantation (eg, DC Jacobson, Konstantin Bourdel, HJ Gossman, M. Sosnowski, MA Albano, V). Babaram, J.M. Bakk, 2000, N. Kishimoto et al., “High Current Anion Implanter and its Application for Nanocrystal Fabrication in Insulators”, Proceedings of the 12th International Conference on Ion Implantation Technology, Kyoto, Japan, 6 Moon 2–26, 1998, (1999) 342-345, N. Tsubouchi et al., “Beam Characterization of Mass-Separated Low Energy Positive and Negative Ion Deposition Devices”, 12th International Conference on Ion Implantation Technology, IEEE Proceedings, Kyoto, Japan, June 22-26, 1998, (1999) 350-353, and Junzo Ishikawa et al., “Anion Implantation Technology”, Nuclear Instrumentation and Methods in Physics Research, B96 (1995) Year) see pages 7-12). One very important advantage of negative ion implantation is that it reduces the surface charge induced by ion implantation of VLSI devices in CMOS fabrication. In general, high current injections of cations (on the order of 1 mA or more) result in positive potentials that can easily exceed the gate oxide damage threshold on the gate oxide and other components of the semiconductor device. Generate. When the cations collide with the surface of the semiconductor device, not only a net positive charge is deposited, but also secondary electrons are released at the same time, and the charging effect is doubled. Therefore, equipment suppliers of ion implantation systems have already developed state-of-the-art charge control devices, so-called electron flood guns, for introducing low energy electrons into the positively charged ion beam and onto the device wafer surface during the implantation process. Yes. Such an electronic flood system introduces additional variables into the manufacturing process and cannot completely eliminate yield losses due to surface charge. As semiconductor devices become smaller and smaller, transistor operating voltage and gate oxide thickness also decrease, resulting in a lower damage threshold in semiconductor device manufacturing, further reducing yield. Thus, anion implantation provides a significant yield improvement over conventional cation implantation for potentially many advanced processes. Unfortunately, this technique is not yet commercially available and in fact, to the best of the inventors' knowledge, anion implantation has not been used in integrated circuit fabrication, even in research and development.

従来技術の陰イオン源は、いわゆるネガティブ・アフィニティー・スパッタ・ターゲットに依存してきた。キセノンのような重不活性ガスが、Xe+イオンを生成するプラズマ源に供給される。Xe+イオンは、生成されると、セシウム蒸気又は他の適切なアルカリ材料で被覆した負にバイアスされたスパッタターゲットに引かれる。強力なXe+イオンは、中性ターゲット原子をスパッタし、その一部は、セシウムコーティングの負電子親和力のために、ターゲット表面を出る間に電子を拾う。ターゲットイオンは、負に荷電されると、ターゲットから反撥され、静電イオン光学要素によってイオン源から収集されて集束し、陰イオンビームになることができる。本方法によってホウ素のような半導体ドーパントイオンを生成することは可能であるが、イオン電流は低くなる傾向があり、ビーム放射は大きくなる傾向があり、また、アルカリ金属がシリコン処理に対して非常に深刻な汚染物質と考えられることから、セシウム蒸気の存在は、ウェーハ収率に対してほとんど許容できない危険性を呈する。従って、商業レベルでより実行可能な陰イオン源技術が必要とされている。 Prior art anion sources have relied on so-called negative affinity sputter targets. A heavy inert gas such as xenon is supplied to a plasma source that generates Xe + ions. As Xe + ions are generated, they are attracted to a negatively biased sputter target coated with cesium vapor or other suitable alkaline material. Strong Xe + ions sputter neutral target atoms, some of which pick up electrons while leaving the target surface due to the negative electron affinity of the cesium coating. When the target ions are negatively charged, they are repelled from the target and can be collected and focused from the ion source by the electrostatic ion optical element to become an anion beam. Although it is possible to produce semiconductor dopant ions such as boron by this method, the ion current tends to be low, the beam radiation tends to be large, and the alkali metal is very The presence of cesium vapor presents an almost unacceptable risk to wafer yield because it is considered a serious contaminant. Therefore, there is a need for an anion source technology that is more feasible at the commercial level.

半導体製造工程において特に関心を持たれているのは、半導体基板内のP−N接合の形成である。これには、N型及びP型ドーピングの隣接領域の形成が必要である。接合の形成の一般的な一例は、既にP型ドーパントの均一な分布を含む半導体領域へのN型ドーパントの注入である。このような場合、重要なパラメータは、接合深さであり、これは、N型及びP型ドーパントが等しい濃度を有する半導体表面からの深さとして定義される。この接合深さは、注入されたドーパントの質量、エネルギ、及び線量に主に依存する。   Of particular interest in the semiconductor manufacturing process is the formation of a PN junction in a semiconductor substrate. This requires the formation of adjacent regions of N-type and P-type doping. One common example of junction formation is the implantation of N-type dopants into a semiconductor region that already contains a uniform distribution of P-type dopants. In such cases, an important parameter is the junction depth, which is defined as the depth from the semiconductor surface where N-type and P-type dopants have equal concentrations. This junction depth depends mainly on the mass, energy and dose of the implanted dopant.

最新の半導体技術の重要な一面は、より小型及び高速の素子に向かう連続的な進化である。この工程は、スケーリングと呼ばれる。スケーリングは、リソグラフィ処理に対する連続的な改善の進歩によって推し進められ、集積回路を含む半導体基板における形態の更なる小型化の定義を可能にするものである。一般的に受け入れられているスケーリング理論は、半導体素子設計の全ての面の同時すなわち各技術又はスケーリングノードでの適切なサイズ変更においてチップ製造業者を補助するために開発されたものである。イオン注入処理に及ぼすスケーリングの最大の影響は、素子寸法の小型化に伴って益々浅い結合が要求される接合深さのスケーリングである。集積回路技術の尺度としての益々浅くなる接合に関するこの要件は、各スケーリング段階でイオン注入エネルギを低減すべきであるという要件に変わる。近年、多くの重要なインプラントに必要とされる注入エネルギは、元々は遥かに高いエネルギビームを生成するように開発された従来のイオン注入システムが、必要なインプラントを供給するのに有効でなくなる点にまで減少している。これらの極端に浅い接合は、「超浅接合」すなわちUSJと呼ばれる。   An important aspect of modern semiconductor technology is the continuous evolution towards smaller and faster devices. This process is called scaling. Scaling is driven by continuous improvement advances to lithographic processing, and allows for the definition of further miniaturization of features in semiconductor substrates including integrated circuits. A generally accepted scaling theory has been developed to assist chip manufacturers in the appropriate sizing of all aspects of semiconductor device design simultaneously, ie at each technology or scaling node. The greatest effect of scaling on the ion implantation process is the scaling of the junction depth, which requires increasingly shallow coupling as device dimensions are reduced. This requirement for increasingly shallow junctions as a measure of integrated circuit technology translates to the requirement that ion implantation energy should be reduced at each scaling step. In recent years, the implantation energy required for many critical implants is that traditional ion implantation systems, originally developed to produce a much higher energy beam, are not effective in providing the necessary implants. It has decreased to. These extremely shallow junctions are referred to as “ultra shallow junctions” or USJs.

低ビームエネルギでの従来のイオン注入システムの限界は、イオン源からのイオンの抽出、及び注入装置のビームラインを通るその後の移送において最も明白である。イオン抽出は、チャイルド−ラングミュア関係によって支配され、これは、抽出されたビーム電流密度が抽出電圧(すなわち、抽出時のビームエネルギ)の3/2乗に比例するというものである。図1は、最大抽出ヒ素ビーム電流に対する抽出電圧を示すグラフである。簡素化のために、75As+イオンのみが抽出ビーム内に存在すると仮定している。図1は、エネルギが小さくなると、抽出電流が急速に落ちることを示す。従来のイオン注入装置においては、この「抽出制限」作動のレジームは、約10keVよりも少ないエネルギで見られる。同様の制約は、低エネルギビームの移送において発生する。エネルギが小さくなるほど、イオンビームは低い速度で移動し、従って、ビーム電流の所定の値に対してイオンが互いに接近し、すなわち、イオン密度が大きくなる。これは、J=nevの関係に見ることができ、ここで、JはmA/cm2単位でのイオンビーム電流密度、nはcm-3単位でのイオン密度、eは電荷(=6.02x10-19クーロン)、及び、vはcm/s単位での平均イオン速度である。イオン間の静電力は、それらの間の距離の二乗に反比例することから、この相互に反撥する力は、低エネルギ時の方が遥かに強く、従って、イオンビームを分散させる。この現象は、「ビーム・ブローアップ」と呼ばれる。注入装置のビームラインに存在する低エネルギ電子は、正電荷のイオンビームによって捕捉され、移送中の空間−電荷ブローアップを補償するのを助ける傾向があるが、それでもブローアップは依然として発生し、結び付きが緩く移動性の高い補償電子をビームから剥ぎ取る傾向がある静電式集光レンズが存在する場合に最も顕著である。低エネルギビーム移送は、所定のイオンエネルギではイオン速度が軽い原子の場合よりも遅いことから、ヒ素(75amu)のような大きな原子では困難である可能性がある。また、P型ドーパントであるホウ素の場合は、抽出及び移送の厳しい困難も存在する。ホウ素の移送は、いくつかの最先端処理によって必要とされる極端に低い注入エネルギ(例えば、1keV未満)と、一般的なBF3源プラズマから抽出されて移送されるイオンの大半は、望ましいイオン11+ではなく、むしろ抽出イオンビームの電荷密度及び平均質量を大きくする役目をする19+及び49BF2 +のようなイオンフラグメントであるという事実とによって困難なものになる。VLSI半導体製造の将来を考えると、低エネルギAs及びBのかなりの電流を移送する際のこれらの問題が組み合わされ、USJの形成を非常に困難にしている。 The limitations of conventional ion implantation systems with low beam energy are most evident in the extraction of ions from the ion source and subsequent transport through the implanter beam line. Ion extraction is governed by the Child-Langmuir relationship, where the extracted beam current density is proportional to the 3/2 power of the extraction voltage (ie, the beam energy at the time of extraction). FIG. 1 is a graph showing the extracted voltage with respect to the maximum extracted arsenic beam current. For simplicity, it is assumed that only 75 As + ions are present in the extraction beam. FIG. 1 shows that the extraction current drops rapidly as the energy decreases. In conventional ion implanters, this “extraction limited” operating regime is seen with less than about 10 keV energy. Similar constraints occur in the transfer of low energy beams. The smaller the energy, the lower the ion beam moves, and thus the ions approach each other for a given value of beam current, i.e. the ion density increases. This can be seen in the relationship J = nev, where J is the ion beam current density in mA / cm 2 units, n is the ion density in cm −3 units, and e is the charge (= 6.02 × 10 6 -19 coulombs) and v are average ion velocities in cm / s. Since the electrostatic force between ions is inversely proportional to the square of the distance between them, this repulsive force is much stronger at low energies, thus dispersing the ion beam. This phenomenon is called “beam blow-up”. Low energy electrons present in the implanter beam line are trapped by the positively charged ion beam and tend to help compensate for the space-charge blow-up during transport, but the blow-up still occurs and is tied up This is most noticeable when there is an electrostatic condenser lens that has a tendency to peel off the compensation electrons that are loose and have high mobility. Low energy beam transfer can be difficult with large atoms such as arsenic (75 amu), because for a given ion energy, the ion velocity is slower than with light atoms. In the case of boron, which is a P-type dopant, there are severe difficulties in extraction and transfer. Boron transfer is an extremely low implantation energy (eg, less than 1 keV) required by some state-of-the-art processes, and most of the ions extracted and transferred from a typical BF 3 source plasma are desirable ions. This is made difficult by the fact that it is not 11 B + but rather ion fragments such as 19 F + and 49 BF 2 + which serve to increase the charge density and average mass of the extracted ion beam. Considering the future of VLSI semiconductor manufacturing, these problems in transferring significant currents of low energy As and B combine to make USJ formation very difficult.

上述のチャイルド−ラングミュアの式から恩典を受ける1つの方法は、例えば、図1aに示すように、ドーパント原子ではなく、関連するドーパントを含む分子をイオン化することによってイオンの質量を大きくすることである。このようにして、分子の運動エネルギは移送中の方が大きいけれども、分子は、基板に入ると構成原子に分解し、質量分布に従って個々の原子間で分子のエネルギを共有し、その結果、ドーパント原子の注入エネルギは、その元の移送運動エネルギよりも遥かに小さくなる。ラジカル「Y」に結び付いたドーパント原子「X」を考察する(議論の都合上、「Y」が素子形成工程に影響を与えるか否かの疑問は無視する)。X+の代わりにイオンXY+が注入された場合、XY+は、{(XYの質量)/(Xの質量)}に等しい係数だけ増加したより大きなエネルギで抽出されて移送されるべきであり、これによって、Xの速度は同じままである。上述のチャイルド−ラングミュア式で説明した空間−電荷効果は、イオンエネルギに関して超線形であることから、最大移送可能イオン電流が大きくなる。従来から、低エネルギ注入の問題に対処するための多原子分子の使用は、当業技術で公知である。一般的な例は、B+の代わりに、低エネルギホウ素の注入にBF2 +分子イオンを使用することである。この処理によってFB3供給ガスが注入用のBF2 +に分解される。このようにして、イオン質量が49AMUに大きくなり、単一のホウ素原子の使用を凌いで、抽出及び移送エネルギをほぼ5倍だけ(すなわち、49/11)増大させる。しかし、ホウ素エネルギは、注入されると、(49/11)という同じ係数だけ小さくなる。この手法では、ビーム内の単位電荷当たりのホウ素原子は1つしかないことから、ビーム内の電流密度が小さくならないことが分かる。更に、この処理はまた、フッ素原子をホウ素と共に半導体基板に注入するが、フッ素は、半導体素子に悪影響を示すことが公知である。 One way to benefit from the above Child-Langmuir equation is to increase the mass of the ions by ionizing molecules that contain the associated dopant, rather than the dopant atom, for example, as shown in FIG. 1a. . In this way, although the kinetic energy of the molecule is greater during transport, the molecule decomposes into constituent atoms as it enters the substrate and shares the molecular energy among the individual atoms according to the mass distribution, resulting in dopants. The atom implantation energy is much smaller than its original transport kinetic energy. Consider the dopant atom “X” linked to the radical “Y” (for the sake of discussion, ignore the question of whether “Y” affects the device formation process). If ions XY + are implanted instead of X + , XY + should be extracted and transported with greater energy increased by a factor equal to {(XY mass) / (X mass)}. This keeps the speed of X the same. The space-charge effect described in the above Child-Langmuir equation is superlinear with respect to ion energy, and therefore the maximum transportable ion current increases. Traditionally, the use of polyatomic molecules to address the problem of low energy implantation is known in the art. A common example is to use BF 2 + molecular ions for low energy boron implantation instead of B + . By this process, the FB 3 supply gas is decomposed into BF 2 + for injection. In this way, the ion mass is increased to 49 AMU, increasing the extraction and transfer energy by almost a factor of five (ie 49/11) over the use of a single boron atom. However, when boron energy is implanted, it decreases by the same factor of (49/11). This technique shows that the current density in the beam does not decrease because there is only one boron atom per unit charge in the beam. In addition, this process also implants fluorine atoms into the semiconductor substrate along with boron, which is known to adversely affect semiconductor devices.

また、Jacobson他「デカボランという超低エネルギイオン注入の代替手法」、第13回イオン注入技術に関する国際会議のIEEE講演論文集、アルプスバック、オーストリア、300〜303頁(2000年)、及び、Yamada「材料処理のためのガスクラスターイオンビームの応用」、材料化学及びエンジニアリング、A217/218、82〜88頁(1996年)で報告されているように、イオン注入に対してデカボランを多原子分子として使用する分子イオンの研究が行われてきた。この場合、注入された粒子は、10個のホウ素原子を含むデカボラン分子B1014のイオンであり、従って、ホウ素原子の「クラスター」である。この技術は、イオンの質量を大きくするばかりでなく、デカボランイオンB10x +が単位電荷当たり10個のホウ素原子を有するので、所定のイオン電流に対して、注入された線量率を実質的に増大する。これは、シリコン内のUSJのP型金属酸化膜半導体(PMOS)トランジスタの形成、及び一般的に超低エネルギホウ素の注入に対する非常に有望な技術である。イオンビーム内で運ばれる電流を大きく減少させる(デカボランイオンの場合は1/10)と、ビームの空間電荷効果が低減されるだけではなく、ウェーハ荷電効果も低減される。陽イオンビーム衝撃によるウェーハ、特にゲート酸化物の荷電は、敏感なゲート隔離を損傷することで素子収率を低減することが公知であるから、クラスターイオンビームの使用によるこのような電流の低減は、非常に低いゲート閾値電圧に適合させることがますます必要になるUSJ素子製造に対して非常に魅力的である。P型分子注入のこれらの2つの例においては、イオンは、供給材料のクラスターへの集塊によってではなく、供給材料の単純なイオン化によって生成されることに注意すべきである。また、N型分子ドーパントイオンを生成するために開発された類似の技術が今日までなかったことにも注意すべきである。相補型金属酸化膜半導体(CMOS)処理の将来の成功は、実行可能なN型及びP型多原子注入技術の商業化次第であろう。すなわち、今日の半導体製造業界が直面する2つの明確な問題、すなわち、ウェーハ荷電と低エネルギイオン注入における低い生産性とを解決する必要性が存在する。 Also, Jacobson et al. “Alternative method of ultra-low energy ion implantation called decaborane”, IEEE Proceedings of the 13th International Conference on Ion Implantation Technology, Alpsbach, Austria, 300-303 (2000), and Yamada “ Use of decaborane as a polyatomic molecule for ion implantation as reported in "Applications of Gas Cluster Ion Beams for Material Processing", Materials Chemistry and Engineering, A217 / 218, pp. 82-88 (1996) Molecular ion research has been conducted. In this case, the implanted particles are ions of the decaborane molecule B 10 H 14 containing 10 boron atoms and are therefore “clusters” of boron atoms. This technique not only increases the mass of the ions, but the decaborane ion B 10 H x + has 10 boron atoms per unit charge, so that the injected dose rate is substantially reduced for a given ion current. Increase. This is a very promising technique for the formation of USJ P-type metal oxide semiconductor (PMOS) transistors in silicon, and generally the implantation of ultra-low energy boron. Reducing the current carried in the ion beam significantly (1/10 for decaborane ions) not only reduces the space charge effect of the beam, but also reduces the wafer charging effect. It is known that charging of wafers, especially gate oxide, by cation beam bombardment reduces device yield by damaging sensitive gate isolation, so the reduction of such current by using cluster ion beams is not possible. It is very attractive for USJ device manufacturing, which is increasingly required to adapt to very low gate threshold voltages. It should be noted that in these two examples of P-type molecular implantation, ions are generated by simple ionization of the feed material, not by agglomeration into the feed material cluster. It should also be noted that no similar technology has been developed to date to produce N-type molecular dopant ions. The future success of complementary metal oxide semiconductor (CMOS) processing will depend on the commercialization of viable N-type and P-type polyatomic implantation technologies. That is, there is a need to solve two distinct problems facing the semiconductor manufacturing industry today: wafer charging and low productivity in low energy ion implantation.

イオン注入装置は、従来的に3つの基本的な種類、すなわち、高電流、中電流、及び高エネルギ注入装置に区分されている。クラスタービームは、高電流及び中電流の注入処理に有用である。より具体的には、今日の高電流注入装置は、主として、ドレーン構造のようなトランジスタの低エネルギ高線量領域の形成、及びポリシリコンゲートのドーピングに使用される。それらは、一般的にバッチ注入装置であり、すなわち、イオンビームを固定したままで、回転ディスク上に取り付けられた多くのウェーハを処理する。高電流ビームラインは、単純になる傾向があり、イオンビームの大量のアクセプタンスを組み込み、低エネルギ及び高電流では、基板におけるビームは大きく、大きな角度発散を有する傾向がある。中電流注入装置は、一般的に、高傾斜機能(例えば、基板垂線から最大60°まで)を提供する連続(一度にウェーハ1つ)処理チャンバを組み込んでいる。イオンビームは、一般的に、線量の均一性を保証するためにウェーハに亘って直交方向に電磁的に走査される。一般的に分散が僅か数パーセントという商業用インプラント線量の均一性及び再現性要件を満たすために、イオンビームは、優れた角度及び空間的均一性(例えば、2°未満というウェーハ上のビームの角度均一性)を有するべきである。これらの要件のために、中電流ビームラインは、アクセプタンス量の制限という犠牲を払って優れたビーム制御を行うように設計される。すなわち、注入装置を通るイオンの伝達効率は、イオンビームの放射量によって制限される。現在、低エネルギ(<10keV)での高電流(約1mA)イオンビームの生成は、いくつかの低エネルギ注入に対する(例えば、最先端のCMOS処理でのソース及びドレーン構造の生成における)ウェーハ処理量が許容できないほど低くなるように、連続式注入装置では問題が多い。バッチ注入装置(回転ディスク上に取り付けられた多くのウェーハを処理する)については、イオンあたり5keV未満という低ビームエネルギで同様の移送上の問題も存在する。   Ion implanters are conventionally divided into three basic types: high current, medium current, and high energy implanters. Cluster beams are useful for high current and medium current injection processes. More specifically, today's high current injection devices are primarily used for the formation of low energy, high dose regions of transistors such as drain structures and doping of polysilicon gates. They are generally batch implanters, i.e., process many wafers mounted on a rotating disk while the ion beam remains fixed. High current beamlines tend to be simple, incorporate a large acceptance of the ion beam, and at low energy and high current, the beam at the substrate tends to be large and have a large angular divergence. Medium current implanters typically incorporate a continuous (one wafer at a time) processing chamber that provides a high tilt capability (eg, up to 60 ° from the substrate normal). The ion beam is typically electromagnetically scanned across the wafer in an orthogonal direction to ensure dose uniformity. In order to meet commercial implant dose uniformity and reproducibility requirements, which are typically only a few percent of dispersion, the ion beam has excellent angular and spatial uniformity (eg, the angle of the beam on the wafer below 2 °). Uniformity). Because of these requirements, medium current beamlines are designed to provide superior beam control at the cost of limited acceptance. That is, the efficiency of ion transmission through the implanter is limited by the amount of ion beam radiation. Currently, the generation of high current (about 1 mA) ion beams at low energy (<10 keV) is the wafer throughput for several low energy implants (eg, in the generation of source and drain structures in state-of-the-art CMOS processing). There are many problems with continuous infusion devices so that is unacceptably low. For batch implanters (which process many wafers mounted on a rotating disk), similar transfer problems exist with low beam energy of less than 5 keV per ion.

ほとんど収差のないビーム移送光学素子を設計することは可能であるが、それでもイオンビーム特性(空間的範囲、空間的均一性、角度発散、及び角度均一性)は、主としてイオン源自体の放射特性(すなわち、注入装置光学素子がイオン源から放射される時にビームを集光及び制御することができる程度を決めるイオン抽出時のビーム特性)で決まる。単量体ビームではなくクラスタービームの使用は、ビーム移送エネルギを大きくしてビームによって搬送される電流を小さくすることにより、イオンビームの放射を大幅に高めることができる。すなわち、有効線量率及び処理能力を高めることに加えて、ターゲット上により良く集束し、より視準され、より厳しく制御されたイオンビームを供給するための半導体製造のクラスターイオン及びクラスターイオン源技術の必要性が存在する。   Although it is possible to design beam transport optics with almost no aberrations, the ion beam characteristics (spatial range, spatial uniformity, angular divergence, and angular uniformity) are still primarily related to the radiation characteristics of the ion source itself ( That is, it is determined by the beam characteristics at the time of ion extraction that determines the extent to which the beam can be collected and controlled when the implanter optical element is emitted from the ion source. The use of a cluster beam rather than a monomer beam can greatly increase the radiation of the ion beam by increasing the beam transport energy and reducing the current carried by the beam. That is, in addition to increasing the effective dose rate and throughput, the cluster ion and cluster ion source technology of semiconductor manufacturing to provide a more focused, more collimated and more tightly controlled ion beam on the target. There is a need.

米国特許仮出願出願番号第60/392,271号US Provisional Patent Application No. 60 / 392,271 米国特許仮出願出願番号第60/391,847号US Provisional Patent Application No. 60 / 391,847 米国特許出願出願番号第10/244,617号US patent application Ser. No. 10 / 244,617 米国特許出願出願番号第10/251,491号US patent application Ser. No. 10 / 251,491 D.C.Jacobson、Konstantin Bourdelle、H−J.Gossman、M.Sosnowski、M.A.Albano、V.Babaram、J.M.Poate、Aditya Agarwal、Alex Perel、及び、Tom Horsky「デカボランという超低エネルギイオン注入の代替手法」、第13回イオン注入技術に関する国際会議のIEEE講演論文集、オーストリア、アルプスバック、2000年D. C. Jacobson, Konstantin Bourdelle, HJ. Gossman, M.M. Sosnowski, M.M. A. Albano, V.M. Babaram, J. et al. M.M. Poate, Adiya Agarwal, Alex Perel, and Tom Horsky, “An Alternative Method of Ultra-Low Energy Ion Implantation of Decaborane”, Proceedings of the 13th International Conference on Ion Implantation Technology, Alpsbach, Austria, 2000 N.Kishimoto他「高電流陰イオン注入装置及び絶縁体におけるナノ結晶製造のためのその応用」、第12回イオン注入技術に関する国際会議のIEEE講演論文集、京都、日本、6月22〜26日、1998年、(1999年)N. Kishimoto et al. “High Current Anion Implanter and its Application for Nanocrystal Fabrication in Insulators”, Proceedings of the 12th International Conference on Ion Implantation Technology, Kyoto, Japan, June 22-26, 1998 Year (1999) N.Tsubouchi他「質量分離した低エネルギ陽及び陰イオン堆積装置のビーム特徴付け」、第12回イオン注入技術に関する国際会議のIEEE講演論文集、京都、日本、6月22〜26日、1998年、(1999年)N. Tsubouchi et al. “Beam Characterization of Mass-Separated Low Energy Positive and Negative Ion Deposition Devices”, Proceedings of the 12th International Conference on Ion Implantation Technology, Kyoto, Japan, June 22-26, 1998, ( (1999) Junzo Ishikawa他「陰イオン注入技術」、物理研究における核計装及び方法、B96(1995年)Junzo Ishikawa et al. “Anion Implantation Technology”, Nuclear Instrumentation and Methods in Physics Research, B96 (1995) Yamada「材料処理のためのガスクラスターイオンビームの応用」、材料化学及びエンジニアリング、A217/218、82〜88頁(1996年)Yamada “Application of Gas Cluster Ion Beam for Material Processing”, Materials Chemistry and Engineering, A217 / 218, pp. 82-88 (1996) Sze著「VLSI技術」、マグローヒル、253〜254頁(1983年)"VLSI Technology" by Sze, McGraw-Hill, pp. 253-254 (1983) J.F.Ziegler編「イオン注入技術ハンドブック」、ノース・ホランド、455〜499頁(1992年)J. et al. F. Ziegler, “Ion Implantation Technology Handbook”, North Holland, 455-499 (1992) Y.−K.KIM、HWANG、N.M.WEINBERGER、M.A.ALI、及び、M.E.RUDD、「J.CHEM.PHYS.」、106、1026(1997年)Y. -K. KIM, HWANG, N.K. M.M. WEINBERGER, M.M. A. ALI and M.M. E. RUDD, “J.CHEM.PHYS.”, 106, 1026 (1997) W.HWANG、Y.−K.KIM、及び、M.E.RUDD、「J.CHEM.PHYS.」、104、2956(1996年)W. HWANG, Y.M. -K. KIM and M.M. E. RUDD, “J.CHEM.PHYS.”, 104, 2956 (1996). N.DJURIC、D.BELIC、M.KUREPA、J.U.MACK、J.ROTHLEITNER、及び、T.D.MARK、「要約」、第12回原子及び電気的衝突の物理に関する国際会議、S.DATZ編(GATLINBURG、1981年)、P.384N. DJURIC, D.C. BELIC, M.M. KUREPA, J.A. U. MACK, J.M. ROTHLEITNER and T.W. D. MARK, “Summary”, 12th International Conference on Physics of Atomic and Electrical Collisions, S.C. Edition of DATZ (GATLINBURG, 1981), p. 384 M.V.V.S.RAO、及び、S.K.SRIVASTAVA、「J.PHYS.」、B25,2175(1992年)M.M. V. V. S. RAO and S.R. K. SRIVASTAVA, “J. PHYS.”, B25, 2175 (1992)

本発明の目的は、半導体基板においてN型(すなわち、アクセプタ)導電率の超浅不純物ドープ領域を形成することができる、半導体素子を製造する方法を提供し、更に、高い生産性でそれを行うことである。   An object of the present invention is to provide a method of manufacturing a semiconductor device capable of forming an ultra-shallow impurity doped region of N-type (ie, acceptor) conductivity in a semiconductor substrate, and to perform it with high productivity. That is.

本発明の別の目的は、デカボラン(B1014)の負に荷電されたイオンがB10x -として生成され、p−n接合を形成するために半導体基板内に注入されるイオン注入のシステム及び方法を提供することである。 Another object of the invention is an ion implantation in which negatively charged ions of decaborane (B 10 H 14 ) are generated as B 10 H x − and are implanted into a semiconductor substrate to form a pn junction. System and method.

本発明の別の目的は、N型クラスターについてはn=3又は4で0≦x≦n+2であるAsnx +の形、及びP型クラスターについてはB10x +又はB10x -のN又はP型クラスターを使用して、N又はP型(すなわち、アクセプタ又はドナー)の超浅不純物ドープ領域を形成することができる、半導体素子を製造する方法を提供することである。 Another object of the present invention is the form of As n H x + where n = 3 or 4 and 0 ≦ x ≦ n + 2 for N-type clusters, and B 10 H x + or B 10 H x for P-type clusters. - use the N or P-type clusters of N or P type (i.e., acceptor or donor) to form a super shallow impurity doped region of the is to provide a method of manufacturing a semiconductor device.

本発明の更に別の目的は、半導体基板にN導電型の超浅注入領域を形成することができる、As3x +及びAs4x +の形のヒ素クラスターイオンを注入する方法を提供することである。 Still another object of the present invention is to provide a method for implanting arsenic cluster ions in the form of As 3 H x + and As 4 H x + , which can form an N-conductivity type ultra shallow implantation region in a semiconductor substrate. It is to be.

本発明の更に別の目的は、PH3供給ガスをイオン化し、次にこの燐クラスターを半導体基板に注入してN型ドーピングを達成することにより、n=2、3、又は4で0≦x≦6であるPnx +の形の燐クラスターイオンを作る方法を提供することである。 Yet another object of the present invention is to ionize the PH 3 feed gas and then inject this phosphorus cluster into the semiconductor substrate to achieve N-type doping, so that 0 ≦ x at n = 2, 3, or 4. It is to provide a method of making phosphorus cluster ions in the form of P n H x + where ≦ 6.

本発明の更に別の目的は、B26供給ガスイオン化し、次にホウ素クラスターを半導体基板に注入してP型ドーピングを達成することにより、n=2、3、又は4で0≦x≦6であるBnx +の形のホウ素クラスターイオンを作る方法を提供することである。 Yet another object of the present invention is to ionize B 2 H 6 feed gas and then implant boron clusters into the semiconductor substrate to achieve P-type doping, thereby satisfying 0 ≦ x at n = 2, 3, or 4. It is to provide a method for making boron cluster ions in the form of B n H x + ≦ 6.

本発明の更に別の目的は、クラスターイオンを使用して半導体基板においてN又はP型導電率を有する超浅不純物ドープ領域を形成するように設計された半導体素子を製造するためのイオン注入システムを提供することである。   Yet another object of the present invention is to provide an ion implantation system for manufacturing a semiconductor device designed to form an ultra-shallow impurity doped region having N or P-type conductivity in a semiconductor substrate using cluster ions. Is to provide.

本発明の1つの態様によれば、イオン化チャンバ内へのドーパント原子又は分子の供給を準備する段階と、ドーパント原子又は分子を組み合わせて複数のドーパント原子を含むクラスターにし、ドーパントクラスターをイオン化してドーパントクラスターイオンにする段階と、ドーパントクラスターイオンを抽出して電界で加速する段階と、イオンビームを質量分析する段階と、ドーパントクラスターイオンを半導体基板に注入する段階とを含む、クラスターイオンを注入する方法が提供される。   According to one aspect of the present invention, preparing a supply of dopant atoms or molecules into the ionization chamber, combining the dopant atoms or molecules into a cluster containing a plurality of dopant atoms, and ionizing the dopant cluster to form a dopant A method of implanting cluster ions, comprising: a step of forming cluster ions; a step of extracting dopant cluster ions and accelerating with an electric field; a step of mass analyzing an ion beam; and a step of implanting dopant cluster ions into a semiconductor substrate. Is provided.

本発明の別の目的は、一度に1つの原子を注入するのではなく、n個のドーパント原子のクラスター(As4x +の場合はn=4)を注入することにより、低エネルギイオンビームを抽出する際の困難を半導体素子製造業者が改善することを可能にする方法を提供することである。クラスターイオン注入手法は、クラスターの各原子がE/nというエネルギで注入されることから、低エネルギの単原子注入と同等である。従って、注入装置は、所要の注入エネルギのn倍の高い抽出電圧で作動され、これは、特にUSJ形成で必要とされる低い注入エネルギにおいて、より高いイオンビーム電流を可能にする。イオン抽出段階を考慮すると、クラスターイオン注入によって可能にされる相対的な改善は、チャイルド−ラングミュア限界を計算することによって定量化することができる。この限界は、以下によって近似することができることが認識される。
max=1.72(Q/A)1/23/2-2 (1)
ただし、JmaxはmA/cm2単位であり、Qはイオン電荷状態、AはAMU単位によるイオン質量、VはkV単位による抽出電圧、dはcm単位による間隙幅である。図1は、d=1.27cmの75As+の場合の式(1)のグラフである。実際には、多くのイオン注入装置によって使用される抽出光学素子をこの限界値に接近させることができる。式(1)の延長により、以下のメリット数Δを定義し、単原子注入に対するクラスターイオン注入に関する処理量又は注入線量率の増加を定量化することができる。
Δ=n(Un/U13/2(mn/m1-1/2 (2)
Another object of the present invention is to implant a cluster of n dopant atoms (n = 4 in the case of As 4 H x + ) rather than implanting one atom at a time, thereby reducing the energy of the low energy ion beam. It is to provide a method that allows a semiconductor device manufacturer to improve the difficulty in extracting. The cluster ion implantation method is equivalent to low energy single atom implantation because each atom of the cluster is implanted with an energy of E / n. Thus, the implanter is operated with an extraction voltage that is n times higher than the required implant energy, which allows higher ion beam currents, especially at the low implant energy required for USJ formation. Considering the ion extraction step, the relative improvement enabled by cluster ion implantation can be quantified by calculating the Child-Langmuir limit. It will be appreciated that this limit can be approximated by:
J max = 1.72 (Q / A) 1/2 V 3/2 d -2 (1)
Where J max is the unit of mA / cm 2 , Q is the ion charge state, A is the ion mass in AMU units, V is the extraction voltage in kV units, and d is the gap width in cm units. FIG. 1 is a graph of the formula (1) in the case of 75 As + with d = 1.27 cm. In practice, the extraction optics used by many ion implanters can be brought close to this limit value. By extending equation (1), the following merit number Δ can be defined to quantify the increase in throughput or implantation dose rate for cluster ion implantation versus single atom implantation.
Δ = n (U n / U 1 ) 3/2 (m n / m 1 ) −1/2 (2)

ここで、Δは、Ui=eVである場合にエネルギU1における質量m1の原子の単一原子注入に対するエネルギUnでの関連ドーパントのn個の原子のクラスター注入によって達成される線量率の相対的改善(原子/秒)である。Unを単原子(n=1)の場合と同じドーパント注入深さが得られるように調節した場合は、式(2)は、以下のようになる。
Δ=n2 (3)
Where Δ is the dose rate achieved by cluster implantation of n atoms of related dopants at energy U n for single atom implantation of atoms of mass m 1 at energy U 1 when U i = eV. Relative improvement (atomics / second). When U n is adjusted to obtain the same dopant implantation depth as in the case of a single atom (n = 1), Equation (2) is as follows.
Δ = n 2 (3)

従って、nドーパント原子のクラスターの注入は、従来の単一原子の注入よりもn2高い線量率をもたらす可能性を有する。小さなxに対するAs4xの場合は、この最大線量率改善は、約16倍である。この点を示すために、低エネルギAs及びAs4の注入の比較を図2に示す。 Thus, implantation of clusters of n dopant atoms has the potential to provide a dose rate n 2 higher than conventional single atom implantation. For As 4 H x for small x, this maximum dose rate improvement is about 16 times. To illustrate this point, a comparison of low energy As and As 4 implants is shown in FIG.

イオン注入に対するクラスターの使用はまた、低エネルギイオンビームの移送に対処するものである。クラスターイオン注入処理で必要なのは、従来の場合のように各ドーパント原子に1つの電荷を担持させることではなく、クラスター当たりの電荷は1つだけであることに注意すべきである。従って、電荷密度が小さくなると分散クーロン力が小さくなるので、移送効率(ビーム伝達)が改善される。更に、クラスターは、その単量体よりも質量が大きく、従って、ビーム内クーロン力による影響は小さくなる。従って、単一原子ではなくnドーパント原子のクラスターを使用した注入により、低エネルギイオン注入における基本的な移送問題が改善され、処理の生産性の大幅な増加が可能となる。   The use of clusters for ion implantation also addresses the transfer of low energy ion beams. It should be noted that the cluster ion implantation process requires only one charge per cluster, rather than having each dopant atom carry one charge as in the conventional case. Therefore, when the charge density is reduced, the dispersion Coulomb force is reduced, so that the transfer efficiency (beam transmission) is improved. In addition, the cluster has a larger mass than its monomer and is therefore less affected by the Coulomb force within the beam. Thus, implantation using clusters of n dopant atoms rather than single atoms improves the basic transport problem in low energy ion implantation and allows a significant increase in process productivity.

本方法を実施可能にするには、上述のクラスターイオンの形成が必要である。市販のイオン注入装置で使用される従来のイオン源では、それらの単量体の生成に対して非常に少ない割合の主として低次(例えば、n=2)クラスターしか単に生成されず、従って、これらの注入装置では、上述の低エネルギクラスタービーム注入の利点を実質的に実現することができない。実際に、多くの従来のイオン源によってもたらされる非常に強いプラズマは、むしろ分子及びクラスターを成分元素に分解する。本明細書で説明する新しいイオン源は、「ソフト」イオン化処理、すなわち、強力な一次電子による電子衝突イオン化の使用により、クラスターイオンを豊富に生成する。本発明のイオン源は、明示的にドーパントクラスターイオンを生成及び保存する目的で設計されるものである。   In order to be able to implement this method, the formation of the cluster ions described above is necessary. Conventional ion sources used in commercial ion implanters simply produce only a very small proportion of primarily low-order (eg, n = 2) clusters relative to their monomer production, thus these In this implantation apparatus, the above-mentioned advantages of the low energy cluster beam implantation cannot be substantially realized. In fact, the very strong plasma produced by many conventional ion sources rather decomposes molecules and clusters into constituent elements. The new ion source described herein produces abundant cluster ions through the use of a “soft” ionization process, ie, electron impact ionization with strong primary electrons. The ion source of the present invention is designed for the purpose of explicitly generating and storing dopant cluster ions.

本発明の上記及び他の利点は、以下の明細書及び添付図面を参照すれば容易に理解されるであろう。   These and other advantages of the present invention will be readily understood with reference to the following specification and attached drawings.

本発明の複数の実施形態が提供される。これらの実施形態は、様々なN型及びP型ドーパントクラスターイオン、並びに負に荷電されたクラスターイオンビームの生成に関係するものである。N型及びP型の両方のドーパントクラスターイオン、並びに負に荷電されたクラスターイオンビームは、図2から図2eに示すイオン源を使用して生成することができる。   Several embodiments of the present invention are provided. These embodiments relate to the generation of various N-type and P-type dopant cluster ions and negatively charged cluster ion beams. Both N-type and P-type dopant cluster ions and negatively charged cluster ion beams can be generated using the ion source shown in FIGS. 2 to 2e.

図2から図2eは、クラスターイオン源10及びその様々な構成要素の概念図を示す。最初に、図2を参照すると、AsH3、PH3、B26、又は気化B1014のような供給ガス11の供給が行われる。供給材料は、室温ではガスとしてシリンダ内に保菅することができ、又は加熱された固体から昇華した蒸気として導入するか、又は液相から蒸発させることができる。供給ガス供給器11は、流量コントローラ12を通じてイオン化チャンバ13に接続される。流量コントローラ12は、コンピュータ制御質量流量コントローラと同程度の最先端技術を駆使したものとすることができ、又は、所定のガス伝導性を有する接続チューブと同程度の簡素なものとすることができる。後者の場合は、流量は、11内のガス圧力を制御することによって変更される。ドーパントを含むガス状供給材料の制御流量により、イオン化チャンバ13内で、例えば、約3x10-4Torrと3x10-3Torrの間の安定したガス圧力が得られる。注入エネルギ14は、明確なエネルギ又は速度を有する電子の制御電流の形で供給される。イオン化チャンバ13、実際にはイオン源の全ての構成要素の温度は、一般的に望ましい値に制御される。イオン源圧力、温度、電子電流、及び電子エネルギを調整することにより、例えば、AsH3のドーパント原子又は分子が組み合わされ、望ましいドーパント元素の1つよりも多い原子、例えば、xが0と4の間の整数であるAs4x +を含むクラスターイオンを形成するようにイオン化チャンバ13内の環境が作られる。 2 to 2e show conceptual diagrams of the cluster ion source 10 and its various components. First, referring to FIG. 2, a supply gas 11 such as AsH 3 , PH 3 , B 2 H 6 , or vaporized B 10 H 14 is supplied. The feed can be kept in the cylinder as a gas at room temperature, or introduced as a vapor sublimated from a heated solid, or evaporated from the liquid phase. The supply gas supplier 11 is connected to the ionization chamber 13 through the flow controller 12. The flow controller 12 can be as advanced as a computer-controlled mass flow controller, or can be as simple as a connecting tube having a predetermined gas conductivity. . In the latter case, the flow rate is changed by controlling the gas pressure in 11. A controlled flow rate of the gaseous feed containing the dopant provides a stable gas pressure in the ionization chamber 13 between, for example, about 3 × 10 −4 Torr and 3 × 10 −3 Torr. The implantation energy 14 is supplied in the form of an electronic control current having a well-defined energy or velocity. The temperature of the ionization chamber 13, and indeed all components of the ion source, is generally controlled to a desired value. By adjusting the ion source pressure, temperature, electron current, and electron energy, for example, AsH 3 dopant atoms or molecules are combined and more than one of the desired dopant elements, eg, x is 0 and 4 The environment within the ionization chamber 13 is created to form cluster ions containing As 4 H x + , an integer between.

イオン化チャンバ13内の開口17により、イオンは、イオン化チャンバ13と抽出電極15との間の強力な電界によって抽出され、ビーム経路に逃げることができる。この抽出又は加速電界は、接地電位に対してイオン化チャンバ13を電圧Vにバイアスする高電圧電源によって生成され、抽出電極15は、ほぼ接地電位である。加速電界は、イオン化チャンバ13から陽イオンを引き付けるために順方向に、また、陰イオンが望ましい時は逆方向に確立される。加速されたイオンは、抽出電極15によってイオンビーム16になる。イオンビーム16の運動エネルギEは、式(4)によって表される。
E=|qV| (4)
ただし、Vはソース電位であり、qは電荷/イオンである。Vがボルトで表され、qが電荷の単位で表される時、Eは、電子ボルト(eV)の単位を有する。
Through an opening 17 in the ionization chamber 13, ions can be extracted by a strong electric field between the ionization chamber 13 and the extraction electrode 15 and escape to the beam path. This extraction or accelerating electric field is generated by a high voltage power supply that biases the ionization chamber 13 to a voltage V with respect to the ground potential, and the extraction electrode 15 is substantially at ground potential. The accelerating field is established in the forward direction to attract positive ions from the ionization chamber 13 and in the reverse direction when negative ions are desired. The accelerated ions become an ion beam 16 by the extraction electrode 15. The kinetic energy E of the ion beam 16 is expressed by equation (4).
E = | qV | (4)
Where V is the source potential and q is the charge / ion. When V is expressed in volts and q is expressed in units of charge, E has units of electron volts (eV).

本発明によるイオン注入システムの一部を形成するイオン源は、電子衝撃イオン源である。図2aは、イオン源10を構成する構成要素の構造及び機能性を示す本発明によるイオン源の断面概略図である。この断面は、イオンビームの伝播方向を含む平面に沿って切取られたものであり、イオン源が2つに分離されている。イオン源10は、取り付けフランジ36のところで互いに接合された気化器28及びビーム形成領域12を含む。イオン源10は、取り付けフランジ36を通じてイオン注入システムのガス抜きした真空チャンバ又は他の処理ツールと接続するように作られている。従って、図2aのフランジ36の右寄りのイオン源10の部分は、高い真空度になっている(圧力<1x10-4Torr)。イオン化チャンバ44にガス状材料が導入され、そこで、ガス分子は、一対の対向する電子ビーム入口開口71a及び71bを通じてイオン化チャンバ44に入る1つ又はそれ以上の電子ビーム70a及び70bからの電子衝撃によってイオン化される。このような構成では、イオンは、イオン抽出開口81近くでイオン抽出開口板80内に生成される。これらのイオンは、イオン抽出開口板80の前に位置する抽出電極(図示せず)によって抽出されて強力なイオンビームになる。 The ion source that forms part of the ion implantation system according to the invention is an electron impact ion source. FIG. 2 a is a schematic cross-sectional view of an ion source according to the present invention showing the structure and functionality of the components that make up the ion source 10. This cross section is cut along a plane including the propagation direction of the ion beam, and the ion source is separated into two. The ion source 10 includes a vaporizer 28 and a beam forming region 12 joined together at a mounting flange 36. The ion source 10 is designed to connect through a mounting flange 36 to a degassed vacuum chamber or other processing tool of the ion implantation system. Accordingly, the portion of the ion source 10 on the right side of the flange 36 in FIG. 2a has a high degree of vacuum (pressure <1 × 10 −4 Torr). Gaseous material is introduced into the ionization chamber 44 where gas molecules are caused by electron bombardment from one or more electron beams 70a and 70b that enter the ionization chamber 44 through a pair of opposing electron beam entrance openings 71a and 71b. Ionized. In such a configuration, ions are generated in the ion extraction aperture plate 80 near the ion extraction aperture 81. These ions are extracted by an extraction electrode (not shown) located in front of the ion extraction aperture plate 80 to become a powerful ion beam.

様々な気化器28が本発明と共に使用するのに適する。例示的な気化器28を図2aに示す。気化器28は例示的であり、固体ソース供給材料29、例えば、デカボランB1014を担持するための気化器本体30及びるつぼ31で形成することができる。抵抗加熱器を気化器本体30に組み込むことができる。水冷チャンネル26及び対流式ガス冷却チャンネル27は、気化器本体30と密着した状態であるように構成され、室温を超える均一な作動温度をるつぼ31に供給するように使用することができる。るつぼ31と温度制御された気化器本体30との間の熱伝導は、るつぼと気化器本体の接続部34にガス供給器41によって導入された加圧ガスによって行うことができ、一方、るつぼ31の温度は、熱電対を通じてモニタされる。蒸発デカボランB1014又は他の蒸発材料50は、るつぼバラスト容積51に集まり、気化器出口孔39を通過し、一対の遮断弁100及び110を通り、ソースブロック35内に収められた蒸気導菅32を通った後に、蒸気入口開口33を通じてイオン化チャンバ44に入る。また、遮断弁100及び110、取り付けフランジ36、及びソースブロック35は、蒸気の凝縮を防止するために、気化器温度近く又は気化器温度を超える温度に温度制御することができる。 A variety of vaporizers 28 are suitable for use with the present invention. An exemplary vaporizer 28 is shown in FIG. The vaporizer 28 is exemplary and can be formed with a vaporizer body 30 and a crucible 31 for carrying a solid source feed 29, eg, decaborane B 10 H 14 . A resistance heater can be incorporated into the vaporizer body 30. The water cooling channel 26 and the convection type gas cooling channel 27 are configured to be in close contact with the vaporizer body 30 and can be used to supply a uniform operating temperature exceeding room temperature to the crucible 31. Heat conduction between the crucible 31 and the temperature controlled vaporizer body 30 can be effected by pressurized gas introduced by the gas supply 41 into the crucible-vaporizer body connection 34, while the crucible 31. The temperature of is monitored through a thermocouple. The evaporated decaborane B 10 H 14 or other evaporated material 50 collects in the crucible ballast volume 51, passes through the vaporizer outlet hole 39, passes through a pair of shutoff valves 100 and 110, and is contained in the source block 35. After passing through the trough 32, it enters the ionization chamber 44 through the vapor inlet opening 33. Also, the shut-off valves 100 and 110, the mounting flange 36, and the source block 35 can be temperature controlled near or above the vaporizer temperature to prevent vapor condensation.

イオン源ガス送出装置は、2つの別々の供給源からイオン化チャンバ44にガスを供給する2つの導菅を含むことができる。第1の供給源は、ガスシリンダ(図示せず)のようなガス状材料を加圧ガス供給装置に供給する小径低伝導性経路とすることができる。第2の供給源は、固体材料を蒸発させる低温気化器からの高伝導性経路のものとすることができる。供給源に関係なく、ガス送出装置は、イオン化チャンバ44において、例えば数ミリトルのガス圧を維持する。気化器28は、イオン化チャンバに入るガスの安定した流れ、従ってイオン化チャンバにおける安定した圧力を維持するために、固体材料と接触している表面の厳しい温度制御を維持する。   The ion source gas delivery device can include two leads for supplying gas to the ionization chamber 44 from two separate sources. The first supply source can be a small diameter, low conductivity path that supplies gaseous material, such as a gas cylinder (not shown), to the pressurized gas supply. The second source can be a highly conductive path from a low temperature vaporizer that evaporates solid material. Regardless of the source, the gas delivery device maintains a gas pressure of, for example, a few millitorr in the ionization chamber 44. The vaporizer 28 maintains tight temperature control of the surface in contact with the solid material in order to maintain a stable flow of gas entering the ionization chamber and thus a stable pressure in the ionization chamber.

気化器28を作動させる前に、イオン源及びイオン注入システムを真空状態に維持するために、遮断弁110を閉じることができる。また、蒸気50の閉じ込めをるつぼ31内に維持するために、遮断弁100を閉じることもできる。その後、気化器28を化学フードに安全に移送することができ、そこで、るつぼ31を再充填又は清浄にすることができる。弁100を開く前に、弁100本体に溶接されている通気弁111を開いて、るつぼ容積を大気圧にすることができる。作動が完了した状態で、弁100を再度閉じることができ、弁100を弁110に取り付けることによって気化器28をイオン源10上に取り付け、その後、るつぼ31及び弁100と弁110の間のデッドボリュームを排気するために、この通気弁111を粗ラインに接続する。その後、必要に応じて、イオン源及びイオン注入システムの真空環境を損なわずに遮断弁110を開くことができる。   Prior to operating the vaporizer 28, the isolation valve 110 can be closed to maintain the ion source and ion implantation system in a vacuum. The shut-off valve 100 can also be closed to maintain confinement of the vapor 50 in the crucible 31. The vaporizer 28 can then be safely transferred to a chemical hood where the crucible 31 can be refilled or cleaned. Prior to opening the valve 100, the vent valve 111 welded to the valve 100 body can be opened to bring the crucible volume to atmospheric pressure. Once the operation is complete, the valve 100 can be closed again and the vaporizer 28 is mounted on the ion source 10 by attaching the valve 100 to the valve 110, and then dead between the crucible 31 and the valve 100 and valve 110. In order to exhaust the volume, this vent valve 111 is connected to a rough line. Thereafter, if necessary, the shutoff valve 110 can be opened without impairing the vacuum environment of the ion source and ion implantation system.

気化器アセンブリ30aは、加熱及び冷却本体30及び取外し可能なるつぼ31で形成される。るつぼ31へのアクセスは、気化器28背面の端板(図示せず)を取り外すことによって可能である。るつぼ31を気化器28から取り外すと、るつぼの端部に弾性によって封入されているカバー34bを取り外し、固体29を隔離している格子34aを上げることによってるつぼを再充填することができる。再充填後、るつぼ31を気化器本体30に挿入し、るつぼバラスト容積51をるつぼ31と気化器本体30との接続部34内にある熱移送ガスから隔離するために、気化器本体30正面にある出口孔39に真空シールを行う。孔39は、気化ガスの出口として使用される。るつぼ31の温度均一性を達成するために、るつぼ31と気化器本体30との機械式嵌合は密封状態である。2つの供給源間の熱移送を助けるために、るつぼ31と気化器本体30との間に間隙がある場合は、ガスを充填することができる。熱移送ガスは、端板取付具28aを通じて間隙に入り、大気圧又はほぼ大気圧にすることができる。   The vaporizer assembly 30a is formed by a heating and cooling body 30 and a removable crucible 31. Access to the crucible 31 is possible by removing the end plate (not shown) on the back of the vaporizer 28. When the crucible 31 is removed from the vaporizer 28, the crucible 31 can be refilled by removing the cover 34b, which is elastically sealed at the end of the crucible, and raising the grid 34a isolating the solid 29. After refilling, the crucible 31 is inserted into the vaporizer body 30 and the crucible ballast volume 51 is placed in front of the vaporizer body 30 in order to isolate it from the heat transfer gas in the connection 34 between the crucible 31 and the vaporizer body 30. A vacuum sealing is performed on a certain outlet hole 39. The hole 39 is used as an outlet for the vaporized gas. In order to achieve temperature uniformity of the crucible 31, the mechanical fit between the crucible 31 and the vaporizer body 30 is hermetically sealed. To help heat transfer between the two sources, if there is a gap between the crucible 31 and the vaporizer body 30, it can be filled with gas. The heat transfer gas enters the gap through the end plate fixture 28a and can be at or near atmospheric pressure.

温度制御は、例えば、気化器本体30に組み込むことができる抵抗素子の比例積分微分(PID)閉ループ制御を用いて行うことができる。図2eは、3つの温度区分、すなわち、気化器本体30は区分1、遮断弁100及び110は区分2、ソースブロック35は区分3と定められている好ましい実施形態のブロック図を示す。各区分には、専用のコントローラを設置することができる。例えば、オムロンE5CKデジタルコントローラである。最も単純な場合は、例えば、18℃と200℃の間である室温を超える温度を能動的に制御するために加熱要素だけが使用される。従って、抵抗カートリッジ式加熱器を気化器本体30(加熱器1)及びソースブロック35に組み込むことができ、一方、弁100及び110には、抵抗素子がワイヤ又は箔片であるシリコンストリップヒータ(加熱器2)を巻き付けることができる。図2eでTC1、TC2、及びTC3とラベル付けした3つの熱電対を3つの構成要素30、35、及び100(110)に組み込んで、3つの専用温度コントローラによって連続的に読取りを行うことができる。温度コントローラ1、2、及び3は、それぞれ、温度設定値SP1、SP2、及びSP3でユーザによってプログラムされるものである。一実施形態では、温度設定値は、SP3>SP3>SP1となるようなものである。例えば、気化器温度が30℃であることが望ましい場合、SP2は50℃、SP3は70℃とすることができる。コントローラは、一般的に、TCリードバックが設定値と符合しなかった時、コントローラの比較器は、必要に応じて冷却又は加熱を行うように作動する。例えば、温度を変えるために加熱のみが用いられる場合、比較器出力は、TC1<SP1でない限りゼロである。コントローラは、温度差SP1−TC1の非線形関数としての出力電力のルックアップテーブルを含み、温度を円滑にプログラムされた設定値に調整するために、適切な信号をコントローラのヒータ電源に供給することができる。加熱器電力を変える一般的な手段は、電源のパルス幅変調によるものである。この手法を使用して、電力を全体の1%と100%の間に調整することができる。このようなPIDコントローラは、一般的に温度設定値を0.2℃以内に保持することができる。   The temperature control can be performed by using, for example, proportional integral derivative (PID) closed loop control of a resistance element that can be incorporated in the vaporizer body 30. FIG. 2e shows a block diagram of a preferred embodiment in which three temperature zones are defined: the vaporizer body 30 as zone 1, the shutoff valves 100 and 110 as zone 2, and the source block 35 as zone 3. Each section can have a dedicated controller. For example, an OMRON E5CK digital controller. In the simplest case, only heating elements are used to actively control temperatures above room temperature, for example between 18 ° C. and 200 ° C. Therefore, a resistance cartridge heater can be incorporated into the vaporizer body 30 (heater 1) and the source block 35, while the valves 100 and 110 have a silicon strip heater (heating element) whose resistance element is a wire or foil strip. A device 2) can be wound. The three thermocouples labeled TC1, TC2, and TC3 in FIG. 2e can be incorporated into the three components 30, 35, and 100 (110) and read continuously by three dedicated temperature controllers. . The temperature controllers 1, 2, and 3 are programmed by the user with temperature setpoints SP1, SP2, and SP3, respectively. In one embodiment, the temperature setpoint is such that SP3> SP3> SP1. For example, when the vaporizer temperature is desirably 30 ° C., SP2 can be 50 ° C. and SP3 can be 70 ° C. The controller generally operates such that when the TC readback does not match the setpoint, the controller's comparator is cooled or heated as necessary. For example, if only heating is used to change the temperature, the comparator output is zero unless TC1 <SP1. The controller includes a look-up table of output power as a non-linear function of the temperature difference SP1-TC1, and provides an appropriate signal to the heater power supply of the controller to smoothly adjust the temperature to a programmed setting value. it can. A common means of changing the heater power is by pulse width modulation of the power supply. Using this approach, the power can be adjusted between 1% and 100% of the total. Such a PID controller can generally maintain a temperature set value within 0.2 ° C.

気化器本体材料は、温度均一性を維持するために高い熱伝達率を有するように選択することができる。小さな熱の漏れを意図的に気化器本体30(図2a)に付加し、制御システムの安定性を向上させ、気化器本体30の外面に位置する空気路を用いて沈降時間を短くすることができる。空気路27は、気化器本体30を取り囲み、板(図示せず)で覆われる。適度な連続的な対流による冷却を行うために、気化器端板38に一体化されたマニホールド内のチャンネルに空気をダクトで誘導することができる。空気は、流量制御に使用される絞り弁を通過した後に入口から供給される。空気は、空気アセンブリからハウジング排気口に吐き出される。   The vaporizer body material can be selected to have a high heat transfer rate to maintain temperature uniformity. Intentionally adding a small heat leak to the vaporizer body 30 (FIG. 2a) to improve the stability of the control system and shorten the settling time using an air passage located on the outer surface of the vaporizer body 30. it can. The air passage 27 surrounds the carburetor body 30 and is covered with a plate (not shown). In order to provide moderate continuous convection cooling, air can be ducted into a channel in the manifold integrated with the vaporizer endplate 38. Air is supplied from the inlet after passing through a throttle valve used for flow control. Air is expelled from the air assembly to the housing exhaust.

また、空冷に加えて、気化器本体30を液体冷却するための準備ももたらされる。例えば、気化器本体30を通じて前後に移送する1m長及び6mm径の孔を通じて、ダクト式に冷却剤を供給することができる。取付具を通じて本体ポート26に接続部を作ることができる。必要な時に迅速な作動の転換を行うために、液体冷却によって気化器アセンブリの急激な冷却が行われる。   In addition to air cooling, provision is also made for liquid cooling of the vaporizer body 30. For example, the coolant can be supplied in a duct manner through a 1 m long and 6 mm diameter hole that is transferred back and forth through the vaporizer body 30. A connection can be made to the body port 26 through the fixture. Rapid cooling of the vaporizer assembly is accomplished by liquid cooling in order to provide a quick turnaround when needed.

ガスは、ガス導菅33を通じて、例えば、加圧ガスシリンダからイオン化チャンバ44に供給することができる。固体供給材料を気化器28内で気化し、上述のように、蒸気を蒸気導菅32を通じてイオン化チャンバ44に供給することができる。有孔分離バリア34aの下に位置する固体供給材料29は、同じく上述のように、気化器本体30の温度制御によって均一の温度に保持される。バラスト容積31に蓄積された蒸気50は、孔39を通って遮断弁100及び110を通ると、ソースブロック38に位置する蒸気導菅32によってイオン化チャンバ44に供給される。従って、ガス状及び固体のドーパント担持材料の両方をこのイオン源によってイオン化することができる。   The gas can be supplied to the ionization chamber 44 through a gas conduit 33, for example, from a pressurized gas cylinder. The solid feed can be vaporized in vaporizer 28 and vapor can be supplied to ionization chamber 44 through vapor conduit 32 as described above. The solid feed material 29 located under the perforated separation barrier 34a is maintained at a uniform temperature by controlling the temperature of the vaporizer body 30 as described above. The vapor 50 accumulated in the ballast volume 31 is supplied to the ionization chamber 44 by the vapor guide 32 located in the source block 38 as it passes through the shutoff valves 100 and 110 through the holes 39. Thus, both gaseous and solid dopant support materials can be ionized by this ion source.

図2bは、本発明による多重電子ビームイオン源構成の基本的な光学的設計を示す断面側面図である。本発明の一実施形態では、一対の空間分離電子ビーム70a及び70bは、一対の空間分離加熱フィラメント110a及び110bから放出され、ビーム・ステアラー又は静的磁場B135a及び135b(図示のように紙の平面に垂直な方向)の影響により90°の軌道を辿ってイオン化チャンバ44に入り、最初に、一対の底板開口106a及び106b及び一対の間隔の空いた底板105a及び105bを通り、その後、電子入口開口71a及び71bを通る。イオン化チャンバ44全体を通る(すなわち、電子入口開口71a及び71bの両方を通る)電子は、ビーム・ステアラー又は静的磁場135a及び135bによって一対のエミッタシールド102a及び102bに向けて曲げられる。電子ビーム70a及び70bが伝播して底板開口106a及び106bを通ると、イオン化チャンバ44に入る前に、底板105a及び105bへの電圧Vaの印加(正の電源115によって行われる)及びフィラメント135a及び135bへの電圧Veの印加(負の電源116によって行われる)によって減速される。ビーム形成及び移送領域内でのイオン化に一般的に望ましい位置よりも実質的に高い位置、すなわち、イオン化チャンバ44の外側に電子ビームエネルギを維持することが重要である。これは、ビーム電流を厳しく低減して低エネルギで電子ビーム径を大きくする空間電荷効果によるものである。従って、この領域において電子ビームエネルギを約1.5keVと5keVの間に維持することが望ましい。   FIG. 2b is a cross-sectional side view showing the basic optical design of a multiple electron beam ion source configuration according to the present invention. In one embodiment of the present invention, a pair of space-separated electron beams 70a and 70b are emitted from a pair of space-separated heating filaments 110a and 110b, and a beam steerer or static magnetic field B 135a and 135b (paper plane as shown). Into the ionization chamber 44 following a 90 ° trajectory and first through a pair of bottom plate openings 106a and 106b and a pair of spaced apart bottom plates 105a and 105b, and thereafter an electron entrance opening. It passes through 71a and 71b. Electrons that pass through the entire ionization chamber 44 (i.e., through both electron entrance openings 71a and 71b) are bent toward the pair of emitter shields 102a and 102b by a beam steerer or static magnetic field 135a and 135b. As the electron beams 70a and 70b propagate through the bottom plate openings 106a and 106b, the voltage Va is applied to the bottom plates 105a and 105b (performed by the positive power supply 115) and the filaments 135a and 135b before entering the ionization chamber 44. Is decelerated by the application of voltage Ve to (which is done by the negative power supply 116). It is important to maintain the electron beam energy at a position substantially higher than the position generally desired for ionization within the beam forming and transfer region, ie, outside the ionization chamber 44. This is due to the space charge effect that severely reduces the beam current to increase the electron beam diameter with low energy. Therefore, it is desirable to maintain the electron beam energy between about 1.5 keV and 5 keV in this region.

電圧は、全てイオン化チャンバ44に対するものである。例えば、Ve=−0.5kV、Va=1.5kVである場合、電子ビームのエネルギは、e(Va−Ve)で表され、ここで、eは電子電荷(6.02x10-19クーロン)である。従って、この例においては、電子ビーム70a又は70bは、2keVのエネルギで形成されて偏向されるが、電子入口開口71a及び71bに入ると、そのエネルギは僅か0.5keVである。 All voltages are for the ionization chamber 44. For example, when Ve = −0.5 kV and Va = 1.5 kV, the energy of the electron beam is expressed by e (Va−Ve), where e is an electron charge (6.02 × 10 −19 coulomb). is there. Thus, in this example, the electron beam 70a or 70b is formed and deflected with an energy of 2 keV, but when entering the electron entrance openings 71a and 71b, the energy is only 0.5 keV.

下表は、エネルギEで電子ビームを90°曲げるのに必要とされる磁場Bの近似値を示す。   The table below shows approximate values of the magnetic field B required to bend the electron beam 90 ° with energy E.

(表1)

Figure 2005531156
(Table 1)
Figure 2005531156

図2bに示す他の要素として、抽出イオンビーム120、ソース静電シールド101、及び一対のエミッタシールド102a及び102bがある。これらのエミッタシールド102a及び102bは、2つの目的、すなわち、電磁場からの遮蔽を行うこと及び迷走電子又は電子ビームからの遮蔽を行うことに役立つ。例えば、エミッタシールド102a及び102bは、底板105a及び105bとソースシールド101との間の電位差に関連した磁場から電子ビーム70a及び70bを保護すると共に、対向する電子エミッタからの迷走電子ビームのダンプとしての働きをする。ソースシールド101は、底板105a及び105bとイオン化チャンバ44との間の電位差によって生成された磁場からイオンビーム120を保護すると共に、イオン源元素に衝突するような迷走電子及びイオンを吸収する働きをする。こういう理由で、エミッタシールド102a及び102bの両方、並びに、ソースシールド101は、モリブデン又はグラファイトのような耐火金属製である。代替的に、電磁ステンレス鋼のような強磁性物質でソースシールド101を作製することにより、磁場B135a及び135bからのイオンビーム120のより完全な遮蔽を達成することができる。   Other elements shown in FIG. 2b include an extracted ion beam 120, a source electrostatic shield 101, and a pair of emitter shields 102a and 102b. These emitter shields 102a and 102b serve two purposes: shielding from electromagnetic fields and shielding from stray electrons or electron beams. For example, the emitter shields 102a and 102b protect the electron beams 70a and 70b from the magnetic field associated with the potential difference between the bottom plates 105a and 105b and the source shield 101, and as a stray electron beam dump from the opposing electron emitters. Work. The source shield 101 protects the ion beam 120 from the magnetic field generated by the potential difference between the bottom plates 105a and 105b and the ionization chamber 44, and functions to absorb stray electrons and ions that collide with the ion source element. . For this reason, both the emitter shields 102a and 102b and the source shield 101 are made of a refractory metal such as molybdenum or graphite. Alternatively, more complete shielding of the ion beam 120 from the magnetic fields B 135a and 135b can be achieved by making the source shield 101 with a ferromagnetic material such as electromagnetic stainless steel.

図2cは、機械的な詳細を示して図2bの内容が図2aのイオン源にどのように組み込まれるかを例示的に示す切取り図である。電子は、フィラメント110a及び110bのうちの1つ又はそれ以上から熱イオン的に放射され、電子ビーム70a及び70bを形成する一対の対応する陽極140a及び140bまで加速される。このような構成は、いくつかの利点を有する。第1に、フィラメント110a及び110bは、別々に又は協働して作動させることができる。第2に、電子ビームは、イオン化チャンバの外部で生成されることから、エミッタの寿命は、公知の構成に対しては長くなるが、これは、エミッタが、イオン源が位置する注入装置真空ハウジングの低圧環境にあるからであり、また、エミッタが、イオン衝撃から実質的に保護されるからである。   FIG. 2c is a cutaway view showing mechanical details and exemplarily showing how the contents of FIG. 2b are incorporated into the ion source of FIG. 2a. Electrons are thermally ionized from one or more of filaments 110a and 110b and are accelerated to a pair of corresponding anodes 140a and 140b forming electron beams 70a and 70b. Such a configuration has several advantages. First, the filaments 110a and 110b can be actuated separately or in concert. Second, since the electron beam is generated outside the ionization chamber, the lifetime of the emitter is increased for known configurations, which is that the emitter is in the implanter vacuum housing where the ion source is located. This is because the emitter is substantially protected from ion bombardment.

永久磁石130a及び130b、及び一対の磁極アセンブリ125a及び125bからの磁束は、電子ビームが伝播する磁極アセンブリの両端間の空隙に亘って均一の磁場を確立するために使用されるビーム・ステアラーを形成する。磁場135a及び135b、及び電子ビーム70a及び70bの電子ビームエネルギは、電子ビーム70a及び70bが90°偏向されて図示のようにイオン化チャンバ44に入るように適合させられる。電子ビーム70a及び70bを例えば90°偏向させることにより、エミッタ110とイオンを含むイオン化チャンバとの間に視線がなく、その結果、強力な荷電粒子によるエミッタの衝撃が防止される。   The magnetic flux from the permanent magnets 130a and 130b and the pair of pole assemblies 125a and 125b form a beam steerer that is used to establish a uniform magnetic field across the air gap between the ends of the pole assembly through which the electron beam propagates. To do. The electron beam energies of the magnetic fields 135a and 135b and the electron beams 70a and 70b are adapted so that the electron beams 70a and 70b are deflected 90 ° and enter the ionization chamber 44 as shown. By deflecting the electron beams 70a and 70b, for example by 90 °, there is no line of sight between the emitter 110 and the ionization chamber containing the ions, so that the impact of the emitter by strong charged particles is prevented.

Vaは、イオン化チャンバ44に対して正電圧であることから、電子ビーム70a及び70bは、底板開口106a及び106bと電子入口開口71a及び71bとによって構成された間隙を通過する時に減速される。従って、底板開口106aと電子入口開口71a及び底板開口106bと電子入口開口71bの組合せ、及びその間の間隙により、その各々は、静電レンズ、この場合は減速レンズを形成する。減速レンズを使用することにより、電子ビーム生成及び偏向に実質的に影響を与えることなく、電子ビームのイオン化エネルギを調節することができる。   Since Va is a positive voltage with respect to the ionization chamber 44, the electron beams 70a and 70b are decelerated as they pass through the gap formed by the bottom plate openings 106a and 106b and the electron entrance openings 71a and 71b. Accordingly, the combination of the bottom plate opening 106a and the electron entrance opening 71a, the bottom plate opening 106b and the electron entrance opening 71b, and the gap therebetween each form an electrostatic lens, in this case a deceleration lens. By using a deceleration lens, the ionization energy of the electron beam can be adjusted without substantially affecting the electron beam generation and deflection.

この間隙は、各底板105a及び105bを支えてイオン化チャンバの電位にあるソースブロック35から孤立するように作用する1つ又はそれ以上のセラミックスペーサ132a及び132bによって達成することができる。セラミックスペーサ132a及び132bは、電気的分離及び機械的支持の両方を行う。尚、分かりやすくするために、エミッタシールド102及びソースシールド101は図3には示されていない。   This gap can be achieved by one or more ceramic spacers 132a and 132b that support each bottom plate 105a and 105b and act to isolate it from the source block 35 at the potential of the ionization chamber. Ceramic spacers 132a and 132b provide both electrical isolation and mechanical support. For the sake of clarity, the emitter shield 102 and the source shield 101 are not shown in FIG.

電子入口開口106a及び106bは、電子ビーム70a及び70bの伝達量を制限することができることから、底板105a及び105bは、強力な電子ビーム70a及び70bの一部分を捕らえることができる。従って、底板105a及び105bは、能動的に冷却されるか又は受動的に冷却されるべきである。能動的冷却は、水のような液体冷却剤を底板105a及び105bに通すか又は圧縮空気を強制的に底板105a及び105bに通すことによって達成することができる。代替的に、受動的冷却は、底板105a及び105bが周囲に放熱することによって冷却温度になるようにすることで達成される。この定常状態の温度は、捕らえられたビームパワー、底板の表面積及び放射率、及び周囲構成要素の温度に依存する。底板105a及び105bを高い温度、例えば250℃で作動させることは、低温表面に汚染及び粒子形成フィルムを形成する可能性があるデカボラン蒸気のような凝縮可能ガスを流す時に有利であると考えられる。   Since the electron entrance openings 106a and 106b can limit the amount of transmission of the electron beams 70a and 70b, the bottom plates 105a and 105b can capture a portion of the powerful electron beams 70a and 70b. Therefore, the bottom plates 105a and 105b should be actively cooled or passively cooled. Active cooling can be achieved by passing a liquid coolant such as water through the bottom plates 105a and 105b or by forcing compressed air through the bottom plates 105a and 105b. Alternatively, passive cooling is achieved by allowing the bottom plates 105a and 105b to reach the cooling temperature by dissipating heat to the surroundings. This steady state temperature depends on the captured beam power, the surface area and emissivity of the bottom plate, and the temperature of the surrounding components. Operating the bottom plates 105a and 105b at a high temperature, eg, 250 ° C., may be advantageous when flowing a condensable gas such as decaborane vapor that may form a contamination and particle forming film on the cold surface.

図2dは、ソースの電子ビーム形成領域の簡素化された上面図である。フィラメント110bは、イオン化チャンバ44に対して電位Ve、例えば−0.5keVであり、陽極140b、磁極アセンブリ125b、底板105b、及びエミッタシールド102bは、全て陽極電位Va、例えば1.5keVである。従って、電子ビームエネルギは2keVである。電子ビーム70bは、電子ビーム70bが底板開口106bを通過するように、磁極アセンブリ125bの両極間の間隙において磁場135bによって偏向される。底板開口106a及び106b、及び電子入口開口71a及び71bの一般的な値は、それぞれ直径が1cmである。   FIG. 2d is a simplified top view of the electron beam forming region of the source. The filament 110b has a potential Ve with respect to the ionization chamber 44, eg, −0.5 keV, and the anode 140b, the magnetic pole assembly 125b, the bottom plate 105b, and the emitter shield 102b all have an anode potential Va, eg, 1.5 keV. Therefore, the electron beam energy is 2 keV. The electron beam 70b is deflected by the magnetic field 135b in the gap between the poles of the pole assembly 125b such that the electron beam 70b passes through the bottom plate opening 106b. A typical value for the bottom plate openings 106a and 106b and the electron entrance openings 71a and 71b is 1 cm in diameter, respectively.

図3は、提案するクラスターイオン注入システムを含む重要な下流側要素に関連したイオン源を示す。図3に示す以外の構成も可能である。イオン源21は、クラスターイオンを含むイオンビームを生成するために抽出電極22と結合される。イオンビーム20は、一般的に、多くの異なる質量のイオン、すなわち、所定の電荷極性のイオンがイオン源21で生成される種の全てを含む。イオンビーム20は、その後、分析器磁石23に入る。分析器磁石23は、磁石コイル内の電流に応じてイオンビーム移送経路内に双極子磁場を生成する。磁場の方向は、図3の平面に垂直である。分析器磁石23の機能は、イオンビームを曲げて半径が個別のイオンの質量対電荷比に依存するアークにすることにより、イオンビームを空間的に分離して一組の構成ビームレットにすることである。このようなアークを、ビーム成分24を選択されたイオンビームとして図3に示す。磁石23は、特定のビームを以下の式(5)によって得られた半径に沿って曲げる。
R=(2mU)1/2/qB (5)
ただし、Rは曲げ半径、Bは磁束密度、mはイオン質量、Uはイオン運動エネルギ、qはイオン電荷状態である。
FIG. 3 shows the ion source associated with an important downstream element including the proposed cluster ion implantation system. Configurations other than those shown in FIG. 3 are possible. The ion source 21 is coupled with the extraction electrode 22 to generate an ion beam containing cluster ions. The ion beam 20 typically includes all of the species from which many different mass ions, i.e. ions of a given charge polarity, are generated in the ion source 21. The ion beam 20 then enters the analyzer magnet 23. The analyzer magnet 23 generates a dipole magnetic field in the ion beam transfer path in response to the current in the magnet coil. The direction of the magnetic field is perpendicular to the plane of FIG. The function of the analyzer magnet 23 is to spatially separate the ion beam into a set of constituent beamlets by bending the ion beam into an arc whose radius depends on the mass-to-charge ratio of the individual ions. It is. Such an arc is shown in FIG. 3 with the beam component 24 selected as the ion beam. The magnet 23 bends the specific beam along the radius obtained by the following equation (5).
R = (2 mU) 1/2 / qB (5)
However, R is a bending radius, B is a magnetic flux density, m is ion mass, U is ion kinetic energy, and q is an ion charge state.

選択されたイオンビームは、磁石によるイオンビームの曲げ半径がそのビームを質量分解開口27を通って送るように、狭い範囲の質量−エネルギ積のみのイオン質量から成る。選択されていないビームの成分は、質量分解開口27を通過しないが、他の場所で捕らえられる。例えば、1又は2原子質量単位の質量を有する水素イオンで構成された、選択されたビーム25よりも小さい質量対電荷比m/qを有するビームの場合、磁場は、小さな曲げを誘発し、ビームは、磁石チャンバの内径壁30又はどこか他の場所を捕える。選択されたビーム26よりも大きい質量対電荷比を有するビームの場合、磁場は、大きな曲げ半径を誘発し、ビームは、磁石チャンバの外径壁29又はどこか他の場所に当たる。当業技術で十分に確立されているように、分析器磁石23と質量分解開口27の組合せは、イオン源から抽出された多重種ビーム20からイオンビーム24を選択する質量分析システムを構成する。その後、選択されたビーム24は、分析後加減速電極31を通過することができる。この段階31は、ビームエネルギを、特定の注入処理に必要とされる望ましい最終エネルギ値に調節することができる。解析後の加速/減速ステージ31は、例えば、静電レンズ、又は代替的にLINAC(線形加速器)の形を取ることができる。分解開口とウェーハの間で電荷交換又は中性化反応を受けた(従って、正しいエネルギを有していない)イオンがウェーハに伝播するのを防止するために、「中性ビームフィルタ」又は「エネルギフィルタ」をこのビーム経路内に組み込むことができる。例えば、解析後の加速/減速ステージ31は、選択されたビーム24が印加されたDC電磁場を通じて追随するように制限された「ドッグレッグ」つまり角度の小さい振れをビーム経路に組み込むことができるが、中性又は多重電荷となったビーム成分は、この経路を決して辿らないであろう。その後、エネルギ調整されたビームは、図3に示す注入システム内でビーム走査システム32に入る。ビーム走査システム32は、ターゲット28全体が均一に注入されるようにビームを走査する。様々な構成が可能であり、例えば、一次元又は二次元走査及び静電対磁気走査システムがある。   The selected ion beam consists of a narrow range of mass-energy product ion masses such that the bending radius of the ion beam by the magnet sends the beam through the mass resolving aperture 27. The unselected beam components do not pass through the mass resolving aperture 27 but are captured elsewhere. For example, for a beam composed of hydrogen ions having a mass of 1 or 2 atomic mass units and having a mass to charge ratio m / q smaller than the selected beam 25, the magnetic field induces a small bend and the beam Captures the inner diameter wall 30 of the magnet chamber or elsewhere. For a beam with a mass-to-charge ratio greater than the selected beam 26, the magnetic field induces a large bend radius, and the beam strikes the outer diameter wall 29 of the magnet chamber or elsewhere. As is well established in the art, the combination of analyzer magnet 23 and mass resolving aperture 27 constitutes a mass analysis system that selects ion beam 24 from multiple species beam 20 extracted from an ion source. Thereafter, the selected beam 24 can pass through the post-analysis acceleration / deceleration electrode 31. This step 31 can adjust the beam energy to the desired final energy value required for a particular implantation process. The post-analysis acceleration / deceleration stage 31 can take the form of, for example, an electrostatic lens, or alternatively a LINAC (linear accelerator). To prevent ions that have undergone a charge exchange or neutralization reaction between the resolving aperture and the wafer (and therefore do not have the correct energy) from propagating to the wafer, a “neutral beam filter” or “energy” A "filter" can be incorporated in this beam path. For example, the post-analysis acceleration / deceleration stage 31 can incorporate a “dog leg” or small angle swing that is limited to follow the selected electromagnetic field through the applied DC electromagnetic field. Beam components that are neutral or multiply charged will never follow this path. Thereafter, the energy conditioned beam enters the beam scanning system 32 in the implantation system shown in FIG. The beam scanning system 32 scans the beam so that the entire target 28 is uniformly injected. Various configurations are possible, for example, one-dimensional or two-dimensional scanning and electrostatic versus magnetic scanning systems.

その後、ビームは、同じく高い真空度の環境に保持されたウェーハ処理チャンバ33に入り、そこでターゲット28に当たる。ウェーハ処理チャンバ及びウェーハ処理システムの様々な構成が可能であり、主要な部類は、連続(一度にウェーハ1つ)又はバッチ(回転ディスク上で多くのウェーハを一括して処理)である。連続処理チャンバにおいては、一般的に、インプラントの良好な空間的均一性を保証するために、直交方向に電磁的に走査されるビームに亘って一方向(横方向又は垂直方向)が機械式に走査される。バッチ装置においては、ディスクの回転により、半径方向に機械的な走査が行われ、回転ディスクの垂直方向又は水平方向の走査も同時に行われ、イオンビームは固定状態のままである。   The beam then enters the wafer processing chamber 33, which is also maintained in a high vacuum environment, where it strikes the target 28. Various configurations of wafer processing chambers and wafer processing systems are possible, with the main categories being continuous (one wafer at a time) or batch (many batches of wafers on a rotating disk). In a continuous processing chamber, one direction (lateral or vertical) is typically mechanical across a beam that is electromagnetically scanned in an orthogonal direction to ensure good spatial uniformity of the implant. Scanned. In the batch apparatus, mechanical scanning is performed in the radial direction by rotating the disk, and scanning in the vertical direction or horizontal direction of the rotating disk is also performed at the same time, and the ion beam remains fixed.

クラスターイオン注入によって正確なドーパント配置が行われるには、クラスター内に含まれたn個の原子の各々が同じ運動エネルギで基板を貫通することが必要であり、分子イオンがAn+の形である最も単純な場合(すなわち、それは、n個のドーパント原子Aで独特に構成される)は、n個のドーパント原子の各々は、半導体基板に入り込む時に同じ割合1/nのクラスターエネルギを受けなければならない。エネルギのこの等しい分割は、多原子分子が固体ターゲット表面に衝突した時に常に発生することが、例えば、Sze著「VLSI技術」、マグローヒル、253〜254頁(1983年)で立証されている。更に、このような注入の電気的結果は、単一の原子イオン注入を使用した同等のインプラントと同じであることが必要である。このような結果は、デカボランを用いた注入の場合について、Jacobson他「デカボランという超低エネルギイオン注入の代替手法」、第13回イオン注入技術に関する国際会議のIEEE講演論文集、アルプスバック、オーストリア、300〜303頁(2000年)で詳細に示されており、実際に本出願人は、全てのドーパントクラスターについて類似の結果を予想している。 For accurate dopant placement by cluster ion implantation, each of the n atoms contained in the cluster must penetrate the substrate with the same kinetic energy, and the molecular ion is in the form of An +. In the simplest case (ie it is uniquely composed of n dopant atoms A), each of the n dopant atoms must receive the same proportion of 1 / n cluster energy when entering the semiconductor substrate. Don't be. It has been demonstrated, for example, by Sze, “VLSI Technology”, McGraw Hill, pages 253-254 (1983), that this equal division of energy occurs whenever a polyatomic molecule strikes a solid target surface. Furthermore, the electrical result of such implantation needs to be the same as an equivalent implant using a single atomic ion implantation. These results show that in the case of implantation using decaborane, Jacobson et al., “Alternative method of ultra-low energy ion implantation called decaborane”, IEEE Proceedings of 13th International Conference on Ion Implantation Technology, Alpsbach, Austria, 300-303 (2000), shown in detail, in fact the Applicant expects similar results for all dopant clusters.

イオン注入中、ドーパント原子は、チャンネリングにより、すなわち、低密度の格子原子又は「チャンネル」を含む対称方向に沿って基板の結晶格子に入ることにより、半導体基板内により深く入り込むことができる。イオン軌道が半導体結晶格子内のチャンネルの方向と一致した場合、イオンは、実質的に基板原子との衝突を回避し、ドーパント発射体の範囲を広げる。チャンネリングを制限又は更に防止まで行う有効な手段は、アモルファス層を基板表面に形成する段階から成る。このような層を生成する1つの手段は、注入処理によって引き起こされる結晶損傷が、活性化段階中の基板の電気特性を実質的に変えることなく半導体表面での層結晶構造を排除するのに十分であるように、基板が構成されるのと同じ元素のイオン、又は、同じ電気特性(すなわち、周期表の同じ縦列から)を有するイオンを用いて基板を注入することである。例えば、シリコン及びゲルマニウムイオンは、20keVのエネルギで5x1014cm-2の線量でシリコン基板に注入され、シリコン基板内にこのようなアモルファス層を形成し、次に、クラスターイオン注入による浅いドーパント層の注入が続く。 During ion implantation, dopant atoms can penetrate deeper into the semiconductor substrate by channeling, that is, by entering the crystal lattice of the substrate along a symmetric direction that includes low density lattice atoms or “channels”. If the ion trajectory coincides with the direction of the channel in the semiconductor crystal lattice, the ions substantially avoid collision with the substrate atoms and widen the scope of the dopant projectile. An effective means of limiting or even preventing channeling consists of forming an amorphous layer on the substrate surface. One means of generating such a layer is that the crystal damage caused by the implantation process is sufficient to eliminate the layer crystal structure at the semiconductor surface without substantially changing the electrical properties of the substrate during the activation stage. As such, the substrate is implanted using ions of the same element that the substrate is composed of, or ions that have the same electrical properties (ie, from the same column of the periodic table). For example, silicon and germanium ions are implanted into a silicon substrate at an energy of 20 keV and a dose of 5 × 10 14 cm −2 to form such an amorphous layer in the silicon substrate, and then a shallow dopant layer by cluster ion implantation. Infusion continues.

本方法の重要な用途は、CMOS製造シーケンスの一部としてのN型及びP型の浅い接合形成のためのクラスターイオン注入の使用である。CMOSは、現在用いられている有力なデジタル集積回路技術であり、その名称は、同じチップ上のNチャンネル及びPチャンネルMOSトランジスタ(相補的MOS、omplementary MOS:N及びPの両方)の形成を表している。CMOSの成功を収めた点は、回路設計者が、より良い回路つまり代替技術よりも引き出すアクティブパワーの少ない回路を作るために相対するトランジスタの相補的性質を利用することができるという点である。N及びPという用語は、負(egative)及び正(ositive)(N型半導体は、負の大多数のキャリヤを有し、その逆も正しい)に基づくものであり、Nチャンネル及びPチャンネルトランジスタは、各領域の型(極性)が逆になった互いの複製であることに注意すべきである。同じ基板上の両方の型のトランジスタの製造には、N型不純物及び次にP型不純物を、フォトレジストの遮蔽層で他方の型の素子を保護しながら連続的に注入することが必要である。各トランジスタの型では、両方の極性の領域が正しく作動する必要があるが、浅い結合を形成するインプラントは、トランジスタと同じ型のものであることに注意すべきである。N型の浅いものは、Nチャンネルトランジスタに注入され、P型の浅いものは、Pチャンネルトランジスタに注入される。この処理の一例を図4a及び図4bに示す。特に、図4aは、N型クラスターインプラント88によりNチャンネルドレーン延長部89を形成する方法を示し、図4bは、P型クラスターインプラント91によるPチャンネルドレーン延長部90の形成を示す。N型及びP型トランジスタには、類似の幾何学形状の浅い接合が必要であり、従って、N型及びP型の両方のクラスターインプラントを有することは、高度CMOS構造の形成に有利であることに注意すべきである。 An important application of this method is the use of cluster ion implantation for N-type and P-type shallow junction formation as part of a CMOS manufacturing sequence. CMOS is the dominant digital integrated circuit technology in current use, its name is N-channel and P-channel MOS transistor on the same chip: the (complementary MOS, C Omplementary MOS both N and P) formed of Represents. The success of CMOS is that circuit designers can take advantage of the complementary nature of opposing transistors to create better circuits, which draw less active power than alternative technologies. The terms N and P, negative (n egative) and positive (p ositive) (N-type semiconductor has negative majority carriers, and vice versa correct) is based on, N-channel and P-channel It should be noted that the transistors are duplicates of each other with the type (polarity) of each region reversed. Fabrication of both types of transistors on the same substrate requires the continuous implantation of N-type impurities and then P-type impurities while protecting the other type of element with a photoresist shielding layer. . It should be noted that for each transistor type, both polar regions need to operate correctly, but the implant that forms the shallow coupling is of the same type as the transistor. N-type shallow ones are injected into N-channel transistors, and P-type shallow ones are injected into P-channel transistors. An example of this process is shown in FIGS. 4a and 4b. In particular, FIG. 4 a shows a method of forming an N-channel drain extension 89 with an N-type cluster implant 88, and FIG. 4 b shows the formation of a P-channel drain extension 90 with a P-type cluster implant 91. N-type and P-type transistors require shallow junctions with similar geometries, and thus having both N-type and P-type cluster implants is advantageous for the formation of advanced CMOS structures. You should be careful.

本方法の用途の一例をNMOSトランジスタ形成の場合について図5に示す。この図は、半導体素子製造のフロントエンド処理段階のいくつかを受ける半導体基板41を示す。この構造は、P井戸43、トレンチ隔離42、及びゲートスタック形成44及び45の各段階を通して処理されたN型半導体基板41から成る。 P井戸43は、井戸内のトランジスタに対する接合隔離をもたらすN型基板42との接合を形成する。トレンチ隔離42は、N井戸とP井戸の間(すなわち、CMOS構造全体における)の横方向誘電隔離をもたらす。ゲートスタックは、次に、トランジスタゲートスタックを形成するようにパターン化されたゲート酸化物層44及びポリシリコンゲート電極45を含んで構成される。また、フォトレジスト46が付加され、NMOSトランジスタ用の区域は開かれるが基板の他の区域はフォトレジスト層46によって遮蔽されるようにパターン化される。処理フローのこの時点で、基板は、素子製造工程によって必要とされる最も浅いドーピング層であるドレーン延長インプラントに対して準備完了となる。0.13μm技術ノードの最先端素子の一般的な処理要件は、1keVと2keVの間の砒素注入エネルギ、及び5x1014cm-2の砒素線量である。クラスターイオンビーム47、この場合はAs4x +は、ゲートスタックによる遮断を回避するために、イオンビームの伝播方向が基板と垂直であるように半導体素子に向けられる。As4x +クラスターのエネルギは、望ましいAs+注入エネルギの4倍、例えば4keVと8keVの間であるべきである。クラスターが基板に対する衝撃で分離すると、ドーパント原子は、ドレーン延長領域48を形成する半導体基板の表面近くの浅い層に存在するようになる。同じインプラントがゲート電極49の表層に入り、ゲート電極の更なるドーピングが行われることに注意すべきである。従って、図5で説明されている処理は、提案する発明の1つの重要な用途である。 An example of the use of this method is shown in FIG. This figure shows a semiconductor substrate 41 that undergoes some of the front end processing steps of semiconductor device fabrication. This structure consists of an N-type semiconductor substrate 41 processed through the P well 43, trench isolation 42, and gate stack formation 44 and 45 steps. P-well 43 forms a junction with N-type substrate 42 that provides junction isolation for the transistors in the well. Trench isolation 42 provides lateral dielectric isolation between the N and P wells (ie, in the entire CMOS structure). The gate stack is then configured to include a gate oxide layer 44 and a polysilicon gate electrode 45 that are patterned to form a transistor gate stack. Also, a photoresist 46 is added and patterned so that the area for the NMOS transistor is opened while the other areas of the substrate are shielded by the photoresist layer 46. At this point in the process flow, the substrate is ready for the drain extension implant, which is the shallowest doping layer required by the device fabrication process. The general processing requirements for the state-of-the-art devices at the 0.13 μm technology node are an arsenic implant energy between 1 keV and 2 keV and an arsenic dose of 5 × 10 14 cm −2 . The cluster ion beam 47, in this case As 4 H x +, is directed to the semiconductor element so that the ion beam propagation direction is perpendicular to the substrate in order to avoid blockage by the gate stack. The energy of the As 4 H x + cluster should be 4 times the desired As + implantation energy, eg between 4 keV and 8 keV. When the clusters separate on impact to the substrate, the dopant atoms become present in a shallow layer near the surface of the semiconductor substrate that forms the drain extension region 48. It should be noted that the same implant enters the surface layer of the gate electrode 49 and further doping of the gate electrode takes place. Thus, the process described in FIG. 5 is one important application of the proposed invention.

本方法の用途の更に別の例を図5aに示し、それは、深いソース/ドレーン領域の形成である。この図は、半導体素子製造の更なる処理段階の実行後の図5の半導体基板41を示す。付加的な処理段階には、ゲートスタック側壁でのパッド酸化物51形成及びスペーサ52形成がある。この時点で、フォトレジスト層53を付加し、注入が行われるトランジスタ、この場合はNMOSトランジスタを露出させるためにパターン化が行われる。次に、ソース及びドレーン領域55を形成するためのイオン注入が行われる。この注入は、低エネルギでの高い線量が必要であることから、提案するクラスター注入処理の適切な用途である。0.13μm技術ノードの一般的な注入パラメータは、砒素線量5x1015cm-2で約6keV/砒素原子(54)であるから、従って、24keVの1.25x1015cm-2As4Hx+インプラント、12keVの2.5x1015cm-2As2x +インプラント、又は6keVの5x1015cm-2As+インプラントが必要である。図5に示すように、ソース及びドレーン領域55は、このインプラントによって形成される。これらの領域は、回路相互接続(処理において後で形成される)と、チャンネル領域56及びゲートスタック44及び45と共にドレーン延長部48によって形成される固有トランジスタとの間の高導電率接続を提供する。ゲート電極45は、このインプラントに露出することができ(図示のように)、これが可能な場合は、ソース/ドレーンインプラントは、ゲート電極の主要なドーピング源になることが分かる。これをポリドーピング層57として図5aに示す。 Yet another example of the application of the method is shown in FIG. 5a, which is the formation of deep source / drain regions. This figure shows the semiconductor substrate 41 of FIG. 5 after execution of further processing steps of semiconductor device manufacturing. Additional processing steps include pad oxide 51 formation and spacer 52 formation on the gate stack sidewalls. At this point, a photoresist layer 53 is added and patterned to expose the transistor to be implanted, in this case the NMOS transistor. Next, ion implantation for forming the source and drain regions 55 is performed. This implantation is a suitable application of the proposed cluster implantation process because it requires a high dose at low energy. The typical implantation parameters for the 0.13 μm technology node are about 6 keV / arsenic atoms (54) at an arsenic dose of 5 × 10 15 cm −2 , and therefore a 24 keV 1.25 × 10 15 cm −2 As 4 Hx + implant, A 12 keV 2.5 × 10 15 cm −2 As 2 H x + implant or a 6 keV 5 × 10 15 cm −2 As + implant is required. As shown in FIG. 5, source and drain regions 55 are formed by this implant. These regions provide a high conductivity connection between the circuit interconnect (formed later in the process) and the intrinsic transistor formed by the drain extension 48 along with the channel region 56 and the gate stacks 44 and 45. . It can be seen that the gate electrode 45 can be exposed to this implant (as shown), and if this is possible, the source / drain implant is the primary doping source of the gate electrode. This is shown in FIG.

PMOSドレーン延長部148、及びPMOSソース及びドレーン領域155の形成を示す詳細図をそれぞれ図5b及び図5cに示す。構造及び処理は、図5a及び図5bと同じものであり、ドーパントの型が逆になっている。図5bにおいては、PMOSドレーン延長部148は、ホウ素クラスターインプラント147の注入によって形成される。このインプラントの一般的なパラメータは、0.13μm技術ノードの場合は、インプラントエネルギ500eV/ホウ素原子及び線量5x1014cm-2となる。すなわち、B10xインプラントは、5keV及びオクタデカボラン線量5x1013cm-2でのものと考えられる。図5cは、同じくデカボランのようなP型クラスターイオンビーム154の注入によるPMOSソース及びドレーン領域148の形成を示す。このインプラントの一般的なパラメータは、0.13μm技術ノードの場合は、エネルギ約1keV/ホウ素原子及びホウ素線量5x1015cm-2(すなわち、5x1014cm-2で20keVデカボラン)になると考えられる。 Detailed views illustrating the formation of the PMOS drain extension 148 and the PMOS source and drain regions 155 are shown in FIGS. 5b and 5c, respectively. The structure and processing are the same as in FIGS. 5a and 5b, with the dopant type being reversed. In FIG. 5 b, PMOS drain extension 148 is formed by implantation of boron cluster implant 147. Typical parameters for this implant are implant energy of 500 eV / boron atom and a dose of 5 × 10 14 cm −2 for a 0.13 μm technology node. That is, the B 10 H x implant is considered to be at 5 keV and an octadecaborane dose of 5 × 10 13 cm −2 . FIG. 5c shows the formation of the PMOS source and drain region 148 by implantation of a P-type cluster ion beam 154, also decaborane. The general parameters of this implant would be approximately 1 keV / boron atom and a boron dose of 5 × 10 15 cm −2 (ie, 20 keV decaborane at 5 × 10 14 cm −2 ) for a 0.13 μm technology node.

一般的に、有効な半導体接合の形成には、イオン注入だけでは不十分であり、注入されたドーパントを電気的に活性化するには熱処理が必要である。注入後は、半導体基板の結晶構造は大幅に損傷しており(基板原子は、結晶格子位置から移動される)、注入されたドーパントは、基板原子と弱く結合されているに過ぎず、その結果、注入された層の電気特性は貧弱なものである。高温(900℃を超える)での熱処理、すなわち焼き鈍しが一般的に行われ、半導体結晶構造を修復し、ドーパント原子を置換的に、すなわち、結晶構造内の基板原子の1つの位置に位置決めする。この置換により、ドーパントは、基板原子と結合して電気的に活性になることができる。すなわち、半導体層の導電率を変更することができる。しかし、この熱処理は、注入されたドーパントの拡散が熱処理中に起こるので浅い接合の形成には不利に作用する。事実、熱処理中のホウ素拡散は、0.1ミクロン以下の領域においてUSJを達成する際の制限的な要素である。浅い注入ドーパントの拡散を最小限に抑えるために、「スパイク焼き鈍し」のようなこの熱処理に対する高度な方法が開発されている。スパイク焼き鈍しは、最高温度での滞留時間がゼロに近づく急激な熱処理であり、その温度は、可能な限り迅速に上下する。従って、注入されたドーパントの拡散が最小限に抑えられている間に、注入されたドーパントを活性化するのに必要な高い温度となる。最終半導体素子の製造においてその利点を最大にするために、本発明と共にこのような高度な熱処理の利用が予想される。   In general, ion implantation alone is not sufficient to form an effective semiconductor junction, and heat treatment is required to electrically activate the implanted dopant. After the implantation, the crystal structure of the semiconductor substrate is significantly damaged (the substrate atoms are moved from the crystal lattice position), and the implanted dopant is only weakly bonded to the substrate atoms, and as a result The electrical properties of the implanted layer are poor. A heat treatment at high temperature (greater than 900 ° C.), ie, annealing, is generally performed to repair the semiconductor crystal structure and position the dopant atom in a substitution, ie, one position of the substrate atom in the crystal structure. This substitution allows the dopant to bond with the substrate atoms and become electrically active. That is, the conductivity of the semiconductor layer can be changed. However, this heat treatment adversely affects the formation of shallow junctions because diffusion of the implanted dopant occurs during the heat treatment. In fact, boron diffusion during heat treatment is a limiting factor in achieving USJ in the region below 0.1 microns. In order to minimize the diffusion of shallow implanted dopants, advanced methods for this heat treatment such as “spike annealing” have been developed. Spike annealing is an abrupt heat treatment in which the residence time at the maximum temperature approaches zero, and the temperature goes up and down as quickly as possible. Thus, there is a high temperature required to activate the implanted dopant while diffusion of the implanted dopant is minimized. The use of such advanced heat treatments with the present invention is envisaged in order to maximize its advantages in the manufacture of final semiconductor devices.

図6は、燐クラスターイオンの生成及び質量分解燐クラスターイオンビームの形成を示す。この質量スペクトルは、ソース供給ガスとして燐(PH3)を使用し、本発明のイオン源の作動中に収集されたデータを示す。この質量スペクトルは、垂直スケール61でイオン電流の強度、一方、水平スケール62でイオンの質量対電荷比を決める分析器磁場を示す。電流は、2次電子が実質的に抑えられるファラデーカップ内で測定した。横軸スケール62は、磁場に関して線形であるが、質量対電荷比では非線形であり、これは、所定の抽出電圧Vについて、2つの量がaを定数としてm/q=aB2によって関連付けられるからである。これによって、水平スケール62上で質量ピークが高いほど互いに近づく。燐クラスターは、それぞれ、クラスター当たり2つ、3つ、及び4つの燐原子を有する信号65、66、及び67として観察される。このスペクトルの解析の結果から、本発明のイオン源は、作動中にクラスターの形成及び維持を支えることが分る。グラフ左の信号63の分類は、水素イオンであり、質量番号は1及び2である。水素ピークは、比較的小さく、燐を含むピークよりも遥かに小さい。信号64の第2の分類は、質量31と32の間で行われ、燐原子1つを含むイオンに相当する。従来の注入処理中においては、選択された質量分解開口27(図2を参照)の選択により、これらのピークの1つ、いくつか、又は全てを注入することができる。一部の用途では、処理においてHに対する感受性がある場合は、31+ピークのみの選択が必要である。この場合、水素ピーク、すなわち、PHx +を排除するために狭い質量分解開口を実施することができる。ただし、x=1、2、3、又は4である。他の処理では、生産性を上げるためには、このグループ内のピークの全ての注入が必要である可能性がある。右65寄りの次のグループの信号は、燐二量体から成り、これらの粒子の各々は、2つの燐原子を含む。一番左の重要な信号は、質量番号62のP2 +に相当する。右隣りの信号は、P2x +の信号であり、ここでxは1と6の間である。また、本出願人は、これらの信号の強度は、単量体ピーク64と比較すると小さくなっているが、観察された強度は、ソース入力設定値の組全体に依存するので、例えば、二量体が必要な場合はP2 +ピークの相対的高さを最大にするために、望ましいビーム条件に対して最適化することができることに注目している。質量分解開口の選択によって注入処理中に注入されるこれらのビームの数が決まる。その右の次の信号の分類66は、3つの燐原子(P3 +)を含む燐クラスターイオンに対応するものである。その右の次の信号67は、4つの燐原子を含む燐クラスターイオンに対応する。このクラスターの強度は、P3x +クラスターの場合よりも高く、P4 +(4x観察された強度)を使用する正味線量率は、P+又はP2 +を注入する場合を上回り、注入された燐原子当たりのエネルギは、公称イオンビームエネルギの1/4に過ぎないことに注意することは興味深いことである。 FIG. 6 shows the generation of phosphorus cluster ions and the formation of a mass-resolved phosphorus cluster ion beam. This mass spectrum shows data collected during operation of the ion source of the present invention using phosphorus (PH 3 ) as the source feed gas. The mass spectrum shows the intensity of the ion current on the vertical scale 61 while the analyzer magnetic field determines the mass-to-charge ratio of the ions on the horizontal scale 62. The current was measured in a Faraday cup where secondary electrons were substantially suppressed. The horizontal scale 62 is linear with respect to the magnetic field, but is non-linear with respect to the mass-to-charge ratio, because for a given extraction voltage V, the two quantities are related by m / q = aB 2 where a is a constant. It is. Accordingly, the higher the mass peak on the horizontal scale 62, the closer to each other. Phosphorus clusters are observed as signals 65, 66, and 67 with 2, 3, and 4 phosphorus atoms per cluster, respectively. From the results of this spectral analysis, it can be seen that the ion source of the present invention supports cluster formation and maintenance during operation. The classification of the signal 63 on the left of the graph is hydrogen ions, and the mass numbers are 1 and 2. The hydrogen peak is relatively small and much smaller than the peak containing phosphorus. A second classification of signal 64 is performed between masses 31 and 32 and corresponds to an ion containing one phosphorus atom. During a conventional injection process, one, some, or all of these peaks can be injected depending on the selection of the selected mass resolving aperture 27 (see FIG. 2). For some applications, if the process is sensitive to H, only the 31 P + peak needs to be selected. In this case, a narrow mass resolving aperture can be implemented to eliminate the hydrogen peak, ie PH x + . However, x = 1, 2, 3, or 4. In other processes, it may be necessary to inject all of the peaks in this group to increase productivity. The next group of signals near the right 65 consists of phosphorus dimers, each of which contains two phosphorus atoms. The left most important signal corresponds to P 2 + with mass number 62. The signal on the right is the P 2 H x + signal, where x is between 1 and 6. Applicants have also noted that the intensity of these signals is small compared to the monomer peak 64, but the observed intensity depends on the entire set of source input settings, for example, Note that the body can be optimized for the desired beam conditions in order to maximize the relative height of the P 2 + peak when needed. The choice of the mass resolving aperture determines the number of these beams that are injected during the implantation process. The next signal classification 66 to the right corresponds to a phosphorus cluster ion containing three phosphorus atoms (P 3 + ). The next signal 67 to the right corresponds to a phosphorus cluster ion containing four phosphorus atoms. The intensity of this cluster is higher than that of the P 3 H x + cluster, and the net dose rate using P 4 + (4x observed intensity) is higher than that of injecting P + or P 2 +. It is interesting to note that the energy per phosphorus atom made is only a quarter of the nominal ion beam energy.

図7は、本発明を使用するAsH3の質量スペクトルを示す。イオンビームエネルギは、19keVであったので、As4x +の有効Asインプラントエネルギは、4.75keVになる。図7のAs4x +のビーム電流は、約0.25Aであったので、同等なAsドーパント電流は約1mAである。図7はまた、0.5mAと1.0mAの間の粒子電流がAs、As2、As3、又はAs4含有イオンビームの注入からもたらされ、また、図7スペクトルの異なる部分を選択するのに分析器磁石電流を調整するだけで約20及び5keVの間の有効インプラントエネルギ範囲が得られることを示している。 FIG. 7 shows the mass spectrum of AsH 3 using the present invention. Since the ion beam energy was 19 keV, the effective As implant energy of As 4 H x + is 4.75 keV. Since the beam current of As 4 H x + in FIG. 7 was about 0.25 A, the equivalent As dopant current is about 1 mA. FIG. 7 also shows that particle currents between 0.5 mA and 1.0 mA result from implantation of an As, As 2 , As 3 , or As 4 containing ion beam, and select different portions of the FIG. 7 spectrum. However, it is shown that an effective implant energy range between about 20 and 5 keV can be obtained by simply adjusting the analyzer magnet current.

図8は、Asインプラントエネルギの関数としてのAs4x +電流を示す。イオンビームの角度発散は、質量分解開口(例えば、図3の27を参照)とファラデーカップとの間の開口により、11mRの横方向又は分散方向の半角又は約0.6度に制限された。1keV/原子は、USJ素子内へのヒ素注入に半導体処理が必要とすることになる下限値である。 FIG. 8 shows As 4 H x + current as a function of As implant energy. The angular divergence of the ion beam was limited to a lateral or dispersion half-angle of 11 mR or about 0.6 degrees by an opening between the mass resolving aperture (see, eg, 27 in FIG. 3) and the Faraday cup. 1 keV / atom is the lower limit that semiconductor processing will require for arsenic implantation into the USJ element.

図9は、ビーム輝度の単位に変換した図8のビーム電流、及び「典型的な」現代の中電流注入装置との比較を示す。改善量は、約30倍である(本出願人が仮定した中電流注入装置仕様は、40mrad半角受入れ、及び10keVでの200μAのビーム電流であった)。Stephensは、J.F.Ziegler編「イオン注入技術ハンドブック」、ノース・ホランド、455〜499頁(1992年)で輝度Bを以下のように定めている。
B=2I/π2ε2(μA−mm-2−mrad-2) (6)
ただし、Iは、マイクロアンペア単位での有効ドーパントビーム電流であり、εは、2乗で表されたビーム放射率(ミリラジアン−ミリメートル)である。放射率は、以下によって計算される。
ε=δa (7)
ただし、δは分散面でのビーム半幅、aは半ペンシル角であり、いずれも画像平面、すなわち分解開口位置で測定される。
FIG. 9 shows a comparison of the beam current of FIG. 8 converted to units of beam brightness and a “typical” modern medium current injector. The improvement is about 30 times (the applicant's assumed medium current injection device specification was 40 mrad half-angle acceptance and 200 μA beam current at 10 keV). Stephens, J. et al. F. The luminance B is defined as follows in Ziegler's “Ion Implantation Technology Handbook”, North Holland, pages 455-499 (1992).
B = 2I / π 2 ε 2 (μA−mm −2 −mrad −2 ) (6)
Where I is the effective dopant beam current in microamperes, and ε is the beam emissivity expressed in squares (milliradians-millimeters). The emissivity is calculated by:
ε = δa (7)
Where δ is the beam half width on the dispersion surface, and a is the half pencil angle, both of which are measured at the image plane, ie, the resolving aperture position.

ビーム輝度は、特定のアクセプタンスの中に、例えば特定の直径及び長さのチューブを通じて伝達することができるビーム電流量を定量化する重要な性能指数である。イオン注入システムビームラインは、明確に定められたアクセプタンスを有するので、輝度は、放射率制限ビームに関する生産性の重要な尺度である。放射率は、通常、低エネルギビームの移送における制限因子である。これは、式(1)〜(3)で表されているように、概して単量体イオンに対してクラスターイオンを使用する恩典であることが分かる。As4注入の場合、式(3)により、16倍の処理量の増加、すなわちΔ=n2が予測される。 Beam brightness is an important figure of merit that quantifies the amount of beam current that can be transmitted in a particular acceptance, for example, through a tube of a particular diameter and length. Since ion implantation system beam lines have a well-defined acceptance, brightness is an important measure of productivity for emissivity limited beams. Emissivity is usually a limiting factor in the transport of low energy beams. As can be seen from the formulas (1) to (3), this is generally a benefit of using cluster ions for monomer ions. In the case of As 4 injection, a 16-fold increase in throughput, ie Δ = n 2, is predicted by equation (3).

図10は、それぞれ、AsHx +及びAs4x +イオンが4.75keV及び19keVで注入されたシリコンサンプルに関する2次イオン質量分析計(SIMS)による結果を示す。原子線量は、約1x1016xm-2であった。これらのデータは、シリコンへのイオン注入のシミュレーションを行うために業界で一般的に使用される完全動的散乱モデルであるTRIMと比較される。これらの結果は、本出願人が実際に指定されたエネルギでAs及びAs4を注入していることを示す。 FIG. 10 shows secondary ion mass spectrometer (SIMS) results for silicon samples implanted with AsH x + and As 4 H x + ions at 4.75 keV and 19 keV, respectively. The atomic dose was about 1 × 10 16 xm −2 . These data are compared to TRIM, a fully dynamic scattering model commonly used in the industry to simulate ion implantation into silicon. These results show that the applicant is actually injecting As and As 4 with the specified energy.

図11は、従来のイオン注入では一般的に使用されないガス状材料であるが市販されているジボランB26の質量スペクトルを示す。図11は、H(H+、H2 +、H3 +)、B(B、BH+、BH2 +)、B2(B2 +、B2+、B22 +、B23 +、B24 +)、B3(B3、B3+、B32 +、B33 +、B34 +)、B4(B4、B4+、B42 +、B43 +、B44 +)、及びB5グループの分類を示す。図11のスペクトルは、天然の豊富さを反映する11B対10Bの約4:1の比で表されるホウ素の2つの天然に生じる同位元素10B及び11Bが存在するために、解釈する上で若干複雑である。例えば、11B及び10BHの両方は、11amuのピークで存在する。 FIG. 11 shows a mass spectrum of commercially available diborane B 2 H 6 , which is a gaseous material not commonly used in conventional ion implantation. FIG. 11 shows H (H + , H 2 + , H 3 + ), B (B, BH + , BH 2 + ), B 2 (B 2 + , B 2 H + , B 2 H 2 + , B 2 H 3 + , B 2 H 4 + ), B 3 (B 3 , B 3 H + , B 3 H 2 + , B 3 H 3 + , B 3 H 4 + ), B 4 (B 4 , B 4 H + , B 4 H 2 + , B 4 H 3 + , B 4 H 4 + ), and B 5 groups. The spectrum of FIG. 11 is interpreted because there are two naturally occurring isotopes 10 B and 11 B of boron represented by a ratio of about 4: 1 of 11 B to 10 B reflecting natural abundance. It is a little complicated to do. For example, both 11 B and 10 BH are present at the 11 amu peak.

図12は、本発明における水素化ホウ素クラスター及び陽クラスターイオンの生成を示す。この質量スペクトルは、気化デカボランB1014をイオン源供給材料として使用し、本発明のイオン源作動中に収集されたデータを示す。1≦y≦10及び0≦x≦14のByx +の形の水素化ホウ素クラスターは、1amuから約124amuまで1amuだけ分離して示されている。観察される最大の信号B10x +は、デカボラン親分子の直接イオン化によって形成されるデカボラン分子イオンに対応する。 FIG. 12 shows the generation of borohydride clusters and positive cluster ions in the present invention. This mass spectrum shows data collected during ion source operation of the present invention using vaporized decaborane B 10 H 14 as the ion source feed. Boron hydride clusters of the form B y H x + with 1 ≦ y ≦ 10 and 0 ≦ x ≦ 14 are shown separated by 1 amu from 1 amu to about 124 amu. The maximum signal B 10 H x + observed corresponds to the decaborane molecular ion formed by direct ionization of the decaborane parent molecule.

図13は、図12のスペクトルと類似の本発明のイオン源によって生成されたデカボランの陰イオンスペクトルを示す。デカボラン陰イオンによって形成される状態の方が遥かに少ないので、イオンの大部分(約90%)は、親B10x -ピーク内に含まれる。半導体のイオン注入のための陰イオンの使用は、実質的に陽イオン注入で観察されるウェーハ荷電を排除することから非常に有用である。イオン源が特定の材料の豊富な量の陽イオン及び陰イオンの両方を生成することは通常のことではなく、図12及び図13のピークイオン電流は、2倍の範囲内で同じものである。これは、拡張された質量範囲について図14に劇的に示されている。これらのデータは、図示のように陽イオン質量スペクトルを収集し、イオン注入システム電源の極性を逆にし、同一質量範囲に亘って同じ一枚の紙に本発明のイオン注入システムを用いて収集することによって収集したものである。図14のデータを収集するために、x−y紙レコーダにファラデーカップ電流を供給した。デカボランの場合、陽イオンではなく、陰イオンの注入において大きな利点が明白である。すなわち、1)より有用なイオン電流が関連ピーク内にあり、その結果、有用なドーパント流束が大きくなり、2)親ピークは、ほぼ1/2に質量が狭くなり(陽イオンの場合に9amuであるのに対して陰イオンの場合に5amuの半値全幅)、及び3)当業技術で一般的に容認されているように、陽イオンの代わりに陰イオンを使用した時にはウェーハ荷電が排除される。 FIG. 13 shows an anion spectrum of decaborane produced by an ion source of the present invention similar to that of FIG. Since towards state formed by decaborane anions much less, most of the ions (about 90%) of the parent B 10 H x - included in the peak. The use of anions for semiconductor ion implantation is very useful because it substantially eliminates the wafer charge observed with positive ion implantation. It is unusual for an ion source to produce both abundant amounts of cations and anions for a particular material, and the peak ion currents in FIGS. 12 and 13 are the same within a twofold range. . This is shown dramatically in FIG. 14 for the extended mass range. These data are collected using the ion implantation system of the present invention on the same sheet of paper over the same mass range, collecting positive ion mass spectra as shown, reversing the polarity of the ion implantation system power supply. It is collected by. In order to collect the data of FIG. 14, a Faraday cup current was supplied to the xy paper recorder. In the case of decaborane, great advantages are evident in the implantation of anions rather than cations. That is, 1) the more useful ionic current is in the relevant peak, resulting in a larger useful dopant flux, and 2) the parent peak is nearly halved in mass (9 amu for cations) The full width at half maximum of 5 amu for negative ions), and 3) wafer charges are eliminated when negative ions are used instead of positive ions, as is generally accepted in the art. The

図15は、デカボランエネルギ20keVでシリコンサンプルに注入されたデカボラン陽イオン、及びデカボラン陰イオンの両方に関するSIMSプロフィールを示す。これらのプロフィールは、各イオンが同数のホウ素原子を有する場合に予想されるようにほぼ同じであり、従って、同じ予測範囲に注入される。   FIG. 15 shows SIMS profiles for both decaborane cations and decaborane anions implanted in a silicon sample with a decaborane energy of 20 keV. These profiles are approximately the same as would be expected if each ion had the same number of boron atoms, and therefore injected into the same predicted range.

図16は、H濃度も示す負のデカボランインプラントのSIMSデータを示す。H線量は、ホウ素線量の0.9倍であり、これは、B109 -という負のデカボランの平均的な化学式を示唆している。 FIG. 16 shows SIMS data for a negative decaborane implant that also shows H concentration. H dose is 0.9 times the boron dose, which, B 10 H 9 - suggests an average chemical formula for negative decaborane called.

図17は、電子衝撃イオン化に関して電子エネルギに対するイオン化確率の依存度を示す。アンモニア(NH3)が例示的に使用されている。確率は、10-16cm2という単位で断面σとして表される。電子エネルギ(T)は、eV、すなわち電子ボルト単位である。第1の原理に基づくBEB(垂直IP)及びBEB(断熱IP)とマーク付けした二組の理論曲線及びDjuric他(1981年)及びRao及びSrivastava(1992年)による二組の実験データが示されている。図17は、特定の範囲の電子エネルギでは、他の範囲よりも盛んにイオン化が行われることを示している。これらのデータは、陽イオンの生成に適用されるが、類似の考察は陰イオンの生成に適用される。すなわち、強力なエネルギ依存性が明白である。一般的に、陽イオン生成の場合の断面は、約50eVと500eVの間の電子衝撃エネルギの場合が最も大きく、ピークは100eVである。従って、電子ビームがイオン化チャンバ44に入る際のエネルギは、本発明のイオン源の作動に影響を与える重要なパラメータであるので、本出願人は、イオン化チャンバに入り込む電子のエネルギが約0eVから約5000eVまで変動するように電子ビーム移送を設計した。図2bから図2dに示す特徴は、本発明におけるイオン源の電子ビーム形成領域及び偏向領域でほぼ一定の状態で作動しながら電子衝撃イオン化エネルギの幅広い制御を可能にする電子光学要素がどのように組み込まれるかを示す。 FIG. 17 shows the dependence of ionization probability on electron energy for electron impact ionization. Ammonia (NH 3 ) is used illustratively. The probability is expressed as a cross section σ in units of 10 −16 cm 2 . The electron energy (T) is eV, that is, an electron volt unit. Two sets of theoretical curves marked BEB (Vertical IP) and BEB (Adiabatic IP) based on the first principle and two sets of experimental data by Djuric et al. (1981) and Rao and Srivastava (1992) are shown. ing. FIG. 17 shows that ionization is more actively performed in a specific range of electron energy than in other ranges. These data apply to the production of cations, but similar considerations apply to the production of anions. That is, a strong energy dependence is evident. In general, the cross-section for cation generation is greatest for electron impact energy between about 50 eV and 500 eV, with a peak at 100 eV. Accordingly, since the energy with which the electron beam enters the ionization chamber 44 is an important parameter that affects the operation of the ion source of the present invention, Applicants have determined that the energy of electrons entering the ionization chamber is from about 0 eV to about The electron beam transfer was designed to vary up to 5000 eV. The features shown in FIGS. 2b to 2d show how an electron optical element that allows a wide control of electron impact ionization energy while operating in a substantially constant state in the electron beam forming and deflection regions of the ion source in the present invention. Indicates whether to be included.

図18は、本発明のイオン源で生成されたデカボラン陽イオンの質量スペクトルである。質量スペクトルを構成する個々のイオンがラベル付けされている。一般的に、イオンは、Bnx +の形であり、0≦n≦10及び0≦x≦14である。断然大きなピークは、親B10x +イオンであり、ピーク強度の大部分は、約8amu(原子量単位)以内である。この親イオンは、陽イオン注入で可能性の高い選択である。 FIG. 18 is a mass spectrum of a decaborane cation generated by the ion source of the present invention. The individual ions that make up the mass spectrum are labeled. In general, ions are in the form of B n H x + , where 0 ≦ n ≦ 10 and 0 ≦ x ≦ 14. The remarkably large peak is the parent B 10 H x + ion, and the majority of the peak intensity is within about 8 amu (atomic weight units). This parent ion is a likely choice for cation implantation.

図19は、本発明のイオン源で生成されたデカボラン陰イオン及びデカボラン陽イオンの両方の質量スペクトルである。質量スペクトルを構成する個々のイオンがラベル付けされている。陰イオンスペクトルは、陽イオンスペクトルよりも遥かに単純である。特に、明白な水素イオン又は水素よりも低い次数のホウ素イオンはなく、一方、スペクトルの約90%は、親B10x +イオンで構成されている。B10m +と同様に、親陰イオンのピーク強度の大部分は約8amu以内である。この親イオンは、陰イオン注入で可能性の高い選択である。 FIG. 19 is a mass spectrum of both decaborane anion and decaborane cation generated with the ion source of the present invention. The individual ions that make up the mass spectrum are labeled. The anion spectrum is much simpler than the cation spectrum. In particular, there are no obvious hydrogen ions or boron ions of lower order than hydrogen, while about 90% of the spectrum is composed of parent B 10 H x + ions. Similar to B 10 H m + , the majority of the parent anion peak intensity is within about 8 amu. This parent ion is a likely choice for anion implantation.

半導体における浅い接合の形成で使用される関連の元素がいくつかある。シリコン用途の場合、主要なドーパントは、ホウ素、燐、ヒ素、及びアンチモンであるので、これらの元素は、浅い接合の形成に適用される可能性が最も大きい。更に、シリコン及びゲルマニウムインプラントは、シリコン内でアモルファス領域を形成するのに使用されるので、これらの元素のクラスターは、浅いアモルファス領域の形成に有用であると考えられる。複合半導体の場合、浅い接合向けの関連元素としては、シリコン、ゲルマニウム、錫、亜鉛、カドミウム、ベリリウムがあるので、これらの元素のクラスターは、複合半導体製造において浅い接合の形成に使用される機会がある。   There are several related elements that are used in the formation of shallow junctions in semiconductors. For silicon applications, the main dopants are boron, phosphorus, arsenic, and antimony, so these elements are most likely to be applied in the formation of shallow junctions. In addition, since silicon and germanium implants are used to form amorphous regions in silicon, clusters of these elements are considered useful for forming shallow amorphous regions. In the case of composite semiconductors, the relevant elements for shallow junctions include silicon, germanium, tin, zinc, cadmium, and beryllium, so clusters of these elements have the opportunity to be used to form shallow junctions in composite semiconductor manufacturing. is there.

本方法の1つの態様は、クラスターイオン形成のためにイオン化チャンバ内に適切な環境を設けることである。説明した様々な元素の各々は、異なる化学的特性を有するので、最適な環境は各元素について異なる。各元素及び各選択されたクラスターには、最適な性能を達成するために異なる組の入力パラメータが必要となる。最適化に利用可能なパラメータとしては、供給材料の流れによって制御されるようなソース圧力、温度制御システムによって制御されるようなイオン化チャンバ内の温度、イオン化エネルギが電子ビームである時の電子ビーム電流及び電子エネルギのようなイオン化エネルギ強度及び特性がある。これらの基本的なパラメータは、ドーパントクラスター形成及びイオン化に向けてソースイオン化チャンバ内で適切な環境を生成するために協働する。   One aspect of the method is to provide a suitable environment within the ionization chamber for cluster ion formation. Since each of the various elements described has different chemical properties, the optimal environment is different for each element. Each element and each selected cluster requires a different set of input parameters to achieve optimal performance. Available parameters for optimization include source pressure as controlled by feed flow, temperature in the ionization chamber as controlled by a temperature control system, and electron beam current when the ionization energy is an electron beam. And ionization energy intensity and properties such as electron energy. These basic parameters work together to create an appropriate environment in the source ionization chamber for dopant cluster formation and ionization.

以上説明してきたように、単一ドーパント原子のイオン注入と比較して、ドーパント原子のクラスターのイオン注入により、N型及びP型の両方のドーパントを浅い深さで高い効率によって注入することが可能になる。   As described above, both N-type and P-type dopants can be implanted at a shallow depth with high efficiency by ion implantation of a cluster of dopant atoms compared to ion implantation of a single dopant atom. become.

本発明は、いくつかの実施形態に沿って説明された。本発明は、それらの実施形態に限定されるものではない。例えば、様々な修正、代替、改良、及びその組み合わが可能であることは当業者には明らかであろう。   The invention has been described with several embodiments. The present invention is not limited to these embodiments. For example, it will be apparent to those skilled in the art that various modifications, substitutions, improvements, and combinations thereof are possible.

明らかに、以上の教示内容に照らして本発明の多くの修正及び変形が可能である。すなわち、特許請求の範囲において、具体的に上述した以外に本発明を実施することができることを理解すべきである。   Obviously, many modifications and variations of the present invention are possible in light of the above teachings. In other words, it should be understood that the present invention can be practiced other than as specifically described above in the claims.

請求する内容及び米国特許証に含められるように望む内容は、特許請求の範囲の通りである。   What is claimed and what is desired to be included in the US Patent is set forth in the appended claims.

チャイルド−ラングミュア法則による最大75As+ビーム電流に対する抽出エネルギを示すグラフである。It is a graph which shows the extraction energy with respect to the maximum 75 As + beam current by Child-Langmuir law. 四量体ヒ素と単量体ヒ素を通じて達成可能な最大抽出電流の比較を示すグラフである。6 is a graph showing a comparison of maximum extraction currents achievable through tetrameric arsenic and monomeric arsenic. 本発明によるクラスターイオン源の簡略図である。1 is a simplified diagram of a cluster ion source according to the present invention. 本発明によるクラスターイオン源の例示的な実施形態の斜視図である。1 is a perspective view of an exemplary embodiment of a cluster ion source according to the present invention. FIG. 電子ビームとその上に重ね合わされた磁場とを有する切取り図で示す、図2aに示すイオン源の一部分の側面図である。2b is a side view of a portion of the ion source shown in FIG. 2a, shown in cutaway view with an electron beam and a magnetic field superimposed thereon. 本発明による磁場と電子ビーム源を示す、切取り図で示すイオン源の一部分の斜視図である。2 is a perspective view of a portion of an ion source shown in cutaway view showing a magnetic field and electron beam source according to the present invention. 本発明によるイオン源の電子ビーム形成領域の概略上面図である。It is a schematic top view of the electron beam formation area | region of the ion source by this invention. 本発明と共に使用することができる温度制御システムのブロック図である。1 is a block diagram of a temperature control system that can be used with the present invention. 本発明による例示的なクラスターイオン注入システムの概略図である。1 is a schematic diagram of an exemplary cluster ion implantation system according to the present invention. FIG. NMOSドレーン延長部形成時のCMOS製造シーケンスの図である。It is a figure of a CMOS manufacturing sequence at the time of NMOS drain extension part formation. PMOSドレーン延長部形成時のCMOS製造シーケンスの図である。It is a figure of the CMOS manufacturing sequence at the time of PMOS drain extension part formation. N型ドレーン延長部注入段階でのNMOS半導体素子の製造工程における半導体基板の図である。It is a figure of the semiconductor substrate in the manufacturing process of the NMOS semiconductor element in the N type drain extension part injection | pouring step. ソース/ドレーン注入段階でのNMOS半導体素子の製造工程における半導体基板の図である。It is a figure of the semiconductor substrate in the manufacturing process of the NMOS semiconductor element in a source / drain injection | pouring stage. P型ドレーン延長部注入段階でのPMOS半導体素子の製造工程における半導体基板の図である。It is a figure of the semiconductor substrate in the manufacturing process of the PMOS semiconductor element in the P-type drain extension part injection | pouring step. ソース/ドレーン注入段階でのPMOS半導体素子の製造工程における半導体基板の図である。It is a figure of the semiconductor substrate in the manufacturing process of the PMOS semiconductor element in a source / drain injection | pouring stage. 本発明のイオン源で生成したPH3の質量スペクトルのグラフである。It is a graph of mass spectrum of PH 3 generated in the ion source of the present invention. 本発明のイオン源で生成したAsH3の質量スペクトルのグラフである。It is a graph of mass spectrum of AsH 3 generated in the ion source of the present invention. 低エネルギ範囲でのオン・ウェーハAs4x +イオン電流を示すグラフである。FIG. 5 is a graph showing on-wafer As 4 H x + ion current in the low energy range. FIG. ビーム輝度の単位に変換された図6に示すデータのグラフである。FIG. 7 is a graph of the data shown in FIG. 6 converted into a unit of beam luminance. 本発明を使用してシリコンウェーハに注入されたAsHx +及びAs4x +イオンビームからのヒ素濃度の注入時SIMSプロフィール及びTRIM計算結果との比較のグラフである。 4 is a graph of comparison of SIMS profiles and TRIM calculation results during implantation of arsenic concentrations from AsH x + and As 4 H x + ion beams implanted into silicon wafers using the present invention. 本発明のイオン源で生成したB26の質量スペクトルのグラフである。It is a graph of mass spectrum of B 2 H 6 produced in the ion source of the present invention. デカボラン供給材料で作動する本発明に対する記録された陽イオン質量スペクトルのグラフである。4 is a graph of recorded cation mass spectra for the present invention operating with a decaborane feed. デカボラン供給材料で作動する本発明に対する記録された陰イオン質量スペクトルのグラフである。4 is a graph of recorded anion mass spectra for the present invention operating with a decaborane feed. 連続的に取られた陰イオン及び陽イオンデカボランの両方の記録された質量スペクトル、及び二量体B20xも示すグラフである。FIG. 2 is a graph showing recorded mass spectra of both anion and cation decaborane taken continuously and dimer B 20 H x . デカボラン注入エネルギ20keVでの本発明を使用した陰及び陽B10xイオンの注入時SISMプロフィールのグラフである。FIG. 6 is a graph of the SISM profile during implantation of Yin and Yang B 10 H x ions using the present invention with a decaborane implantation energy of 20 keV. B濃度及びH濃度を示す、シリコンに注入された20keVデカボランの注入時SISMプロフィールのグラフである。FIG. 5 is a graph of the SISM profile during implantation of 20 keV decaborane implanted in silicon showing B and H concentrations. アンモニア(NH3)の電子エネルギTの関数としてのイオン化断面σのグラフである。It is a graph of the ionization cross-section σ as a function of electron energy T of ammonia (NH 3). 本発明のイオン源で生成された陽デカボランイオンの質量スペクトルの図である。It is a figure of the mass spectrum of the positive decaborane ion produced | generated with the ion source of this invention. 本発明のイオン源で生成された陰デカボランイオンの質量スペクトルの図である。It is a figure of the mass spectrum of the negative decaborane ion produced | generated with the ion source of this invention.

符号の説明Explanation of symbols

10 イオン源
12 ビーム形成領域
28 気化器
36 取り付けフランジ
44 イオン化チャンバ
71a、71b 電子ビーム入口開口
70a、70b 電子ビーム
DESCRIPTION OF SYMBOLS 10 Ion source 12 Beam formation area 28 Vaporizer 36 Mounting flange 44 Ionization chamber 71a, 71b Electron beam entrance opening 70a, 70b Electron beam

Claims (27)

(a)N型クラスターイオンを第1の分子種から生成する段階と、
(b)P型クラスターイオンを第2の分子種から生成する段階と、
(c)前記N型クラスターイオンを基板上の第1の領域内に注入する段階と、
(d)前記P型クラスターイオンを前記基板上の第2の領域内に注入する段階と、
を含むことを特徴とする、ドーパント材料を基板内に注入する方法。
(A) generating N-type cluster ions from the first molecular species;
(B) generating P-type cluster ions from the second molecular species;
(C) implanting the N-type cluster ions into a first region on the substrate;
(D) implanting the P-type cluster ions into a second region on the substrate;
A method of implanting a dopant material into a substrate, comprising:
段階(a)は、アルシン(AsH3)ガスから前記N型クラスターイオンを生成する段階を含むことを特徴とする請求項1に記載の方法。 The method of claim 1, wherein step (a) includes generating the N-type cluster ions from an arsine (AsH 3 ) gas. 段階(a)は、元素状態ヒ素蒸気Asから前記N型クラスターイオンを生成する段階を含むことを特徴とする請求項1に記載の方法。   The method of claim 1, wherein step (a) comprises generating the N-type cluster ions from elemental arsenic vapor As. 段階(a)は、As4 +クラスターイオンを生成する段階を含むことを特徴とする請求項2に記載の方法。 The method of claim 2, wherein step (a) comprises generating As 4 + cluster ions. 段階(a)は、As4 +クラスターイオンを生成する段階を含むことを特徴とする請求項3に記載の方法。 4. The method of claim 3, wherein step (a) includes generating As4 + cluster ions. 段階(a)は、As3 +クラスターイオンを生成する段階を含むことを特徴とする請求項2に記載の方法。 The method of claim 2, wherein step (a) comprises generating As 3 + cluster ions. 段階(a)は、As3 +クラスターイオンを生成する段階を含むことを特徴とする請求項3に記載の方法。 4. The method of claim 3, wherein step (a) comprises generating As 3 + cluster ions. 段階(a)は、As2 +クラスターイオンを生成する段階を含むことを特徴とする請求項2に記載の方法。 Step (a), Method according to claim 2, characterized in that it comprises the step of generating an As 2 + cluster ions. 段階(a)は、As2 +クラスターイオンを生成する段階を含むことを特徴とする請求項3に記載の方法。 Step (a), Method according to claim 3, characterized in that it comprises the step of generating an As 2 + cluster ions. 段階(a)は、As4x +(式中、xは整数で1≦x≦6である。)クラスターイオンを生成する段階を含むことを特徴とする請求項2に記載の方法。 3. The method of claim 2, wherein step (a) comprises generating As 4 H x + , wherein x is an integer and 1 ≦ x ≦ 6. 段階(a)は、As3x +(式中、xは整数で1≦x≦5である。)クラスターイオンを生成する段階を含むことを特徴とする請求項2に記載の方法。 3. The method of claim 2, wherein step (a) comprises generating As 3 H x + , wherein x is an integer and 1 ≦ x ≦ 5. 段階(a)は、As2x +(式中、xは整数で1≦x≦4である。)クラスターイオンを生成する段階を含むことを特徴とする請求項2に記載の方法。 Step (a), (wherein, x is a 1 ≦ x ≦ 4 integer.) As 2 H x + A method according to claim 2, characterized in that it comprises the step of generating a cluster ion. 段階(a)は、ホスフィン(PH3)ガスから前記N型クラスターイオンを生成する段階を含むことを特徴とする請求項1に記載の方法。 The method of claim 1, wherein step (a) includes generating the N-type cluster ions from phosphine (PH 3 ) gas. 段階(a)は、元素状態燐蒸気Pから前記N型クラスターイオンを生成する段階を含むことを特徴とする請求項1に記載の方法。   The method of claim 1, wherein step (a) includes generating the N-type cluster ions from elemental phosphorus vapor P. 段階(a)は、P4 +クラスターイオンを生成する段階を含むことを特徴とする請求項13に記載の方法。 Step (a), method according to claim 13, characterized in that it comprises the step of generating a P 4 + cluster ions. 段階(a)は、P4 +クラスターイオンを生成する段階を含むことを特徴とする請求項14に記載の方法。 Step (a), method according to claim 14, characterized in that it comprises the step of generating a P 4 + cluster ions. 段階(a)は、P3 +クラスターイオンを生成する段階を含むことを特徴とする請求項13に記載の方法。 Step (a), method according to claim 13, characterized in that it comprises the step of generating a P 3 + cluster ions. 段階(a)は、P3 +クラスターイオンを生成する段階を含むことを特徴とする請求項14に記載の方法。 Step (a), method according to claim 14, characterized in that it comprises the step of generating a P 3 + cluster ions. 段階(a)は、P2 +クラスターイオンを生成する段階を含むことを特徴とする請求項13に記載の方法。 Step (a), method according to claim 13, characterized in that it comprises the step of generating a P 2 + cluster ions. 段階(a)は、P2 +クラスターイオンを生成する段階を含むことを特徴とする請求項14に記載の方法。 Step (a), method according to claim 14, characterized in that it comprises the step of generating a P 2 + cluster ions. 段階(a)は、P4x +(式中、xは整数で1≦x≦6である。)クラスターイオンを生成する段階を含むことを特徴とする請求項13に記載の方法。 Step (a), P 4 (where, x is a 1 ≦ x ≦ 6 at integer.) H x + A method according to claim 13, characterized in that it comprises the step of generating a cluster ion. 段階(a)は、P3x +(式中、xは整数で1≦x≦5である。)クラスターイオンを生成する段階を含むことを特徴とする請求項13に記載の方法。 Step (a), P 3 H x + (wherein, x is a 1 ≦ x ≦ 5 integer.) The method according to claim 13, characterized in that it comprises the step of generating a cluster ion. 段階(a)は、P2x +(式中、xは整数で1≦x≦4である。)クラスターイオンを生成する段階を含むことを特徴とする請求項13に記載の方法。 Step (a), (wherein, x is a 1 ≦ x ≦ 4 integer.) P 2 H x + A method according to claim 13, characterized in that it comprises the step of generating a cluster ion. 段階(b)は、デカボラン(B1014)ガスから前記クラスターイオンを生成する段階を含むことを特徴とする請求項1に記載の方法。 The method of claim 1, wherein step (b) includes generating the cluster ions from decaborane (B 10 H 14 ) gas. 段階(b)は、n及びxが整数で2≦n≦10及び0≦x≦14の場合に、Bnx +クラスターイオンを生成する段階を含むことを特徴とする請求項24に記載の方法。 The step (b) includes generating B n H x + cluster ions when n and x are integers and 2 ≦ n ≦ 10 and 0 ≦ x ≦ 14. the method of. 段階(b)は、B10x+(式中、xは整数で1≦x≦14である。)クラスターイオンを生成する段階を含むことを特徴とする請求項25に記載の方法。 Step (b), (wherein, x is a 1 ≦ x ≦ 14 at an integer.) B 10 H x + A method according to claim 25, characterized in that it comprises the step of generating a cluster ion. 段階(b)は、負のB10x -(式中、xは整数で1≦x≦14である。)クラスターイオンを生成する段階を含むことを特徴とする請求項1に記載の方法。 Step (b), a negative B 10 H x - (. Wherein, x is a 1 ≦ x ≦ 14 at integer) The method according to claim 1, characterized in that it comprises the step of generating a cluster ion .
JP2004517618A 2002-06-26 2003-06-06 Manufacturing method of CMOS device by implantation of N and P type cluster ions and anions Expired - Fee Related JP4744141B2 (en)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US39184702P 2002-06-26 2002-06-26
US39227102P 2002-06-26 2002-06-26
US60/392,271 2002-06-26
US60/391,847 2002-06-26
US10/251,491 US20040002202A1 (en) 2002-06-26 2002-09-20 Method of manufacturing CMOS devices by the implantation of N- and P-type cluster ions
US10/251,491 2002-09-20
PCT/US2003/017839 WO2004003990A1 (en) 2002-06-26 2003-06-06 Method of manufacturing cmos devices by the implantation of n- and p-type cluster ions and negative ions

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2010113031A Division JP2010232668A (en) 2002-06-26 2010-05-17 Method of manufacturing cmos device by implantation of n- and p-type cluster ions and negative ions

Publications (2)

Publication Number Publication Date
JP2005531156A true JP2005531156A (en) 2005-10-13
JP4744141B2 JP4744141B2 (en) 2011-08-10

Family

ID=43048137

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2004517618A Expired - Fee Related JP4744141B2 (en) 2002-06-26 2003-06-06 Manufacturing method of CMOS device by implantation of N and P type cluster ions and anions
JP2010113031A Pending JP2010232668A (en) 2002-06-26 2010-05-17 Method of manufacturing cmos device by implantation of n- and p-type cluster ions and negative ions

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2010113031A Pending JP2010232668A (en) 2002-06-26 2010-05-17 Method of manufacturing cmos device by implantation of n- and p-type cluster ions and negative ions

Country Status (3)

Country Link
JP (2) JP4744141B2 (en)
CN (1) CN101908473B (en)
TW (1) TWI334196B (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009506580A (en) * 2005-08-30 2009-02-12 アドバンスト テクノロジー マテリアルズ,インコーポレイテッド Large boron hydride formation for boron ion implantation and implantation using alternative boron fluoride precursors
JP2009518869A (en) * 2005-12-09 2009-05-07 セムイクウィップ・インコーポレーテッド System and method for manufacturing semiconductor devices by implantation of carbon clusters
JP2010505234A (en) * 2006-09-29 2010-02-18 アクセリス テクノロジーズ, インコーポレイテッド Improved novel beamline architecture for ion implanters
JP2012500454A (en) * 2008-08-11 2012-01-05 イオンビーム アプリケーションズ, エス.エー. High current DC proton accelerator
JP2013243159A (en) * 2006-05-19 2013-12-05 Axcelis Technologies Inc Novel improved ion source

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10597773B2 (en) * 2017-08-22 2020-03-24 Praxair Technology, Inc. Antimony-containing materials for ion implantation
US10535499B2 (en) * 2017-11-03 2020-01-14 Varian Semiconductor Equipment Associates, Inc. Varied component density for thermal isolation

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS56122127A (en) * 1980-02-01 1981-09-25 Commissariat Energie Atomique Method of doping semiconductor at high speed
JPH01225117A (en) * 1988-03-04 1989-09-08 Nippon Telegr & Teleph Corp <Ntt> Method and device for manufacturing semiconductor device
JPH05251378A (en) * 1992-03-05 1993-09-28 Fujitsu Ltd Manufacture of semiconductor device
JPH0917884A (en) * 1995-06-26 1997-01-17 Ricoh Co Ltd Manufacture of semiconductor device
JPH10163123A (en) * 1996-12-03 1998-06-19 Fujitsu Ltd Ion implanting method and method for manufacturing semiconductor device
US6191012B1 (en) * 1998-12-03 2001-02-20 Advanced Micro Devices Method for forming a shallow junction in a semiconductor device using antimony dimer
WO2001043157A1 (en) * 1999-12-13 2001-06-14 Semequip, Inc. Ion implantation ion source, system and method
WO2002043803A1 (en) * 2000-11-30 2002-06-06 Semequip, Inc. Ion implantation system and control method
WO2002048425A2 (en) * 2000-12-15 2002-06-20 Axcelis Technologies, Inc. Method and system for icosaborane implantation

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6074515A (en) * 1983-09-30 1985-04-26 Fujitsu Ltd Manufacture of semiconductor device
JP2919254B2 (en) * 1993-11-22 1999-07-12 日本電気株式会社 Semiconductor device manufacturing method and forming apparatus
JPH0817376A (en) * 1994-07-01 1996-01-19 Mitsubishi Electric Corp Ion source and ion implanter
JPH0941138A (en) * 1995-07-31 1997-02-10 Res Dev Corp Of Japan Method for ion implantation with gas cluster ion beam
GB9726191D0 (en) * 1997-12-11 1998-02-11 Philips Electronics Nv Ion implantation process
US6093594A (en) * 1998-04-29 2000-07-25 Advanced Micro Devices, Inc. CMOS optimization method utilizing sacrificial sidewall spacer

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS56122127A (en) * 1980-02-01 1981-09-25 Commissariat Energie Atomique Method of doping semiconductor at high speed
JPH01225117A (en) * 1988-03-04 1989-09-08 Nippon Telegr & Teleph Corp <Ntt> Method and device for manufacturing semiconductor device
JPH05251378A (en) * 1992-03-05 1993-09-28 Fujitsu Ltd Manufacture of semiconductor device
JPH0917884A (en) * 1995-06-26 1997-01-17 Ricoh Co Ltd Manufacture of semiconductor device
JPH10163123A (en) * 1996-12-03 1998-06-19 Fujitsu Ltd Ion implanting method and method for manufacturing semiconductor device
US6191012B1 (en) * 1998-12-03 2001-02-20 Advanced Micro Devices Method for forming a shallow junction in a semiconductor device using antimony dimer
WO2001043157A1 (en) * 1999-12-13 2001-06-14 Semequip, Inc. Ion implantation ion source, system and method
WO2002043803A1 (en) * 2000-11-30 2002-06-06 Semequip, Inc. Ion implantation system and control method
WO2002048425A2 (en) * 2000-12-15 2002-06-20 Axcelis Technologies, Inc. Method and system for icosaborane implantation

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009506580A (en) * 2005-08-30 2009-02-12 アドバンスト テクノロジー マテリアルズ,インコーポレイテッド Large boron hydride formation for boron ion implantation and implantation using alternative boron fluoride precursors
JP2009518869A (en) * 2005-12-09 2009-05-07 セムイクウィップ・インコーポレーテッド System and method for manufacturing semiconductor devices by implantation of carbon clusters
JP2014160856A (en) * 2005-12-09 2014-09-04 Semequip Inc System and method for manufacturing semiconductor device by implantation of carbon cluster
JP2013243159A (en) * 2006-05-19 2013-12-05 Axcelis Technologies Inc Novel improved ion source
JP2010505234A (en) * 2006-09-29 2010-02-18 アクセリス テクノロジーズ, インコーポレイテッド Improved novel beamline architecture for ion implanters
JP2012500454A (en) * 2008-08-11 2012-01-05 イオンビーム アプリケーションズ, エス.エー. High current DC proton accelerator

Also Published As

Publication number Publication date
JP4744141B2 (en) 2011-08-10
CN101908473B (en) 2013-03-13
CN101908473A (en) 2010-12-08
TWI334196B (en) 2010-12-01
JP2010232668A (en) 2010-10-14
TW200739821A (en) 2007-10-16

Similar Documents

Publication Publication Date Title
KR100702582B1 (en) Method of implanting dopant materials into a substrate
JP4749713B2 (en) Ion implantation method and semiconductor manufacturing method by implantation of borohydride cluster ions
US8618514B2 (en) Ion implantation device and a method of semiconductor manufacturing by the implantation of boron hydride cluster ions
TWI404128B (en) An ion implantation device and a method of semiconductor manufacturing by the implantation of ions derived from carborane cluster ions
US7528550B2 (en) Ion implantation system and control method
CN1477984A (en) Ion implantation system and control method
JP2010232668A (en) Method of manufacturing cmos device by implantation of n- and p-type cluster ions and negative ions
CN101308822B (en) Method of manufacturing cmos devices by implantation of N- and P-type cluster ions

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20070823

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20081027

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090127

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090203

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090427

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20091116

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100216

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100223

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100517

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110427

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110510

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140520

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees