JP2005202179A - Method for manufacturing photomask - Google Patents

Method for manufacturing photomask Download PDF

Info

Publication number
JP2005202179A
JP2005202179A JP2004008770A JP2004008770A JP2005202179A JP 2005202179 A JP2005202179 A JP 2005202179A JP 2004008770 A JP2004008770 A JP 2004008770A JP 2004008770 A JP2004008770 A JP 2004008770A JP 2005202179 A JP2005202179 A JP 2005202179A
Authority
JP
Japan
Prior art keywords
photomask
light
pattern
film
excimer laser
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2004008770A
Other languages
Japanese (ja)
Inventor
Tadashi Arai
唯 新井
Sonoko Utaka
園子 右高
Toshio Sakamizu
登志夫 逆水
Koji Hattori
孝司 服部
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Technology Corp
Original Assignee
Renesas Technology Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Renesas Technology Corp filed Critical Renesas Technology Corp
Priority to JP2004008770A priority Critical patent/JP2005202179A/en
Publication of JP2005202179A publication Critical patent/JP2005202179A/en
Pending legal-status Critical Current

Links

Images

Abstract

<P>PROBLEM TO BE SOLVED: To reduce the manufacturing lead time and cost of a semiconductor integrated circuit device by using a photomask with an organic resin film as a light shielding body usable for KrF excimer laser lithography. <P>SOLUTION: In a photomask manufacturing process, a light shielding body pattern is directly formed using a photosensitive resin composition containing a specified light absorbing compound. Since the light shielding pattern of the photomask for KrF lithography can directly be formed only by a resist step, a light shielding film etching step and a resist removing step are made unnecessary, accordingly cost reduction of the photomask, improvement of dimensional accuracy and diminution of defects can be achieved. <P>COPYRIGHT: (C)2005,JPO&NCIPI

Description

本発明は、半導体集積回路装置、超伝導装置、マイクロマシン、TFTあるいは配線基板等の電子デバイスの製造方法に関し、特に、半導体回路集積装置の製造工程におけるリソグラフィ技術に適用して有効な技術に関するものである。   The present invention relates to a method for manufacturing an electronic device such as a semiconductor integrated circuit device, a superconducting device, a micromachine, a TFT, or a wiring board, and more particularly to a technique effective when applied to a lithography technique in a manufacturing process of a semiconductor circuit integrated device. is there.

半導体集積回路装置の製造では、微細パターンを半導体ウエハ上に転写する方法として、リソグラフィ技術が用いられる。リソグラフィ技術においては、主に投影露光装置が用いられ、投影露光装置に装着したフォトマスクのパターンを半導体ウエハ上に転写してデバイスパターンを形成する。   In the manufacture of a semiconductor integrated circuit device, a lithography technique is used as a method for transferring a fine pattern onto a semiconductor wafer. In lithography technology, a projection exposure apparatus is mainly used, and a photomask pattern mounted on the projection exposure apparatus is transferred onto a semiconductor wafer to form a device pattern.

通常のフォトマスクは、透明な石英ガラス基板上に形成されたクロム(Cr)等の遮光材を加工して作成される。すなわち、石英ガラス基板上にクロム等からなる遮光膜が所望の形状で形成されて構成されている。遮光膜の加工の一例をあげると、次の通りとなる。すなわち、遮光膜上に電子線感応レジストを塗布し、その電子線感応レジストに電子線描画装置を用いて所望のパターンを描画する。そのあと、現像により所望の形状のレジストパターンを形成し、そのレジストパターンをマスクとしてエッチング(ドライやウエット)を行い、クロム等の遮光膜を加工する。最後に、パターン転写の終わったレジストを除去し、洗浄等を行い、クロム等の遮光材による所望の形状の遮光パターンを石英ガラス基板上に形成している。   A normal photomask is produced by processing a light shielding material such as chromium (Cr) formed on a transparent quartz glass substrate. That is, a light shielding film made of chromium or the like is formed in a desired shape on a quartz glass substrate. An example of the processing of the light shielding film is as follows. That is, an electron beam sensitive resist is applied on the light shielding film, and a desired pattern is drawn on the electron beam sensitive resist using an electron beam drawing apparatus. Thereafter, a resist pattern having a desired shape is formed by development, and etching (dry or wet) is performed using the resist pattern as a mask to process a light shielding film such as chromium. Finally, the resist after the pattern transfer is removed, washed, and the like, and a light shielding pattern having a desired shape is formed on the quartz glass substrate using a light shielding material such as chromium.

近年リソグラフィの解像度向上を目的として、上記のようなクロム等からなる遮光膜が所望の形状で形成されて構成されている通常のフォトマスク以外に、種々のマスク構造が提案されている。例えば特許文献1においては、単一透明パターンの解像度の向上として、上記単一透明パターン周囲を半透明、すなわち、フォトマスクの遮光部を半透明にし、上記半透明部を通過するわずかな光と、透明パターンを通過する光の位相を反転させるように工夫している。すなわち、パターンを転写するフォトレジストの感度以下の光を半透明膜から通過させ、この光と透明パターンを通過してきた光の位相が反転するようにしたフォトマスクとなっている。半透明膜を通過した光は、主パターンである透明パターンを通過した光に対して位相が反転しているため、その境界部で位相が反転し、境界部での光強度が0に近づく。これにより、相対的に透明パターンを通過した光の強度と、パターン境界部の光強度の比は大きくなり半透明膜を用いない技術に比べコントラストの高い光強度分布が得られ、これによって解像度が向上する仕組みとなっている。これは、ハーフトーン型位相シフトマスクと呼ばれている。ハーフトーン型位相シフトマスクの製造工程においては、上記通常のフォトマスクの遮光膜がハーフトーン位相シフト膜に変更されたものであり、上記通常のフォトマスクの製造工程とほぼ同じ工程で製造される。   In recent years, for the purpose of improving the resolution of lithography, various mask structures have been proposed in addition to an ordinary photomask in which a light shielding film made of chromium or the like as described above is formed in a desired shape. For example, in Patent Document 1, as an improvement in the resolution of a single transparent pattern, the periphery of the single transparent pattern is translucent, that is, the light-shielding portion of the photomask is translucent, and the slight light passing through the translucent portion It is devised to reverse the phase of the light passing through the transparent pattern. That is, the photomask is such that light having a sensitivity equal to or lower than that of the photoresist to which the pattern is transferred is allowed to pass through the translucent film, and the phase of this light and the light passing through the transparent pattern is reversed. Since the phase of the light that has passed through the semi-transparent film is inverted with respect to the light that has passed through the transparent pattern that is the main pattern, the phase is inverted at the boundary portion, and the light intensity at the boundary portion approaches zero. As a result, the ratio between the intensity of light that has passed through the transparent pattern and the intensity of light at the pattern boundary is increased, and a light intensity distribution with high contrast can be obtained compared to a technique that does not use a translucent film. It is a mechanism to improve. This is called a halftone phase shift mask. In the manufacturing process of the halftone phase shift mask, the light shielding film of the normal photomask is changed to a halftone phase shift film, and manufactured in substantially the same process as the manufacturing process of the normal photomask. .

また、露光波長よりはるかに小さなパターン解像が可能になる超解像と呼ばれる露光法がある。この超解像の中で最も微細パターン形成に効果があるのがレベンソン型位相シフト露光法と呼ばれるものである。レベンソン型位相シフト露光法は、通常のフォトマスクの露光光透過部すなわちガラス面が出ているウインドウ部に遮光部を挟んで交互に露光光の位相を反転させる位相シフタと呼ばれる構造物を形成し、このフォトマスクを用いて露光を行なう方法である。両透過部を通ってくる光の位相が反転しているため、間に入っている遮光部で光の振幅が0になるところが生じる。振幅が0であれば光強度も0となり、コントラストが飛躍的に向上し、交互に並んだ遮光部と位相シフタ部の周期が露光波長の1/2付近まで解像することができる。このような遮光部と位相シフタ部を有するフォトマスクは、レベンソン型位相シフトマスクと呼ばれている。   There is also an exposure method called super-resolution that enables pattern resolution much smaller than the exposure wavelength. Among these super-resolutions, the Levenson-type phase shift exposure method is most effective for forming a fine pattern. The Levenson-type phase shift exposure method forms a structure called a phase shifter that alternately inverts the phase of exposure light by sandwiching a light shielding portion between the exposure light transmitting portion of a normal photomask, that is, a window portion where the glass surface is exposed. In this method, exposure is performed using this photomask. Since the phase of the light passing through both transmission parts is inverted, there occurs a place where the light amplitude becomes zero at the light shielding part between them. If the amplitude is 0, the light intensity is also 0, the contrast is dramatically improved, and the period of the alternately arranged light-shielding portions and phase shifter portions can be resolved to about 1/2 the exposure wavelength. A photomask having such a light shielding portion and a phase shifter portion is called a Levenson type phase shift mask.

近年、半導体集積回路装置の高精度化、多様化に伴い、リソグラフィ技術で用いるフォトマスクとしては、通常のフォトマスクでもその加工精度はより厳しくなり、さらに、上記のような特殊な構造を有する位相シフトマスクも必要となる。そのため、一般に1品種の半導体集積回路装置を製造する為に作製される、20〜40枚程度のフォトマスクの製造コストはきわめて大きく、また、微細化が進むにつれ、フォトマスク製造に要する時間も長大となってきた。   In recent years, with the increase in accuracy and diversification of semiconductor integrated circuit devices, as a photomask used in lithography technology, even a normal photomask has a stricter processing accuracy, and furthermore, a phase having a special structure as described above. A shift mask is also required. Therefore, the manufacturing cost of about 20 to 40 photomasks that are generally manufactured for manufacturing one kind of semiconductor integrated circuit device is extremely high, and the time required for manufacturing the photomask increases as miniaturization progresses. It has become.

一方、特許文献2においては、フォトマスクにおける遮光膜を、従来のCrなどの金属膜に代えて、レジスト膜で形成する方法が開示されている。この方法は、通常の電子線レジストや光感応レジスト組成物中の主成分が、ArFエキシマレーザー光源の波長(およそ193nm)で極端に大きな光吸収帯を有する性質を利用したものである。そのため、この方法に依れば遮光膜のエッチング工程やレジストの除去工程が不要となり、フォトマスクのコスト低減、寸法精度向上、欠陥低減が可能である。現行のKrFエキシマレーザーリソグラフィや電子線リソグラフィで用いられる多くの高性能レジストは、その塗膜性をもたらす高分子樹脂マトリクスに、フェノール性高分子樹脂やその誘導体を用いている。こうした樹脂中の芳香環(ベンゼン環)構造はArFエキシマレーザー光の波長付近に極めて大きな吸収極大を持ち、こうした樹脂塗膜では、わずか0.1μmの膜厚でも、波長193nmでの透過率は1%以下になってしまう。従って、こうした樹脂をマトリックスとするレジスト材料であれば、通常よく用いる膜厚0.3μm程度であっても、そのArFエキシマレーザー光に対する透過率は、計算上0.01%以下となって、ほぼ理想的な遮光膜とすることができる。しかし、こうした樹脂も、現行のKrFエキシマレーザー光の波長(およそ248nm)付近では、透過率が大きくなり、微細パターンを形成する膜厚(通常0.3〜1.0μm程度)でも、透過率は30%以上となる。したがってこれらのレジストをそのまま、KrFエキシマレーザーリソグラフィ用フォトマスクの遮光膜とすることはできない。   On the other hand, Patent Document 2 discloses a method of forming a light shielding film in a photomask with a resist film instead of a conventional metal film such as Cr. This method utilizes the property that the main component in an ordinary electron beam resist or photosensitive resist composition has an extremely large light absorption band at the wavelength of an ArF excimer laser light source (approximately 193 nm). Therefore, according to this method, an etching process of the light shielding film and a resist removal process become unnecessary, and the cost of the photomask, the dimensional accuracy can be improved, and the defects can be reduced. Many high-performance resists used in current KrF excimer laser lithography and electron beam lithography use a phenolic polymer resin or a derivative thereof in a polymer resin matrix that provides the coating properties. The aromatic ring (benzene ring) structure in such a resin has an extremely large absorption maximum in the vicinity of the wavelength of ArF excimer laser light. With such a resin coating film, the transmittance at a wavelength of 193 nm is 1 even at a film thickness of only 0.1 μm. % Or less. Therefore, in the case of a resist material having such a resin as a matrix, the transmittance with respect to ArF excimer laser light is 0.01% or less in calculation even if the film thickness is usually about 0.3 μm, which is almost equal to An ideal light shielding film can be obtained. However, the transmittance of such a resin also increases in the vicinity of the wavelength (approximately 248 nm) of the current KrF excimer laser light, and the transmittance is small even at a film thickness (usually about 0.3 to 1.0 μm) that forms a fine pattern. 30% or more. Therefore, these resists cannot be used as they are as a light shielding film for a photomask for KrF excimer laser lithography.

特開平4−136854号公報JP-A-4-136854

特開平5−289307号公報JP-A-5-289307

フォトマスクにおける遮光膜を、従来のCrなどの金属膜に代えて、露光波長における光吸収性の大きなレジスト膜で形成する上記技術においては、現行のKrFエキシマレーザーリソグラフィ用フォトマスクに適用するための問題点やその対策が開示されていない。本発明の課題は、有機樹脂組成物の有する光吸収特性を利用する遮光体パターンを用いたKrFエキシマレーザーリソグラフィ用フォトマスクを提供することにある。   In the above technique in which the light-shielding film in the photomask is formed of a resist film having a large light absorption at the exposure wavelength instead of the conventional metal film such as Cr, it is to be applied to the current photomask for KrF excimer laser lithography. Problems and countermeasures are not disclosed. The subject of this invention is providing the photomask for KrF excimer laser lithography using the light-shielding body pattern using the light absorption characteristic which an organic resin composition has.

現行の各種高性能レジストは、微細パターンを形成する膜厚(通常0.3〜1.0μm程度)でも、KrFエキシマレーザー光の波長(およそ248nm)付近で透過率は30%以上となる。したがって、これらのレジストをそのまま、KrFエキシマレーザーリソグラフィ用フォトマスクの遮光膜とすることはできない。容易に類推しうる対策としては、解像性能の高い現行のレジスト組成物中に、KrFエキシマレーザー光の波長域に吸収帯を有する化合物あるいは化学構造を組み込むことである。しかし、現行のレジストの有する解像性等の特長を大きく損なうことなく、その組成中に組み込むことの出来る光吸収化合物は明らかではない。すなわち、フォトマスク用石英ガラス基板上に、直接形成したレジストパターンをKrFエキシマレーザーリソグラフィ用フォトマスクの遮光体として使用できるレジスト組成物およびこれを使用したKrFエキシマレーザーリソグラフィ用フォトマスクを作製することが本発明の課題である。   Various types of current high-performance resists have a transmittance of 30% or more in the vicinity of the wavelength of KrF excimer laser light (approximately 248 nm) even with a film thickness (usually about 0.3 to 1.0 μm) that forms a fine pattern. Therefore, these resists cannot be used as they are as the light shielding film of the photomask for KrF excimer laser lithography. A measure that can be easily analogized is to incorporate a compound or chemical structure having an absorption band in the wavelength region of KrF excimer laser light into the current resist composition having high resolution performance. However, a light-absorbing compound that can be incorporated into the composition without significantly degrading the features such as resolution of current resists is not clear. That is, a resist composition in which a resist pattern directly formed on a quartz glass substrate for a photomask can be used as a light shielding body for a photomask for KrF excimer laser lithography, and a photomask for KrF excimer laser lithography using the resist composition can be produced. It is the subject of the present invention.

有機樹脂組成物の有する光吸収特性を用いた遮光体は、通常のフォトマスクで用いられているCrなど金属無機膜からなる遮光体に比べ、耐光性、耐熱性等の耐久性に制約がある。公知技術では、パターン形成後の加熱処理でその耐久性を向上させる方法が述べられている。本発明者は、光吸収特性を利用した有機樹脂組成物遮光体のKrFエキシマレーザー露光装置による耐久性を、鋭意検討したところ、長時間にわたる露光環境下で、その露光波長の光吸収帯を有する有機樹脂塗膜は、例外無く何らかの光化学反応的変化・熱変化が避けられないことを見出した。したがって、本発明の新たな課題は、何らかの光化学反応的変化・熱変化が避けられない有機樹脂組成物遮光体を有するフォトマスクを効果的に用いる方法を提供することである。さらに、有機樹脂組成物遮光体の耐光性・耐熱性の向上させる方法を提供することである。   The light-shielding body using the light absorption characteristics of the organic resin composition has limitations in durability such as light resistance and heat resistance compared to a light-shielding body made of a metal inorganic film such as Cr used in a normal photomask. . The known technique describes a method for improving the durability by heat treatment after pattern formation. The present inventor has intensively studied the durability of an organic resin composition light-shielding body utilizing light absorption characteristics by a KrF excimer laser exposure apparatus, and has a light absorption band of the exposure wavelength under a long-time exposure environment. It was found that organic resin coatings cannot avoid any photochemical reaction changes or heat changes without exception. Therefore, the new subject of this invention is providing the method of using the photomask which has an organic resin composition light-shielding body in which some photochemical reaction change and heat change cannot be avoided effectively. Furthermore, it is providing the method of improving the light resistance and heat resistance of an organic resin composition light-shielding body.

これに加え、昨今フォトマスクにおいてもOPCパターン等極微細なパターンが要求され始め微細パターン形成可能でありラフネスの少ない(精度の高い)レジストすなわち観光性組成物が要求されている。現行のフォトレジストでは充分な微細加工可能な特性は有していてもパターン側壁のラフネスすなわち精度に課題が残っている。また、現行のフォトマスク製造工程におけるレジスト現像工程は精度向上のために一般的にシャワー(スプレー)現像が適用されている。この現像方法では、レジストに対する物理的衝撃が大きくレジストパターン膜減り等の問題が生じてしまう。したがって、上記課題に加えて、本発明の課題は、側壁のラフネスが小さく、現像耐性に優れた感光性組成物を遮光体とするフォトマスクを製造する方法を提供することである。   In addition to this, a photomask has recently been required to have a very fine pattern such as an OPC pattern, and a fine pattern can be formed, and a resist having a low roughness (high accuracy), that is, a tourist composition is required. Even if the current photoresist has sufficient fine processing characteristics, there remains a problem in the roughness of the pattern side wall, that is, the accuracy. Also, in the resist development process in the current photomask manufacturing process, shower (spray) development is generally applied to improve accuracy. In this developing method, the physical impact on the resist is large, and problems such as a reduction in the resist pattern film occur. Therefore, in addition to the above-described problems, an object of the present invention is to provide a method for producing a photomask using a light-sensitive composition having a small sidewall roughness and excellent development resistance.

これらの耐熱性や現像耐性が劣ると、それぞれのプロセスでのムラを拡大してしまいマスク面内寸法制御性(面内CD)や、解像度が悪くなる要因となる。本発明の課題は、面内CDの制御性が良く、解像度に優れた感光性組成物を提供することである。   When these heat resistance and development resistance are inferior, unevenness in each process is enlarged, which causes a reduction in mask in-plane dimension controllability (in-plane CD) and resolution. An object of the present invention is to provide a photosensitive composition having good in-plane CD controllability and excellent resolution.

本発明は、有機樹脂組成物の有する光吸収特性を利用する遮光体パターンを用いたKrFエキシマレーザーリソグラフィ用フォトマスクを提供するための各課題を以下の項目に掲げた手段によって解決する。
(1)通常のフォトマスクにおけるCr等金属膜からなる遮光耐パターンに代えてKrFエキシマレーザーリソグラフィ用フォトマスクに適用できるレジストパターンを形成さできる感光性樹脂塗膜には、下記一般式(化1)〜(化8)で表される化合物が、アルカリ現像液にたいする溶解性をコントロールする化合物に、少なくとも1種類を含有することを特徴とする感光性樹脂組成物が使用できることを見出した。
This invention solves each subject for providing the photomask for KrF excimer laser lithography using the light-shielding body pattern using the light absorption characteristic which an organic resin composition has by the means hung up to the following items.
(1) A photosensitive resin coating film capable of forming a resist pattern that can be applied to a photomask for KrF excimer laser lithography instead of a light-shielding pattern made of a metal film such as Cr in a normal photomask has the following general formula It was found that a photosensitive resin composition characterized in that at least one of the compounds represented by formulas (A) to (Chem. 8) is contained in a compound that controls the solubility in an alkali developer can be used.

Figure 2005202179
Figure 2005202179

Figure 2005202179
Figure 2005202179

Figure 2005202179
Figure 2005202179

Figure 2005202179
Figure 2005202179

Figure 2005202179
Figure 2005202179

Figure 2005202179
Figure 2005202179

Figure 2005202179
Figure 2005202179

Figure 2005202179
(ここでR1〜R10は水素、炭素数1〜4の置換または無置換アルキル基、ハロゲン、水酸基、メチロール基、炭素数1〜4の置換または無置換アルコキシ基、ヒドロキシル基、フェニル基、メトキシ基、エトキシエチル基、シクロプロピル基、アセタール基、アセチル基の中から選ばれる原子または原子団を表す。R1〜R10は同一であってもよく、異なっていてもよい。また、Xはハロゲン化アセチル基、Yはカンファースルホネート、トリフルオロスルホネート、メタンスルホネート等の中から選ばれる原子または原子団を表す。)より具体的には、例えばアントラセン、アンスラロビン、ベンゾキノリン、フェナントール、1-メトキシ-9、10-ジブロモアントラセン、2−ヒドロキシメチルアントラセン、9−ヒドロキシメチルアントラセン、9−ブロモアントラセン、9−クロロメチルアントラセン、メトキシメチルアントラセン、1-アミノアントラセン、アセトキシアントラセン、1-ナフトール、2-ナフトール、1、2-ジヒドロキシナフタレン、1、3-ジヒドロキシナフタレン、1、4-ジヒドロキシナフタレン、1、5-ジヒドロキシナフタレン、1、6-ジヒドロキシナフタレン、1、7-ジヒドロキシナフタレン、1、8-ジヒドロキシナフタレン、2、3-ジヒドロキシナフタレン、2、4-ジヒドロキシナフタレン、2、5-ジヒドロキシナフタレン、2、6-ジヒドロキシナフタレン、2、7-ジヒドロキシナフタレン、2、8-ジヒドロキシナフタレン、2-ブロモアセチルナフタレン、2-ブロモアセチル-6、7-ジメトキシナフタレン、1-ヒドロキシ-4-ブロモ-2-ブロモアセチルナフタレン、1.3.5-トリス(ブロモアセチル)ベンゼン、3-ブロモアセチルクマリン、3-ブロモメチル-7-メトキシ-1、4-ベンゾキサジン-2-オンなどが挙げられる。
Figure 2005202179
(Where R1 to R10 are hydrogen, substituted or unsubstituted alkyl group having 1 to 4 carbon atoms, halogen, hydroxyl group, methylol group, substituted or unsubstituted alkoxy group having 1 to 4 carbon atoms, hydroxyl group, phenyl group, methoxy group Represents an atom or atomic group selected from ethoxyethyl group, cyclopropyl group, acetal group and acetyl group, R1 to R10 may be the same or different, and X is an acetyl halide Group, Y represents an atom or atomic group selected from camphorsulfonate, trifluorosulfonate, methanesulfonate, etc.) More specifically, for example, anthracene, anthrarobin, benzoquinoline, phenanthol, 1-methoxy-9, 10-dibromoanthracene, 2-hydroxymethylanthracene, 9-hydroxymethylanthracene, 9-bromo Nthracene, 9-chloromethylanthracene, methoxymethylanthracene, 1-aminoanthracene, acetoxyanthracene, 1-naphthol, 2-naphthol, 1,2-dihydroxynaphthalene, 1,3-dihydroxynaphthalene, 1,4-dihydroxynaphthalene, 1 , 5-dihydroxynaphthalene, 1,6-dihydroxynaphthalene, 1,7-dihydroxynaphthalene, 1,8-dihydroxynaphthalene, 2,3-dihydroxynaphthalene, 2,4-dihydroxynaphthalene, 2,5-dihydroxynaphthalene, 2, 6-dihydroxynaphthalene, 2,7-dihydroxynaphthalene, 2,8-dihydroxynaphthalene, 2-bromoacetylnaphthalene, 2-bromoacetyl-6, 7-dimethoxynaphthalene, 1-hydroxy-4-bromo-2-bromoacetylnaphthalene 1.3.5-Tris (bromoacetyl) benzene, 3-bromide Acetyl coumarin, 3-bromomethyl-7-methoxy-1,4-benzoxazin-2-one and the like.

この場合でも、KrFエキシマレーザー光波長において、通常の芳香環含有樹脂をマトリックスとする現行の各種レジストが示すArFエキシマレーザー光波長における極端に大きな光吸収特性と同等な性能を得るのは困難であった。しかし、光吸収化合物の含有量及び膜厚を調整し、形成した遮光体パターン部のKrFエキシマレーザー光に対する透過率が1%以下、より好ましくは0.5%以下であればKrFエキシマレーザーリソグラフィ用フォトマスクとして使用可能である。
(2)側壁ラフネスの少ないパターンを形成するには、水酸基を置換基としてもち、かつ、分子量500〜数千の非鎖状化合物をベース樹脂とする感光性樹脂組成物が有効であることを見出した。
(3)感光性組成物を遮光体とするフォトマスクの耐熱性を向上させ、加えて現像耐性を向上させるためには、エノールエーテル基を少なくとも2つ有する化合物を上記感光性樹脂組成物に添加することが有効であることを見出した。この化合物は、熱により上記非鎖状化合物と架橋を行い、露光により発生した酸によりその架橋が開裂することが必須である。具体例として、エチレングリコールジビニルエーテル、ペンタエリスリトールジビニルエーテル等に代表される多価アルコールとハロゲン化アルキルビニルエーテルとの反応により合成されるもの、下記一般式(化9)〜(化12)に代表される多価フェノールとハロゲン化アルキルビニルエーテルとの反応により合成されるものがあるがこれらに限定されるものではない。添加量としては、樹脂100重量部に対して1重量部以上が好ましい。
Even in this case, at the KrF excimer laser beam wavelength, it is difficult to obtain performance equivalent to the extremely large light absorption characteristics at the ArF excimer laser beam wavelength exhibited by various current resists using ordinary aromatic ring-containing resins as a matrix. It was. However, if the content of the light-absorbing compound and the film thickness are adjusted and the transmittance of the formed light-shielding body pattern portion with respect to KrF excimer laser light is 1% or less, more preferably 0.5% or less, it is used for KrF excimer laser lithography. It can be used as a photomask.
(2) It has been found that a photosensitive resin composition having a hydroxyl group as a substituent and a non-chain compound having a molecular weight of 500 to several thousand as a base resin is effective for forming a pattern with little sidewall roughness. It was.
(3) A compound having at least two enol ether groups is added to the photosensitive resin composition in order to improve the heat resistance of the photomask using the photosensitive composition as a light-shielding body and in addition to improve the development resistance. I found it effective. It is essential that this compound is crosslinked with the above non-chain compound by heat, and the crosslinking is cleaved by an acid generated by exposure. Specific examples include those synthesized by the reaction of a polyhydric alcohol represented by ethylene glycol divinyl ether, pentaerythritol divinyl ether and the like and a halogenated alkyl vinyl ether, represented by the following general formulas (Chemical Formula 9) to (Chemical Formula 12). However, it is not limited to those synthesized by the reaction of polyhydric phenols and halogenated alkyl vinyl ethers. The addition amount is preferably 1 part by weight or more with respect to 100 parts by weight of the resin.

Figure 2005202179
Figure 2005202179

Figure 2005202179
Figure 2005202179

Figure 2005202179
Figure 2005202179

Figure 2005202179
また、(2)上記光吸収化合物を含む感光性樹脂組成物は、KrFエキシマレーザーリソグラフィにおけるハーフトーン型位相シフトマスク作製にも適用できる。すなわち、組成物中の光吸収化合物の含有量及び膜厚を調整し、形成した遮光体パターン部のKrFエキシマレーザー光に対する透過率が2%から16%の範囲、より好ましくは4%から9%の範囲であればKrFエキシマレーザーリソグラフィ用ハーフトーン型位相シフトマスクとして使用可能である。
(4)上記(1)、(2)、(3)各項で使用される感光性樹脂組成物の遮光部パターン形成には、通常のフォトマスク製造に使用される電子線描画や波長363.8nmのArイオンレーザーを光源とするレーザー描画技術が使用できる。本発明の感光性樹脂組成物は上記(1)項で示された光吸収化合物を含有することによりKrFエキシマレーザー光の波長域に光吸収帯を有する。そのためこの領域でのパターン露光により微細パターンを解像するのは不利である。一般に良好な解像性能を示すレーザー光等活性化学線の露光波長は、そのレジスト(感光性樹脂)塗膜で充分基板面まで透過する波長を用いるべきである。本発明の組成物では、40%以上の透過率をしめす紫外線等をパターン露光に使用すれば良好な遮光体パターンを解像・形成できる。通常のフォトマスク基板では、周辺部に遮光域が形成されているが、これは使用する石英ガラス基板にあらかじめ恒久的なCr等金属膜で形成してあればより好ましい。このようなマスク基板は、用済み後、有機樹脂遮光体パターン部を除去し、再生利用することが出来る。
(5)本発明のポジ型感光性樹脂組成物には、公知の化学増幅系ポジ型レジストの組成が利用できる。代表的な化学増幅系ポジ型レジスト組成は、2成分系と3成分系があり、2成分系としては水性アルカリ可溶性樹脂に保護基を導入した樹脂、酸発生剤からなり、3成分系としては水性アルカリ可溶性樹脂、溶解阻害剤、酸発生剤からなる。本発明のポジ型感光性樹脂組成物は、こうした組成中の樹脂が上記(1)で示した光吸収化合物を少なくとも一種類含有したものであり、(2)で示した条件を満たすものである。
(6)本発明の感光性樹脂組成物にKrFエキシマレーザー光の光吸収帯を付与する光吸収化合物としては(1)項に記述した化合物が上げられるが、特にアントラセン誘導体またはナフトール誘導体が効果的であった。アントラセンあるいはナフトールの分子構造がKrFエキシマレーザー光の波長域に特に大きな吸収帯を有するためである。
(7)本発明の樹脂組成物を用いて、シリコン基板上にLSIパターンを形成することも可能であり、低分子非鎖状樹脂を用いているため、ラフネスの小さなパターンが形成可能であり、極微細な高精度のパターン形成が可能である。この場合には、必ずしもKrF光に吸収を持つ置換基を導入する必要はない。
Figure 2005202179
Moreover, (2) The photosensitive resin composition containing the said light absorption compound is applicable also to preparation of the halftone type phase shift mask in KrF excimer laser lithography. That is, by adjusting the content and film thickness of the light absorbing compound in the composition, the transmittance of the formed light-shielding body pattern portion with respect to KrF excimer laser light is in the range of 2% to 16%, more preferably 4% to 9%. Can be used as a halftone phase shift mask for KrF excimer laser lithography.
(4) For the light-shielding part pattern formation of the photosensitive resin composition used in the above items (1), (2), (3), electron beam drawing or wavelength 363. Laser drawing technology using an 8 nm Ar ion laser as a light source can be used. The photosensitive resin composition of the present invention has a light absorption band in the wavelength region of KrF excimer laser light by containing the light absorbing compound shown in the above item (1). Therefore, it is disadvantageous to resolve a fine pattern by pattern exposure in this region. In general, an exposure wavelength of an active actinic ray such as a laser beam exhibiting a good resolution performance should be a wavelength that allows the resist (photosensitive resin) coating to sufficiently transmit to the substrate surface. In the composition of the present invention, a good light-shielding body pattern can be resolved and formed by using ultraviolet rays or the like having a transmittance of 40% or more for pattern exposure. In a normal photomask substrate, a light-shielding area is formed in the peripheral portion, but it is more preferable that the quartz glass substrate to be used is previously formed of a permanent metal film such as Cr. After such a mask substrate is used, the organic resin light-shielding body pattern portion can be removed and recycled.
(5) A known chemical amplification positive resist composition can be used for the positive photosensitive resin composition of the present invention. Typical chemical amplification type positive resist compositions include a two-component system and a three-component system. The two-component system includes a resin in which a protective group is introduced into an aqueous alkali-soluble resin and an acid generator. It consists of an aqueous alkali-soluble resin, a dissolution inhibitor, and an acid generator. The positive photosensitive resin composition of the present invention is such that the resin in such a composition contains at least one kind of light absorbing compound shown in (1) above and satisfies the condition shown in (2). .
(6) As the light absorbing compound for imparting the light absorption band of KrF excimer laser light to the photosensitive resin composition of the present invention, the compounds described in the item (1) can be mentioned, and anthracene derivatives or naphthol derivatives are particularly effective. Met. This is because the molecular structure of anthracene or naphthol has a particularly large absorption band in the wavelength region of KrF excimer laser light.
(7) Using the resin composition of the present invention, it is possible to form an LSI pattern on a silicon substrate, and since a low-molecular non-chain resin is used, a pattern with small roughness can be formed. Very fine high-precision pattern formation is possible. In this case, it is not always necessary to introduce a substituent having absorption in KrF light.

本発明によれば、KrFリソグラフィ用フォトマスクの遮光体パターンを、レジスト工程のみで直接形成できることから、遮光膜のエッチング工程やレジストの除去工程が不要となり、フォトマスクのコスト低減、寸法精度向上、欠陥低減が可能である。また、必要に応じて、低コストかつ製造時間の短いフォトマスクを使用できることから、少量多品種の半導体集積回路装置を短時間に、かつ低コストで製造することができるという効果がある。   According to the present invention, since the light-shielding body pattern of the photomask for KrF lithography can be directly formed only by the resist process, the process of etching the light-shielding film and the process of removing the resist become unnecessary, reducing the cost of the photomask, improving the dimensional accuracy, Defect reduction is possible. In addition, since it is possible to use a photomask having a low cost and a short manufacturing time as needed, there is an effect that a small amount of various types of semiconductor integrated circuit devices can be manufactured in a short time and at a low cost.

以下に、実施例を挙げて本発明をより具体的に説明するが、本発明はこれらの実施例にのみ限定されるものではない。   EXAMPLES Hereinafter, the present invention will be described more specifically with reference to examples. However, the present invention is not limited only to these examples.

<合成例1>
ジメチルスルホキシド50mlに、多核フェノール化合物TPPA−1000P(本州化学工業株式会社製)6.0g、9−ヒドロキシメチルアントラセン2.45g、水酸化カリウム0.61g、テトラブチルアンモニウムブロマイド0.36gを溶解し、65℃にて6時間過熱攪拌した。溶液に酢酸エチル300ml、塩酸0.02g、水100mlを加え分液し、有機層を200mlの水で2回洗浄し、溶媒を減圧乾燥し、アントラセン含有TPPA−1000P(黄色固体)7.0gを得た。上記アントラセン含有TPPA−1000P3gを酢酸エチル100mlに溶解し、3、4−ジヒドロ−2H−ピラン4.0g、塩酸0.02gを加え、室温で20時間攪拌した。溶液に、2%水酸化カリウム水溶液100mlを添加分液し、有機層を100mlの水で3回洗浄し、溶媒を減圧乾燥し、THP化―アントラセン含有TPPA−1000P(黄色固体)3.5gを得た。
<Synthesis Example 1>
In 50 ml of dimethyl sulfoxide, 6.0 g of polynuclear phenol compound TPPA-1000P (made by Honshu Chemical Industry Co., Ltd.), 2.45 g of 9-hydroxymethylanthracene, 0.61 g of potassium hydroxide, and 0.36 g of tetrabutylammonium bromide are dissolved. The mixture was stirred at 65 ° C. for 6 hours. To the solution are added 300 ml of ethyl acetate, 0.02 g of hydrochloric acid and 100 ml of water, and the mixture is separated. The organic layer is washed twice with 200 ml of water, the solvent is dried under reduced pressure, and 7.0 g of anthracene-containing TPPA-1000P (yellow solid) is added. Obtained. 3 g of the anthracene-containing TPPA-1000P was dissolved in 100 ml of ethyl acetate, 4.0 g of 3,4-dihydro-2H-pyran and 0.02 g of hydrochloric acid were added, and the mixture was stirred at room temperature for 20 hours. To the solution was added 100 ml of 2% aqueous potassium hydroxide solution, the organic layer was washed three times with 100 ml of water, the solvent was dried under reduced pressure, and 3.5 g of THP-anthracene-containing TPPA-1000P (yellow solid) was added. Obtained.

<実施例1>
合成例1で示したTHP化―アントラセン含有TPPA−1000P:100重量部、酸発生剤としてトリフェニルスルフォニウムパーフルオロアンチモネート10重量部、酸分解性熱架橋性化合物として(化9)で示される化合物:4重量部を2−ヘプタノンに溶解させ、これを、孔経0.2μmのテフロン(登録商標)メンブレムフィルタで濾過しポジ型感光性樹脂組成物の溶液を調合した。シリコンウエハ上にこの溶液を滴下、回転塗布後120℃、10分間熱処理して、厚さ0.5μの塗膜を得た。電子線描画装置(電子線の加速電圧は50kV)で、テストパターンを描画後、100℃、2分間熱処理後、水酸化テトラメチルアンモニウム(2.38%)水溶液を現像液に用いて60秒間シャワー現像したところ、膜減り量は2nmであり、電子線照射量、12.0μC/cmで0.15μmの良好なポジ型パターンを得た。またそのときの、面内CDは、レンジで12.2nmと良好であった。また、厚さ1mmの石英ガラス板上に回転塗布法により、塗膜を形成し、ホットプレート上で120℃10分間加熱後、その波長248nmにおける吸光度を測定したところ、厚さ1μmあたり吸光度Abs=6.2であった。パターニング後のポストベーク温度130度2分を加えてもきれいな矩形の形状であった。
<Example 1>
As shown in Synthesis Example 1, THP-anthracene-containing TPPA-1000P: 100 parts by weight, triphenylsulfonium perfluoroantimonate 10 parts by weight as an acid generator, and acid-decomposable thermally crosslinkable compound Compound: 4 parts by weight were dissolved in 2-heptanone, and this was filtered through a Teflon (registered trademark) membrane filter having a pore diameter of 0.2 μm to prepare a positive photosensitive resin composition solution. This solution was dropped on a silicon wafer, spin-coated, and then heat treated at 120 ° C. for 10 minutes to obtain a coating film having a thickness of 0.5 μm. After drawing a test pattern with an electron beam drawing device (electron beam acceleration voltage is 50 kV), heat treatment at 100 ° C. for 2 minutes, and then using a tetramethylammonium hydroxide (2.38%) aqueous solution as a developer for 60 seconds. When developed, the film loss was 2 nm, and a good positive pattern of 0.15 μm was obtained at an electron beam dose of 12.0 μC / cm 2 . Further, the in-plane CD at that time was as good as 12.2 nm in the range. Further, a coating film was formed on a quartz glass plate having a thickness of 1 mm by a spin coating method, and after heating at 120 ° C. for 10 minutes on a hot plate, the absorbance at a wavelength of 248 nm was measured. 6.2. Even when a post-baking temperature of 130 ° C. for 2 minutes after patterning was added, the shape was a beautiful rectangle.

<実施例2>
酸分解性熱架橋剤を(化10):6重量部にすること以外は実施例1と同様な作業を行ったところ、シャワー現像後の膜減り量が4nmであり、電子線照射量、10.0μC/cmで0.15μmの良好なポジ型パターンを得た。またそのときの、面内CDは、レンジで12.5nmと良好であった。パターニング後のポストベーク温度130度2分を加えてもきれいな矩形の形状であった。
<Example 2>
The same work as in Example 1 was performed except that the acid-decomposable thermal crosslinking agent was (Chemical Formula 10): 6 parts by weight. As a result, the amount of film loss after shower development was 4 nm, A good positive pattern of 0.15 μm was obtained at 0.0 μC / cm 2 . Further, the in-plane CD at that time was as good as 12.5 nm in the range. Even when a post-baking temperature of 130 ° C. for 2 minutes after patterning was added, the shape was a beautiful rectangle.

<実施例3>
実施例1の樹脂組成物における置換基をアントラセンからヒドロキシナフトエ酸に変更した以外は実施例1と同様な作業を行ったところ、シャワー現像後の膜減り量が4nmであり、電子線照射量、11.0μC/cmで0.16μmの良好なポジ型パターンを得た。またそのときの、面内CDは、レンジで19nmと良好であった。パターニング後のポストベーク温度130度2分を加えてもきれいな矩形の形状であった。
<Example 3>
When the same operation as in Example 1 was performed except that the substituent in the resin composition of Example 1 was changed from anthracene to hydroxynaphthoic acid, the amount of film loss after shower development was 4 nm, the electron beam irradiation amount, A good positive pattern of 0.16 μm was obtained at 11.0 μC / cm 2 . Further, the in-plane CD at that time was as good as 19 nm in the range. Even when a post-baking temperature of 130 ° C. for 2 minutes after patterning was added, the shape was a beautiful rectangle.

<実施例4>
酸発生剤をトリメチルスルフォニウムパーフルオロアンチモネートを用いた以外は実施例3と同様な作業を行ったところ、シャワー現像後の膜減り量が4nmであり、電子線照射量、12.5μC/cmで0.15μmの良好なポジ型パターンを得た。またそのときの、面内CDは、レンジで18nmと良好であった。パターニング後のポストベーク温度130度2分を加えてもきれいな矩形の形状であった。
<Example 4>
The same operation as in Example 3 was performed except that trimethylsulfonium perfluoroantimonate was used as the acid generator. As a result, the film loss after shower development was 4 nm, the electron beam irradiation amount was 12.5 μC / A good positive pattern of 0.15 μm was obtained at cm 2 . Further, the in-plane CD at that time was as good as 18 nm in the range. Even when a post-baking temperature of 130 ° C. for 2 minutes after patterning was added, the shape was a beautiful rectangle.

<比較例1>
酸分解性熱架橋製化合物を添加しないこと以外は実施例1と同様な作業を行ったところ、シャワー現像後の膜減り量が28nmとなってしまった。電子線照射量、10.0μC/cmで0.4μmのポジ型パターンが解像限界であった。またそのときの、面内CDは、レンジで55nmと悪化した。また、パターニング後のポストベーク温度110度2分を加えて場合パターンがドーム状に変形した。
<Comparative Example 1>
When the same operation as in Example 1 was performed except that the acid-decomposable heat-crosslinking compound was not added, the film loss after shower development was 28 nm. A positive pattern of 0.4 μm at an electron beam dose of 10.0 μC / cm 2 was the resolution limit. At that time, the in-plane CD deteriorated to 55 nm in the range. In addition, when the post-baking temperature after patterning was 110 degrees 2 minutes, the pattern was deformed into a dome shape.

<実施例5>
実施例1で調合したポジ型感光性樹脂組成物を用いたKrFエキシマレーザーリソグラフィ用フォトマスクの製造方法の一例を図1に基づいて説明する。図1(a)から(e)は、本発明のKrFエキシマレーザーリソグラフィ用フォトマスクの製造の工程断面図を模式的に示したものである。図1(a)は、本発明に用いるフォトマスク基板の断面図を示す。ここで、101は石英ガラス基板であり、102はあらかじめ設けられたCr等金属膜からなる基板周辺領域遮光体である。この部分は、リソグラフィ工程での回路パターン転写領域とはならない。このように回路パターン形成前の状態のフォトマスク基板をブランクスと称する。図1(b)は、ブランクス上に、実施例1で調合したポジ型感光性樹脂組成物を塗布した断面を表す。ここでは、当該ポジ型感光性樹脂組成物を回転塗布法にて塗布、120℃に温調されたホットプレートで10分間加熱し、0.6μmの膜厚の感光性樹脂組成物層103を形成した。次に、図1(c)で表すように、電子線描画時のチャージアップによるパターンシフトを防止する水溶性導電膜104を塗布した。図1(d)は、電子線描画装置で所望のパターン形状に電子線105を照射し、感光性樹脂層103に所望のパターンの潜像106を形成した断面模式図である。このとき電子線の加速電圧は50kV、照射量は12μC/cm2であった。電子線照射装置から取り出された基板は100℃に温調されたホットプレートで10分間加熱した後に、水酸化テトラメチルアンモニウム2.38%水溶液を現像液に用いて60秒間現像し、さらにイオン純水にてリンス後乾燥させ、図1(e)で示されるように、所望のポジ型パターン107を得た。ブランクス上に0.8μmのライン&スペースパターンを良好に解像する事ができた。これは、5:1の縮小投影露光方式のKrFステッパでは、半導体基板上で0.16μmの微細加工寸法に相当する。形成されたポジ型レジストのパターン部の波長248nmにおける透過率は0.3%であった。得られたフォトマスクは、通常のCr等の金属を用いたKrFリソグラフィ用フォトマスクと同等の転写性能を有していた。
<Example 5>
An example of a method for producing a photomask for KrF excimer laser lithography using the positive photosensitive resin composition prepared in Example 1 will be described with reference to FIG. FIGS. 1A to 1E schematically show process cross-sectional views of manufacturing a photomask for KrF excimer laser lithography according to the present invention. FIG. 1A shows a cross-sectional view of a photomask substrate used in the present invention. Here, 101 is a quartz glass substrate, and 102 is a substrate peripheral area light shielding body made of a metal film such as Cr provided in advance. This portion does not become a circuit pattern transfer region in the lithography process. Thus, the photomask substrate in a state before the circuit pattern is formed is referred to as blanks. FIG.1 (b) represents the cross section which apply | coated the positive type photosensitive resin composition prepared in Example 1 on the blanks. Here, the positive photosensitive resin composition is applied by a spin coating method and heated for 10 minutes on a hot plate adjusted to 120 ° C. to form a photosensitive resin composition layer 103 having a thickness of 0.6 μm. did. Next, as shown in FIG. 1C, a water-soluble conductive film 104 for preventing pattern shift due to charge-up during electron beam drawing was applied. FIG. 1D is a schematic cross-sectional view in which a desired pattern shape is irradiated with an electron beam 105 by an electron beam drawing apparatus, and a latent image 106 having a desired pattern is formed on the photosensitive resin layer 103. At this time, the acceleration voltage of the electron beam was 50 kV, and the irradiation amount was 12 μC / cm 2 . The substrate taken out from the electron beam irradiation apparatus was heated on a hot plate adjusted to 100 ° C. for 10 minutes, and then developed for 60 seconds using a 2.38% aqueous solution of tetramethylammonium hydroxide as a developer. After rinsing with water and drying, a desired positive pattern 107 was obtained as shown in FIG. A 0.8 μm line & space pattern could be satisfactorily resolved on the blanks. This corresponds to a microfabrication dimension of 0.16 μm on a semiconductor substrate in a 5: 1 reduction projection exposure type KrF stepper. The transmittance of the pattern portion of the formed positive resist at a wavelength of 248 nm was 0.3%. The obtained photomask had a transfer performance equivalent to that of an ordinary photomask for KrF lithography using a metal such as Cr.

図5に、この時に作製したマスクの平面図の一部分を拡大したものを示す。また、その断面図を図6に示す。明瞭な矩形の遮光パターン107が形成さていることがわかる。このときのマスク面内CDは、12.2nmとなった。   FIG. 5 shows an enlarged part of a plan view of the mask produced at this time. A cross-sectional view thereof is shown in FIG. It can be seen that a clear rectangular light shielding pattern 107 is formed. The mask in-plane CD at this time was 12.2 nm.

<比較例2>
実施例1で調合したポジ型感光性樹脂組成物の代りに、比較例1で調合したポジ型感光性樹脂組成物を用いた以外は実施例5と同様な手順においてマスクを作製した。図7に、この時作製したマスクの平面図の一部分を拡大したものを示す。また、その断面図を図8に示す。遮光体パターン107が膜減し電子線照射パターン114と比較して線幅が太り、丸い形状に変わっていることがわかる。それに加えて、それぞれの遮光体パターンにおいて膜厚の差が若干できている。このため、このときのマスク面内CDは55.0nmと大きな値となってしまった。
<Comparative example 2>
A mask was prepared in the same procedure as in Example 5 except that the positive photosensitive resin composition prepared in Comparative Example 1 was used instead of the positive photosensitive resin composition prepared in Example 1. FIG. 7 shows an enlarged partial plan view of the mask produced at this time. A cross-sectional view thereof is shown in FIG. It can be seen that the light-shielding body pattern 107 is reduced in film thickness, and the line width is thicker than that of the electron beam irradiation pattern 114 and the shape is changed to a round shape. In addition, there is a slight difference in film thickness between the light shielding element patterns. For this reason, the mask in-plane CD at this time was as large as 55.0 nm.

<実施例6>
図1を用いて説明する。同図の101は石英ガラス、107はレジスト、108は露光光(KrFエキシマレーザー光)、109は投影レンズ、110はウエハ、111は被加工膜、112は反射防止膜、そして113はレジストである。ここでは実施例5で述べたフォトマスクを用いた。被加工膜111としては酸化膜を例に用いたがこれに限るものではなく、WやAl等の金属膜、ポリシリコン膜、窒化膜、炭化膜等でもよい。反射防止膜112としては塗布型有機膜をここでは用いたが、これに限らずSiONのような無機膜でもよい。また、転写寸法精度は落ちるが反射防止膜を省くことも可能である。このような露光方法により、感光性樹脂組成物を用いたマスクは、KrFレーザー光(248nm)を100J/cm2照射後も問題なく同等のパターンが転写可能であった。
<Example 6>
This will be described with reference to FIG. In the figure, 101 is quartz glass, 107 is a resist, 108 is exposure light (KrF excimer laser light), 109 is a projection lens, 110 is a wafer, 111 is a film to be processed, 112 is an antireflection film, and 113 is a resist. . Here, the photomask described in Example 5 was used. Although the oxide film is used as an example of the film to be processed 111, the present invention is not limited thereto, and a metal film such as W or Al, a polysilicon film, a nitride film, a carbide film, or the like may be used. The coating type organic film is used here as the antireflection film 112, but the present invention is not limited to this, and an inorganic film such as SiON may be used. In addition, although the transfer dimensional accuracy is lowered, it is possible to omit the antireflection film. By such an exposure method, the mask using the photosensitive resin composition was able to transfer an equivalent pattern without any problem even after irradiation with 100 J / cm 2 of KrF laser light (248 nm).

<実施例7>
実施例7はツイン・ウエル方式のCMIS(Complementary MIS)回路を有する半導体集積回路装置の製造に関するもので図3を用いて説明する。
図3は、その製造工程中における半導体ウエハの要部断面図である。半導体ウエハを構成する半導体基板3sは、たとえばn型の平面が円形状のSi単結晶からなる。その上部には、例えばnウエル6nおよびpウエル6pが形成されている。nウエル6nには、例えばn型不純物のリンまたはAsが導入されている。また、pウエル6pには、例えばp型不純物のホウ素が導入されている。nウエルおよびpウエルは以下のようにして形成する。まず半導体基板3s上にマスクあわせようのウエハアライメントマークを形成する(図示せず)。このウエハアライメントマークは選択酸化工程を付加してウエル形成時に作製することも出来る。その後図3(a)に示すように半導体基板3s上に酸化膜17を形成して、引き続きインプラマスク用のレジストパターン18を酸化膜17上に形成する。その後リンをインプラした。このインプラマスク用レジストパターン18の形成には、KrF縮小投影露光装置および実施例5に記載のレジストパターンを遮光体とするKrF用のフォトマスクを用いた。その後アッシングを行ってレジスト18を除去し、酸化膜17を除去した後、図3(b)に示すように半導体基板3s上に酸化膜19を形成し、引き続きインプラマスク用のレジストパターン20を酸化膜19上に形成する。その後リンをインプラした。このインプラマスク用レジストパターン20の形成にも上記同様KrF縮小投影露光装置および実施例5に記載のレジストパターンを遮光体とするKrF用のフォトマスクを用いた。その後、レジスト20と酸化膜19を除去し、半導体基板3sの主面(第1の主面)に、例えば酸化シリコン膜からなる分離用のフィールド絶縁膜7を溝型アイソレーションの形で形成した(図4(c))。
なお、アイソレーション方法としてはLOCOS(Local Oxidization of Silicon)法を用いてもよい。ただし、LOCOS法ではバーズビーグが伸びるなどの理由によってレイアウト寸法が大きくなるという問題がある。このアイソレーション作成時のリソグラフィには、KrFエキシマレーザー縮小投影露光装置および実施例5に記載のレジストパターンを遮光体とするKrFエキシマレーザー用のフォトマスクを用いた。このフィールド絶縁膜7によって囲まれた活性領域には、nMISQnおよびpMISQpが形成されている。nMISQnおよびpMISQpのゲート絶縁膜8は、例えば酸化シリコン膜からなり、熱酸化法等によって形成されている。また、nMISQnおよびpMISQpのゲート電極9は、例えば低抵抗ポリシリコンからなるゲート形成膜をCVD法等によって堆積した後、その膜を、KrFエキシマレーザー縮小投影露光装置および実施例5に記載のレジストパターンを遮光体としたKrFエキシマレーザー用のフォトマスクを用いてリソグラフィを行い、その後エッチングを行って形成されている。nMISQnの半導体領域10は、例えばリンまたはヒ素を、ゲート電極9をマスクとして半導体基板3sにイオン注入法等によって導入することにより、ゲート電極9に対して自己整合的に形成されている。また、pMISQpの半導体領域11は、例えばホウ素を、ゲート電極9をマスクとして半導体基板3sにイオン注入法等によって導入することにより、ゲート電極9に対して自己整合的に形成されている。ただし、上記ゲート電極9は、例えば低抵抗ポリシリコンの単体膜により形成されることに限定されたものではなく種々の変更可能であり、例えば低抵抗ポリシリコン膜上にタングステンシリサイドやコバルトシリサイド等のようなシリサイド層を設けてなる、いわゆるポリサイド構造としてもよいし、例えば低抵抗ポリシリコン膜上に、窒化チタンや窒化タングステン等のようなバリア導体膜を介してタングステン等のような金属膜を設けてなる、いわゆるポリメタル構造としてもよい。
<Example 7>
Embodiment 7 relates to the manufacture of a semiconductor integrated circuit device having a twin well type CMIS (Complementary MIS) circuit, and will be described with reference to FIG.
FIG. 3 is a fragmentary cross-sectional view of the semiconductor wafer during the manufacturing process. The semiconductor substrate 3s constituting the semiconductor wafer is made of, for example, a Si single crystal having a circular n-type plane. In the upper part, for example, an n well 6n and a p well 6p are formed. For example, an n-type impurity such as phosphorus or As is introduced into the n-well 6n. Further, p-type impurities such as boron are introduced into the p-well 6p. The n well and p well are formed as follows. First, a wafer alignment mark for mask alignment is formed on the semiconductor substrate 3s (not shown). This wafer alignment mark can also be formed at the time of well formation by adding a selective oxidation step. Thereafter, as shown in FIG. 3A, an oxide film 17 is formed on the semiconductor substrate 3s, and subsequently, a resist pattern 18 for an implantation mask is formed on the oxide film 17. Then phosphorus was implanted. In forming the resist pattern 18 for the implantation mask, a KrF reduced projection exposure apparatus and a KrF photomask using the resist pattern described in Example 5 as a light shielding body were used. After that, ashing is performed to remove the resist 18 and the oxide film 17 is removed. Then, as shown in FIG. 3B, an oxide film 19 is formed on the semiconductor substrate 3s, and the resist pattern 20 for the implantation mask is subsequently oxidized. It is formed on the film 19. Then phosphorus was implanted. For the formation of the resist pattern 20 for the implantation mask, a KrF reduced projection exposure apparatus and a KrF photomask using the resist pattern described in Example 5 as a light shielding body were used. Thereafter, the resist 20 and the oxide film 19 are removed, and a separation field insulating film 7 made of, for example, a silicon oxide film is formed in the form of groove type isolation on the main surface (first main surface) of the semiconductor substrate 3s. (Fig. 4 (c)).
As an isolation method, a LOCOS (Local Oxidization of Silicon) method may be used. However, the LOCOS method has a problem that the layout dimension becomes large due to the reason that the bird's beak is extended. For the lithography at the time of creating the isolation, a KrF excimer laser reduced projection exposure apparatus and a photomask for a KrF excimer laser using the resist pattern described in Example 5 as a light shield were used. In the active region surrounded by the field insulating film 7, nMISQn and pMISQp are formed. The gate insulating film 8 of nMISQn and pMISQp is made of, for example, a silicon oxide film, and is formed by a thermal oxidation method or the like. The gate electrode 9 of nMISQn and pMISQp is formed by depositing a gate forming film made of, for example, low-resistance polysilicon by a CVD method or the like, and then depositing the film on the KrF excimer laser reduced projection exposure apparatus and the resist pattern described in Example 5 Lithography is performed using a photomask for a KrF excimer laser with a light shielding body, and etching is performed thereafter. The semiconductor region 10 of the nMISQn is formed in a self-aligned manner with respect to the gate electrode 9 by introducing, for example, phosphorus or arsenic into the semiconductor substrate 3s using the gate electrode 9 as a mask by an ion implantation method or the like. Further, the semiconductor region 11 of the pMISQp is formed in a self-aligned manner with respect to the gate electrode 9 by introducing, for example, boron into the semiconductor substrate 3s by the ion implantation method or the like using the gate electrode 9 as a mask. However, the gate electrode 9 is not limited to being formed of, for example, a single film of low resistance polysilicon, and can be variously modified. For example, tungsten silicide, cobalt silicide, or the like is formed on the low resistance polysilicon film. A so-called polycide structure may be formed by providing such a silicide layer, or a metal film such as tungsten is provided on a low resistance polysilicon film via a barrier conductor film such as titanium nitride or tungsten nitride. A so-called polymetal structure may be used.

まず、このような半導体基板3s上に、図3(d)に示すように例えば酸化シリコン膜からなる層間絶縁膜12をCVD法等によって堆積する。続いて、そのポリシリコン膜を、KrFエキシマレーザー縮小投影露光装置および上述のレジストパターンを遮光体とするKrFエキシマレーザー用のフォトマスクを用いてリソグラフィを行い、エッチングしてパターニングした後、そのパターニングされたポリシリコン膜の所定領域に不純物を導入することにより、ポリシリコン膜からなる配線13Lおよび抵抗13Rを形成する。その後、図4(e)に示すように、半導体基板3s上に、例えば酸化シリコン膜14をCVD法等によって堆積した後、層間絶縁膜12および酸化シリコン膜14に半導体領域10、11および配線13Lの一部が露出するような接続孔15をKrFエキシマレーザー縮小投影露光装置および上述の実施例5のレジストパターンを遮光体とするKrFエキシマレーザー用のマスクを用いてリソグラフィを行い、エッチングして孔をあける。さらに、半導体基板3s上にチタン、窒化チタンおよびタングステンからなる金属膜をスパッタリング法およびCVD法により順次堆積した後、その金属膜をKrFエキシマレーザー縮小投影露光装置および上述のレジストパターンを遮光体とするKrFエキシマレーザー用のフォトマスクを用いてリソグラフィを行い、エッチングすることによって、図4(f)に示すように第一層配線16L1を形成する。これ以降は、第一層配線16L1と同様に第2層配線以降を形成して、半導体集積回路装置を製造した。   First, on such a semiconductor substrate 3s, as shown in FIG. 3D, an interlayer insulating film 12 made of, for example, a silicon oxide film is deposited by a CVD method or the like. Subsequently, the polysilicon film is subjected to lithography using a KrF excimer laser reduced projection exposure apparatus and a photomask for a KrF excimer laser using the above resist pattern as a light shield, patterned by etching, and then patterned. By introducing impurities into a predetermined region of the polysilicon film, a wiring 13L and a resistor 13R made of the polysilicon film are formed. After that, as shown in FIG. 4E, for example, a silicon oxide film 14 is deposited on the semiconductor substrate 3s by a CVD method or the like, and then the semiconductor regions 10 and 11 and the wiring 13L are formed on the interlayer insulating film 12 and the silicon oxide film 14. The connection hole 15 in which a part of the contact hole 15 is exposed is subjected to lithography using a KrF excimer laser reduced projection exposure apparatus and a mask for a KrF excimer laser using the resist pattern of Example 5 described above as a light shielding body, and etched to form a hole. Open. Further, after sequentially depositing a metal film made of titanium, titanium nitride, and tungsten on the semiconductor substrate 3s by sputtering and CVD, the metal film is made a KrF excimer laser reduced projection exposure apparatus and the above resist pattern as a light shielding body. Lithography is performed using a photomask for a KrF excimer laser, and etching is performed to form the first layer wiring 16L1 as shown in FIG. Thereafter, the second layer wiring and subsequent layers were formed in the same manner as the first layer wiring 16L1, and the semiconductor integrated circuit device was manufactured.

本発明の有機樹脂遮光体を用いたKrFリソグラフィ用フォトマスクの製造工程を示す断面図。Sectional drawing which shows the manufacturing process of the photomask for KrF lithography using the organic resin light-shielding body of this invention. 本発明の構成を示す説明図。Explanatory drawing which shows the structure of this invention. 半導体集積回路装置の製造工程中における半導体ウエハの要部断面図。The principal part sectional drawing of the semiconductor wafer in the manufacturing process of a semiconductor integrated circuit device. 本発明の有機樹脂遮光体を用いたKrFリソグラフィ用フォトマスクの一部分を示す平面図。The top view which shows a part of photomask for KrF lithography using the organic resin light-shielding body of this invention. 本発明の有機樹脂遮光体を用いたKrFリソグラフィ用フォトマスクの一部分を示す断面図。Sectional drawing which shows a part of photomask for KrF lithography using the organic resin light-shielding body of this invention. 比較例2で作製したフォトマスクの一部分を示す平面図。FIG. 6 is a plan view showing a part of a photomask manufactured in Comparative Example 2. 比較例2で作製したフォトマスクの一部分を示す断面図。Sectional drawing which shows a part of photomask produced in the comparative example 2. FIG.

符号の説明Explanation of symbols

R1〜R10…水素、炭素数1〜4の置換または無置換アルキル基,ハロゲン,水酸基,メチロール基,炭素数1〜4の置換または無置換アルコキシ基,ヒドロキシル基,フェニル基,メトキシ基,エトキシエチル基,シクロプロピル基,アセタール基,アセチル基の中から選ばれる原子または原子団(R1〜R10は同一であってもよく異なっていてもよい。また、Xはハロゲン化アセチル基、Yはカンファースルホネート、トリフルオロスルホネート、メタンスルホネート等の中から選ばれる原子または原子団を表す。)、
3s…半導体基板、6n…nウエル、6p…pウエル、7…フィールド絶縁膜、8、9…ゲート絶縁膜、10…nMISQnの半導体領域、11…pMISQpの半導体領域、12…層間絶縁膜、13L…配線、13R…抵抗、14…HLD(酸化シリコン)膜、15…接続孔、16L1…第一層配線、101…石英ガラス基板、102…Cr、103…感光性樹脂組成物層、104…水溶性導電膜、105…所定パターン形状の照射電子線、106…潜像、107…遮光体パターン、108…露光光、109…投影レンズ、110…ウエハ、111…被加工膜、112…反射防止膜、113…レジスト、114…電子線照射パターン。
R1 to R10: hydrogen, substituted or unsubstituted alkyl group having 1 to 4 carbon atoms, halogen, hydroxyl group, methylol group, substituted or unsubstituted alkoxy group having 1 to 4 carbon atoms, hydroxyl group, phenyl group, methoxy group, ethoxyethyl Atom or atomic group selected from a group, cyclopropyl group, acetal group, and acetyl group (R1 to R10 may be the same or different. X is a halogenated acetyl group, Y is camphorsulfonate. Represents an atom or atomic group selected from trifluorosulfonate, methanesulfonate, and the like.
3 ... Semiconductor substrate, 6n ... n well, 6p ... p well, 7 ... Field insulating film, 8, 9 ... Gate insulating film, 10 ... Semiconductor region of nMISQn, 11 ... Semiconductor region of pMISQp, 12 ... Interlayer insulating film, 13L ... wiring, 13R ... resistance, 14 ... HLD (silicon oxide) film, 15 ... connection hole, 16L1 ... first layer wiring, 101 ... quartz glass substrate, 102 ... Cr, 103 ... photosensitive resin composition layer, 104 ... water-soluble Conductive film, 105 ... irradiated electron beam having a predetermined pattern shape, 106 ... latent image, 107 ... light shielding pattern, 108 ... exposure light, 109 ... projection lens, 110 ... wafer, 111 ... work film, 112 ... antireflection film 113 ... Resist, 114 ... Electron beam irradiation pattern.

Claims (4)

石英ガラス基板上に所望のパターンが形成された感光性樹脂塗膜からなる遮光体部を有するフォトマスク製造方法において、
前記感光性樹脂塗膜は、前記遮光体部のKrFエキシマレーザー光に対する透過率が1%以下、より好ましくは0.5%以下となる光学特性を有し、
前記感光性樹脂塗膜の組成物が(a)分子量500以上数千以下の非鎖状低分子化合物、(b)酸分解性熱架橋性化合物、および(c)酸発生剤からなり、加熱により前記組成物(a)および(b)が架橋することを特徴とする感光性樹脂組成物を用いたフォトマスク製造方法。
In a photomask manufacturing method having a light-shielding body portion made of a photosensitive resin coating film having a desired pattern formed on a quartz glass substrate,
The photosensitive resin coating film has optical characteristics such that the transmittance of the light shielding part to KrF excimer laser light is 1% or less, more preferably 0.5% or less,
The composition of the photosensitive resin coating film comprises (a) a non-chain low molecular compound having a molecular weight of 500 or more and several thousand or less, (b) an acid-decomposable thermally crosslinkable compound, and (c) an acid generator. A method for producing a photomask using a photosensitive resin composition, wherein the compositions (a) and (b) are crosslinked.
前記組成物(a)における非鎖状低分子化合物が水酸基を有することを特徴とする請求項1記載のフォトマスク製造方法。   2. The method for producing a photomask according to claim 1, wherein the non-chain low molecular compound in the composition (a) has a hydroxyl group. 前記組成物(a)における非鎖状低分子化合物が下記一般式(化1)〜(化8)で表される化合物を置換基として少なくとも1種類含有することを特徴とする請求項1または2記載のフォトマスク製造方法。
Figure 2005202179
Figure 2005202179
Figure 2005202179
Figure 2005202179
Figure 2005202179
Figure 2005202179
Figure 2005202179
Figure 2005202179
The non-chain low molecular compound in the composition (a) contains at least one compound represented by the following general formulas (Chemical Formula 1) to (Chemical Formula 8) as a substituent. The photomask manufacturing method as described.
Figure 2005202179
Figure 2005202179
Figure 2005202179
Figure 2005202179
Figure 2005202179
Figure 2005202179
Figure 2005202179
Figure 2005202179
前記組成物(b)における酸分解性熱架橋性化合物がエノールエーテル基を少なくとも2個有する化合物からなることを特徴とする請求項1または2記載のフォトマスク製造方法。   The photomask manufacturing method according to claim 1 or 2, wherein the acid-decomposable thermally crosslinkable compound in the composition (b) comprises a compound having at least two enol ether groups.
JP2004008770A 2004-01-16 2004-01-16 Method for manufacturing photomask Pending JP2005202179A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2004008770A JP2005202179A (en) 2004-01-16 2004-01-16 Method for manufacturing photomask

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2004008770A JP2005202179A (en) 2004-01-16 2004-01-16 Method for manufacturing photomask

Publications (1)

Publication Number Publication Date
JP2005202179A true JP2005202179A (en) 2005-07-28

Family

ID=34821999

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004008770A Pending JP2005202179A (en) 2004-01-16 2004-01-16 Method for manufacturing photomask

Country Status (1)

Country Link
JP (1) JP2005202179A (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007140525A (en) * 2005-11-17 2007-06-07 Samsung Electronics Co Ltd Composition for forming photosensitive organic antireflection film and pattern forming method using the same
JP2009244724A (en) * 2008-03-31 2009-10-22 Dainippon Printing Co Ltd Positive resist composition and pattern forming method using the same
JP2012159585A (en) * 2011-01-31 2012-08-23 Shin Etsu Chem Co Ltd Method for forming resist pattern
WO2017057226A1 (en) * 2015-09-30 2017-04-06 富士フイルム株式会社 Pattern forming method and active light sensitive or radiation sensitive resin composition

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007140525A (en) * 2005-11-17 2007-06-07 Samsung Electronics Co Ltd Composition for forming photosensitive organic antireflection film and pattern forming method using the same
JP2009244724A (en) * 2008-03-31 2009-10-22 Dainippon Printing Co Ltd Positive resist composition and pattern forming method using the same
JP2012159585A (en) * 2011-01-31 2012-08-23 Shin Etsu Chem Co Ltd Method for forming resist pattern
WO2017057226A1 (en) * 2015-09-30 2017-04-06 富士フイルム株式会社 Pattern forming method and active light sensitive or radiation sensitive resin composition
KR20180041182A (en) * 2015-09-30 2018-04-23 후지필름 가부시키가이샤 Pattern forming method, and active radiation-sensitive or radiation-sensitive resin composition
JPWO2017057226A1 (en) * 2015-09-30 2018-07-05 富士フイルム株式会社 Pattern forming method and actinic ray-sensitive or radiation-sensitive resin composition
KR102072426B1 (en) * 2015-09-30 2020-02-03 후지필름 가부시키가이샤 Pattern forming method and actinic ray-sensitive or radiation-sensitive resin composition
JP7216040B2 (en) 2015-09-30 2023-01-31 富士フイルム株式会社 Pattern forming method and actinic ray- or radiation-sensitive resin composition

Similar Documents

Publication Publication Date Title
KR100268292B1 (en) Frequency doubling hybrid resist
KR20020055365A (en) A photomask, the manufacturing method, a patterning method, and a semiconductor device manufacturing method
JP3929307B2 (en) Aqueous alkali-soluble resin and photosensitive resin composition
JP2002131883A (en) Method for manufacturing photomask, and photomask
US6436605B1 (en) Plasma resistant composition and use thereof
US20080131790A1 (en) Structure Design and Fabrication on Photomask For Contact Hole Manufacturing Process Window Enhancement
KR20030071616A (en) Electronic apparatus producing method
KR20080027808A (en) Photomask and method for manufacturing electronic device using the same
TW200303573A (en) Self-aligned pattern formation using dual wavelengths
TWI737856B (en) Method for lithography patterning
JPH0241741B2 (en)
JP2641362B2 (en) Lithography method and manufacturing method of phase shift mask
EP0113033A2 (en) Process for forming resist masks
JP2005202179A (en) Method for manufacturing photomask
JP2002006478A (en) Mask for exposure and method for producing the same
JP2002196483A5 (en)
JP2000021978A (en) Photomask and pattern formation method
US5882825A (en) Production method of a phase shift photomask having a phase shift layer comprising SOG
KR100334745B1 (en) Resist composition and pattern forming process
JP2007193368A (en) Method for manufacturing electronic device
JP2005202180A (en) Method for manufacturing semiconductor device
JP2006512600A (en) Highly sensitive resist composition for electron-based lithography
JP2002189282A (en) Halftone phase shift mask and method for producing semiconductor device using the same
JP4677423B2 (en) Highly sensitive resist composition for electron-based lithography
KR100737553B1 (en) High sensitivity resist compositions for electron-based lithography

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070105

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20070105

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090804

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20091201