JP2005135746A - Plasma density information measuring probe, plasma density information measuring device, and plasma processing device - Google Patents

Plasma density information measuring probe, plasma density information measuring device, and plasma processing device Download PDF

Info

Publication number
JP2005135746A
JP2005135746A JP2003370595A JP2003370595A JP2005135746A JP 2005135746 A JP2005135746 A JP 2005135746A JP 2003370595 A JP2003370595 A JP 2003370595A JP 2003370595 A JP2003370595 A JP 2003370595A JP 2005135746 A JP2005135746 A JP 2005135746A
Authority
JP
Japan
Prior art keywords
plasma
density information
plasma density
power
antenna
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2003370595A
Other languages
Japanese (ja)
Other versions
JP4418661B2 (en
Inventor
Hitoshi Nitta
仁 新田
Naoki Toyoda
直樹 豊田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Nissin Co Ltd
Original Assignee
Tokyo Electron Ltd
Nissin Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd, Nissin Co Ltd filed Critical Tokyo Electron Ltd
Priority to JP2003370595A priority Critical patent/JP4418661B2/en
Publication of JP2005135746A publication Critical patent/JP2005135746A/en
Application granted granted Critical
Publication of JP4418661B2 publication Critical patent/JP4418661B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Abstract

<P>PROBLEM TO BE SOLVED: To obtain plasma density more precisely by improving measuring precision of an absorption frequency by the plasma. <P>SOLUTION: On a measuring probe to be inserted into a plasma PM, an external conductor 43c of a coaxial cable 43 inserted into a tube 41 which is an dielectrics is grounded at the outside of a chamber 10, and a circular noise reduction element (ferrite core) 50 is passed through the coaxial cable 43 between its grounded site 49 and an antenna 42. By this, noise components picked up by the antenna 42 and transferred through the coaxial cable 43 are reduced, and an S/N ratio of a reflective power signal generated by the plasma PM as an object to be measured can be improved. <P>COPYRIGHT: (C)2005,JPO&NCIPI

Description

本発明は、半導体デバイスの製造工程等で利用されるプラズマの密度情報を測定するためのプラズマ密度情報測定プローブ及びプラズマ密度情報測定装置と、こうした測定装置を用いたプラズマ処理装置に関する。   The present invention relates to a plasma density information measuring probe and a plasma density information measuring apparatus for measuring plasma density information used in a semiconductor device manufacturing process and the like, and a plasma processing apparatus using such a measuring apparatus.

半導体デバイスの製造工程ではプラズマを利用した各種処理が広く採用されている。代表的なものとしては、ウエハ上に薄膜を形成するためのプラズマCVD(化学気相成長)やウエハ上に形成されたSiO2膜等を部分的に除去するためのプラズマエッチングなどがある。こうしたプラズマを利用した処理装置の基本的な構成としては、真空雰囲気としたチャンバ内へ処理ガスを導入し、チャンバ内に配設した電極に高周波電圧を印加してこの高周波電界により処理ガスをプラズマ化している。 Various processes using plasma are widely adopted in the manufacturing process of semiconductor devices. Typical examples include plasma CVD (chemical vapor deposition) for forming a thin film on the wafer and plasma etching for partially removing the SiO 2 film formed on the wafer. As a basic configuration of a processing apparatus using such plasma, a processing gas is introduced into a vacuum atmosphere chamber, a high-frequency voltage is applied to an electrode disposed in the chamber, and the processing gas is converted into plasma by this high-frequency electric field. It has become.

例えばプラズマエッチング装置では、上記のように形成したプラズマ中に処理対象のウエハを設置し、プラズマにより活性化されたラジカルの化学的反応によりウエハ上の膜をエッチングする。チャンバ内に生成されているプラズマの状態は必ずしも一定ではないので、エッチング速度を制御するためには、プラズマの密度情報をリアルタイムで正確に把握することが非常に重要である。従来からプラズマ密度の測定方法としてはラングミュア・プローブ法を始めとする各種方法が知られているが、長時間の連続的な測定には適していない、或いは測定が煩雑である等の問題があった。   For example, in a plasma etching apparatus, a wafer to be processed is placed in the plasma formed as described above, and a film on the wafer is etched by a chemical reaction of radicals activated by the plasma. Since the state of the plasma generated in the chamber is not necessarily constant, it is very important to accurately grasp plasma density information in real time in order to control the etching rate. Conventionally, various methods such as the Langmuir probe method are known as plasma density measurement methods, but there are problems such as being unsuitable for continuous measurement over a long period of time or complicated measurement. It was.

これに対し、より簡便であって、比較的長期間、正確にプラズマ密度を測定可能な方法として、近年、特許文献1、2などに開示されているものが知られている。図13はこの測定装置の要部の構成図である。プラズマ密度測定用の測定プローブ102はプラズマ処理室であるチャンバ101内に挿入されるように設置される。この測定プローブ102は、電力を放射するアンテナ105と、該アンテナ105に測定用電力を伝送する同軸ケーブル104と、先端が閉塞された円筒形状の誘電体製のチューブ103とから成り、チューブ103内に同軸ケーブル104が挿設され、その先端にアンテナ105が接続されている。   On the other hand, as methods that are simpler and can accurately measure the plasma density for a relatively long period of time, those disclosed in Patent Documents 1 and 2 are known in recent years. FIG. 13 is a block diagram of the main part of this measuring apparatus. A measurement probe 102 for measuring plasma density is installed so as to be inserted into a chamber 101 which is a plasma processing chamber. The measurement probe 102 includes an antenna 105 that radiates power, a coaxial cable 104 that transmits measurement power to the antenna 105, and a cylindrical dielectric tube 103 with a closed end. A coaxial cable 104 is inserted into the antenna 105, and an antenna 105 is connected to the tip.

チャンバ101の外側に設置された測定用電源107で生成された測定用電力は方向性結合器108を介し、同軸ケーブル102を通してアンテナ105へと供給され、アンテナ105からチャンバ101内のプラズマPMに向けて放射される。この放射電力はプラズマPMによる負荷(プラズマ負荷という)に一部が吸収されるが、殆どは反射されてアンテナ105に戻って来て、同軸ケーブル104を通して回路部側へと戻る。すなわち、プラズマPMに向けて放射された測定用電力によってチューブ103の表面にプラズマPMによる表面波が励起され、定在波を生じるか否かによってプラズマ負荷の吸収又は反射が起こる。この測定用電力の反射又は吸収の度合に基づいて、プラズマ密度情報を得ることができる。   The measurement power generated by the measurement power supply 107 installed outside the chamber 101 is supplied to the antenna 105 through the coaxial cable 102 via the directional coupler 108 and directed from the antenna 105 toward the plasma PM in the chamber 101. Is emitted. A part of this radiated power is absorbed by a load due to plasma PM (referred to as plasma load), but most of it is reflected and returns to the antenna 105, and returns to the circuit unit side through the coaxial cable 104. That is, the surface wave by the plasma PM is excited on the surface of the tube 103 by the measurement power radiated toward the plasma PM, and the plasma load is absorbed or reflected depending on whether or not a standing wave is generated. Plasma density information can be obtained based on the degree of reflection or absorption of the measurement power.

詳しく述べると、測定用電源107は所定の周波数範囲において周波数走査を行う。測定用電力がプラズマPMにより反射されると、その反射分の電力が測定プローブ102から戻って来る。方向性結合器108はこの反射電力を検出して出力部109へと送る。出力部109には測定用電源107から走査中の周波数情報も順次送られてくるため、両情報に基づいて測定用電力の反射率の周波数依存性を求めることができる。つまり、同一周波数において、[測定用電力の検出反射量]/[測定用電力の全出力量]なる演算処理を実行して測定用電力の反射率を求め、その反射率と走査周波数とを対応付けてプロットする。そして、そのグラフから、プラズマ密度に起因して測定用電力の強い吸収が生じる吸収周波数を導出する。この吸収周波数はプラズマ密度(電子密度)と一定の相関関係があるので、吸収周波数からプラズマ密度情報を算出することができる。   More specifically, the measurement power source 107 performs frequency scanning in a predetermined frequency range. When the measurement power is reflected by the plasma PM, the reflected power returns from the measurement probe 102. The directional coupler 108 detects this reflected power and sends it to the output unit 109. Since the frequency information during scanning is sequentially sent from the measurement power source 107 to the output unit 109, the frequency dependence of the reflectance of the measurement power can be obtained based on both pieces of information. In other words, at the same frequency, the calculation processing of [measured power detection reflection amount] / [total measurement power output amount] is performed to obtain the measurement power reflectivity, and the reflectivity corresponds to the scanning frequency. And plot. Then, from the graph, an absorption frequency at which strong absorption of the measurement power is caused due to the plasma density is derived. Since this absorption frequency has a certain correlation with the plasma density (electron density), the plasma density information can be calculated from the absorption frequency.

しかしながら、上記のような従来の構成の測定プローブ102では次のような問題がある。すなわち、測定プローブ102のアンテナ105は、自らの測定用電力の放射に対してのプラズマPMによる反射電力を受けるのみならず、それ以外の各種電磁波も受ける。例えばプラズマ励起用にチャンバ101内に供給された高周波電力やそれ以外の各種電磁波の飛び込みを受け、これらは反射電力波に重畳されて回路部へと入り込む。こうしたノイズ成分のために反射電力を表す信号成分のS/N比が低下すると、吸収周波数を高い精度で導出することが困難になり、プラズマ密度の検出精度が低下してしまう。   However, the measurement probe 102 having the conventional configuration as described above has the following problems. That is, the antenna 105 of the measurement probe 102 receives not only the reflected power by the plasma PM for the radiation of its own measurement power but also various other electromagnetic waves. For example, high-frequency power supplied into the chamber 101 for plasma excitation or other electromagnetic waves other than that is received, and these are superimposed on the reflected power wave and enter the circuit unit. If the S / N ratio of the signal component representing the reflected power decreases due to such a noise component, it becomes difficult to derive the absorption frequency with high accuracy, and the detection accuracy of the plasma density decreases.

また、アンテナ105及び伝送線路である同軸ケーブル104は誘電体であるチューブ103を介するものの、ノイズ源(チャンバ101内の図示しない電極など)に近接しているため、そのノイズ源と高周波的に結合してしまう。それによって、同軸ケーブル104を通して導出されたノイズをチャンバ101の外部へと撒き散らし、自己の計測器はもとより他の計測器を誤動作させる要因となる。さらに、こうした不要輻射ノイズを防止するために同軸ケーブル104のシールド部をチャンバ101の外部で接地させると、シールド部に高周波電流が流れ、同軸ケーブル104が発熱して損傷を引き起こすことがある。   In addition, although the antenna 105 and the coaxial cable 104 serving as a transmission line are connected to a noise source (such as an electrode (not shown) in the chamber 101) through a tube 103 that is a dielectric, the antenna 105 and the noise source are coupled in high frequency. Resulting in. As a result, noise derived through the coaxial cable 104 is scattered to the outside of the chamber 101, which causes malfunction of not only its own measuring instrument but also other measuring instruments. Further, when the shield portion of the coaxial cable 104 is grounded outside the chamber 101 in order to prevent such unnecessary radiation noise, a high-frequency current flows through the shield portion, and the coaxial cable 104 may generate heat and cause damage.

特開2000−100598号公報Japanese Patent Laid-Open No. 2000-100598 特開2000−100599号公報Japanese Patent Laid-Open No. 2000-100599

本発明はこうした課題を解決するために成されたものであり、その主な目的は、不所望のノイズを低減することによってプラズマ密度情報の測定精度を向上させるとともに、発熱を抑制することによって耐久性を向上させることができるプラズマ密度情報測定プローブとそのプローブを用いたプラズマ密度情報測定装置、さらにこうした測定装置を用いたプラズマ処理装置を提供することである。   The present invention has been made to solve these problems, and its main purpose is to improve the measurement accuracy of plasma density information by reducing undesired noise and to improve durability by suppressing heat generation. It is to provide a plasma density information measuring probe capable of improving the performance, a plasma density information measuring apparatus using the probe, and a plasma processing apparatus using such a measuring apparatus.

上記課題を解決するために成された第1発明に係るプラズマ密度情報測定プローブは、プラズマ雰囲気中に配置されて該プラズマに起因する電力を外部から受けるアンテナ部と、該アンテナ部で受けた電力を測定部へ伝送する伝送線路と、を含み、前記アンテナ部で受けた電力量に基づいてプラズマの密度情報を測定するためのプラズマ密度情報測定プローブであって、
前記伝送線路に沿って少なくともその一部の範囲に設けたシールド部を所定位置で電気的に接地するとともに、その接地部位と前記アンテナ部との間で前記伝送線路を取り囲むように磁性体を設けることを特徴としている。
The plasma density information measurement probe according to the first invention, which has been made to solve the above-mentioned problems, includes an antenna unit that is arranged in a plasma atmosphere and that receives electric power caused by the plasma from the outside, and an electric power received by the antenna unit A transmission line for transmitting to the measurement unit, a plasma density information measurement probe for measuring plasma density information based on the amount of power received by the antenna unit,
A shield portion provided in at least a part of the transmission line along the transmission line is electrically grounded at a predetermined position, and a magnetic material is provided so as to surround the transmission line between the grounded portion and the antenna portion. It is characterized by that.

また同じく上記課題を解決するために成された第2発明に係るプラズマ密度情報測定プローブは、プラズマ雰囲気中に配置されて該プラズマに起因する電力を外部から受けるアンテナ部と、該アンテナ部で受けた電力を測定部へ伝送する伝送線路と、を含み、前記アンテナ部で受けた電力量に基づいてプラズマの密度情報を測定するためのプラズマ密度情報測定プローブであって、
前記伝送線路に沿って少なくともその一部の範囲に設けたシールド部を所定位置で電気的に接地するとともに、その接地部位と前記アンテナ部との間で前記伝送線路を取り囲むようにノイズ吸収体を設けることを特徴としている。
Similarly, a plasma density information measurement probe according to the second invention, which has been made to solve the above-mentioned problems, is provided in an antenna part that is arranged in a plasma atmosphere and receives electric power caused by the plasma from the outside, and the antenna part receives the plasma part. A transmission line for transmitting the measured power to the measurement unit, and a plasma density information measurement probe for measuring plasma density information based on the amount of power received by the antenna unit,
A shield part provided at least in a part of the range along the transmission line is electrically grounded at a predetermined position, and a noise absorber is provided so as to surround the transmission line between the grounded part and the antenna part. It is characterized by providing.

発明の実施の形態、及び効果Embodiments and effects of the invention

第1及び第2発明に係るプラズマ密度情報測定プローブでは、プラズマ雰囲気中に設置されたアンテナ部がプラズマに起因する信号電力を受け、この信号電力は伝送線路を通して測定部へと伝送される。しかしながら、アンテナ部にはプラズマ負荷に由来する信号電力のみならず、プラズマ励起用の高周波電力やそれ以外の各種の電磁波も飛び込むため、これらノイズ成分による電力は目的とする信号電力に重畳して伝送線路を通る。   In the plasma density information measuring probe according to the first and second inventions, the antenna unit installed in the plasma atmosphere receives signal power caused by plasma, and this signal power is transmitted to the measurement unit through the transmission line. However, since not only the signal power derived from the plasma load but also high frequency power for plasma excitation and various other electromagnetic waves jump into the antenna section, the power due to these noise components is superimposed on the target signal power and transmitted. Go through the track.

第1発明に係るプラズマ密度情報測定プローブにおいて、伝送線路を取り囲むように設けられた磁性体は特に高周波信号成分に対してインダクタンスとして作用し、アンテナ部側(つまりノイズ発生源側)から見たときにインピーダンスの不整合を生じる。そのため、伝送線路を通って伝導して来るノイズ成分は磁性体付近で堰き止められ、反射してアンテナ部側へと戻る。これによって、測定部まで到達するノイズ成分は低減され、目的とする信号電力のS/N比が向上する。したがって、プラズマ密度情報を高い精度で以て測定することができる。また、磁性体によるインピーダンスは伝送線路のシールド部を流れる高周波電流を抑制し、この高周波電流に起因する発熱を抑えることができる。   In the plasma density information measurement probe according to the first aspect of the invention, the magnetic body provided so as to surround the transmission line acts as an inductance particularly on the high-frequency signal component, and when viewed from the antenna part side (that is, the noise generation source side). Cause impedance mismatch. Therefore, the noise component conducted through the transmission line is blocked in the vicinity of the magnetic body, reflected, and returned to the antenna unit side. Thereby, the noise component reaching the measurement unit is reduced, and the S / N ratio of the target signal power is improved. Therefore, plasma density information can be measured with high accuracy. Moreover, the impedance by a magnetic body can suppress the high frequency current which flows through the shield part of a transmission line, and can suppress the heat_generation | fever resulting from this high frequency current.

一方、第2発明に係るプラズマ密度情報測定プローブにおいては、伝送線路にノイズ成分が伝導して来ると、この伝送線路を取り囲むように設けられたノイズ吸収体には誘導電流が誘起され、抵抗成分によって電気エネルギーが熱エネルギーに変換される。それによって、伝送線路を通って伝導して来るノイズ成分は減衰し、測定部まで到達するノイズ成分は軽減される。したがって、第1発明と同様に、目的とする信号電力のS/N比が向上するので、プラズマ密度情報を高い精度で以て測定することができる。また、ノイズ吸収体の抵抗成分により伝送線路のシールド部を流れる高周波電流を抑制し、この高周波電流に起因する発熱を抑えることができる。   On the other hand, in the plasma density information measurement probe according to the second invention, when a noise component is conducted to the transmission line, an induced current is induced in the noise absorber provided so as to surround the transmission line, and the resistance component The electrical energy is converted into thermal energy. Thereby, the noise component conducted through the transmission line is attenuated, and the noise component reaching the measurement unit is reduced. Therefore, as in the first invention, the S / N ratio of the target signal power is improved, so that the plasma density information can be measured with high accuracy. Further, the high frequency current flowing through the shield part of the transmission line can be suppressed by the resistance component of the noise absorber, and heat generation due to the high frequency current can be suppressed.

なお、プラズマを真空チャンバ内で生成する場合には、チャンバ自体を電気的な接地電位とし、本発明に係るプラズマ密度情報測定プローブにおけるシールド部を所定位置でチャンバと接続することにより電気的に接地する構成とすることができる。   When plasma is generated in a vacuum chamber, the chamber itself is set to an electrical ground potential, and the shield part of the plasma density information measurement probe according to the present invention is electrically grounded by connecting it to the chamber at a predetermined position. It can be set as the structure to do.

また、第1及び第2発明に係るプラズマ密度情報測定プローブの一実施形態として、前記伝送線路は同軸ケーブルの内部導体であるとともに前記シールド部は外部導体であり、該外部導体を所定位置で接地するとともに、その接地部位と前記アンテナ部との間でその同軸ケーブルの外側に前記磁性体又はノイズ吸収体を周設した構成とすることができる。   As one embodiment of the plasma density information measuring probe according to the first and second inventions, the transmission line is an inner conductor of a coaxial cable and the shield part is an outer conductor, and the outer conductor is grounded at a predetermined position. In addition, the magnetic body or the noise absorber can be provided around the coaxial cable between the grounded portion and the antenna portion.

また、第1及び第2発明に係るプラズマ密度情報測定プローブの他の実施形態として、前記伝送線路は同軸ケーブルの内部導体であるとともに前記シールド部はその同軸ケーブルのさらに外側に設けられた管状の導体であり、その管状の導体を所定位置で接地するとともに、その接地部位と前記アンテナ部との間であって且つ管状の導体内面と同軸ケーブル外面との間の間隙に前記磁性体又はノイズ吸収体を周設した構成としてもよい。   As another embodiment of the plasma density information measuring probe according to the first and second inventions, the transmission line is an inner conductor of a coaxial cable and the shield portion is a tubular tube provided further outside the coaxial cable. A magnetic conductor or a noise absorber in a gap between the grounding portion and the antenna portion and between the inner surface of the tubular conductor and the outer surface of the coaxial cable. It is good also as a structure which provided the body around.

また、第1及び第2発明に係るプラズマ密度情報測定プローブにおいて、前記磁性体又は前記ノイズ吸収体として例えば中空の磁性体コアを用いることができ、具体的にはフェライトコア、フェライトビーズ等と呼ばれるノイズ対策部品を用いるとよい。こうした部品は、その特性やサイズの種類が豊富であり、また、樹脂製ケースに収容されたもの、分割スリーブ型など、各種の形態のものが容易に入手可能ある。したがって、プラズマ密度情報測定プローブの形態に応じて、或いは問題となるノイズ成分の周波数帯域に応じて、適宜の部品を選択して効果的にノイズ低減を行うことができる。   In the plasma density information measurement probe according to the first and second inventions, for example, a hollow magnetic core can be used as the magnetic body or the noise absorber, and specifically, it is called a ferrite core, a ferrite bead, or the like. Use noise countermeasure parts. Such parts have a wide variety of characteristics and sizes, and are easily available in various forms such as those housed in resin cases and split sleeve types. Therefore, it is possible to effectively reduce noise by selecting appropriate components according to the form of the plasma density information measurement probe or according to the frequency band of the noise component in question.

また、第2発明に係るプラズマ密度情報測定プローブでは、ノイズから変換された熱によってノイズ吸収体自体の温度が上昇する。この温度が高くなり過ぎると、ノイズ吸収作用が低下するのみならず、そのノイズ吸収体自体のケースや同軸ケーブルの絶縁被膜等の熱変形や損傷を引き起こすおそれもある。そこで、こうした不具合を回避するために、前記ノイズ吸収体を冷却するための冷却手段をさらに備える構成とすることが好ましい。冷却手段としては、強制的に空気をノイズ吸収体に送給することで空冷するもの、冷却フィンや熱伝導性の良好な材料から成る放熱体をノイズ吸収体に熱的に接触して設けることで自発的な空冷を補助するもの、或いは、空冷でなく冷媒等を利用したもの、などとすることができる。   In the plasma density information measurement probe according to the second aspect of the invention, the temperature of the noise absorber itself increases due to heat converted from noise. If this temperature becomes too high, not only the noise absorbing action is lowered, but also the case of the noise absorber itself or the thermal insulation or damage of the insulation coating of the coaxial cable or the like may be caused. Therefore, in order to avoid such a problem, it is preferable to further include a cooling means for cooling the noise absorber. As cooling means, forcibly supplying air to the noise absorber for air cooling, or providing a heat sink made of cooling fins or a material with good thermal conductivity in thermal contact with the noise absorber. It is possible to use a device that assists spontaneous air cooling, or that uses a refrigerant or the like instead of air cooling.

また、ノイズ吸収体は、そのサイズや透磁率等で決まる抵抗率が大きいほどノイズ吸収作用が大きい反面、温度上昇が大きくなる。そこで、特に接地部位の方向からしかノイズ吸収体の空冷が行えないような場合においては、ノイズ吸収体を抵抗率の異なる複数の抵抗体素子から構成し、アンテナ部側から接地部位に向かって抵抗率が増加するように前記抵抗体素子を配列する構成とすることが好ましい。これによれば、冷却効率の高い、接地部位側に位置する抵抗体素子においてより大きな発熱が生じるので、ノイズ吸収体全体として温度上昇を抑制し易くなる。   In addition, as the noise absorber has a higher resistivity determined by its size, magnetic permeability, and the like, the noise absorbing action is larger, but the temperature rise is larger. Therefore, especially when the noise absorber can only be air-cooled from the direction of the grounding part, the noise absorber is composed of a plurality of resistor elements having different resistivities, and the resistance from the antenna side toward the grounding part is increased. Preferably, the resistor elements are arranged so that the rate increases. According to this, since a larger amount of heat is generated in the resistor element located on the grounded part side with high cooling efficiency, it becomes easy to suppress the temperature rise as the entire noise absorber.

第1及び第2発明に係るプラズマ密度情報測定プローブは、それ自体は電力を放出する等のプラズマに対する能動的機能を有さず、単にプラズマ雰囲気から到来する信号を受動的に受けて測定部へとその信号を伝送するものに適用してもよいが、能動的に測定用電力を放出する機能とそれに由来する電力を受ける機能とを併せ持つ構成とする場合に好適である。すなわち、第1及び第2発明に係るプラズマ密度情報測定プローブは、前記アンテナ部及び前記伝送線路の一部は誘電体から成る先端が閉塞した筒状体の内部に収容され、前記伝送線路を通して前記アンテナ部に測定用電力を供給し、該アンテナ部から前記筒状体を介してプラズマ中に放射される放射電力に対してプラズマ負荷による反射電力を前記アンテナ部で受け、そのプラズマ負荷による反射又は吸収に基づいてプラズマ密度情報を測定する構成とすることができる。   The plasma density information measuring probe according to the first and second inventions does not have an active function for plasma such as emitting electric power, but passively receives a signal coming from the plasma atmosphere to the measuring unit. However, it is suitable for a configuration having both a function of actively releasing power for measurement and a function of receiving power derived therefrom. That is, in the plasma density information measuring probe according to the first and second inventions, a part of the antenna part and the transmission line is accommodated in a cylindrical body having a closed end made of a dielectric, and the probe is passed through the transmission line. Power for measurement is supplied to the antenna unit, and reflected power from the plasma load is received by the antenna unit with respect to the radiated power radiated into the plasma from the antenna unit through the cylindrical body. It can be set as the structure which measures plasma density information based on absorption.

この構成では、伝送線路を通してアンテナ部に測定用電力が供給されると、アンテナ部から測定用電力が放出される。誘電体である筒状体の表面にはプラズマによってプラズマ表面波が励起されるから、アンテナ部から放出された測定用電力はこの筒状体の表面を介してプラズマ負荷と結合し、それによってプラズマ負荷による吸収又は反射が起こる。この反射電力をアンテナ部で受けて伝送線路を介して測定部へと戻す。測定用電力はプラズマ密度に応じた特定の周波数において特に強い吸収を受けるから、測定用電力の周波数を走査して反射量又は反射率を調べることにより、プラズマ密度情報を得ることができる。その際に、反射電力の信号のS/N比が良好であるので、吸収周波数を正確に求めることができ、それ故にプラズマ密度情報を高い精度で以て算出することができる。   In this configuration, when the measurement power is supplied to the antenna unit through the transmission line, the measurement power is released from the antenna unit. Since plasma surface waves are excited by plasma on the surface of the cylindrical body that is a dielectric, the measurement power emitted from the antenna unit is coupled to the plasma load via the surface of the cylindrical body, thereby Absorption or reflection by the load occurs. The reflected power is received by the antenna unit and returned to the measurement unit via the transmission line. Since the measurement power receives particularly strong absorption at a specific frequency according to the plasma density, the plasma density information can be obtained by scanning the frequency of the measurement power and examining the reflection amount or the reflectance. At this time, since the S / N ratio of the reflected power signal is good, the absorption frequency can be obtained accurately, and therefore the plasma density information can be calculated with high accuracy.

すなわち、上記プラズマ密度情報測定プローブを用いたプラズマ密度情報測定装置は、前記伝送線路を通して前記アンテナ部に所定周波数の測定用電力を供給する測定用電源と、該測定用電力によってアンテナ部からプラズマ中に放射される放射電力に対してプラズマ負荷による反射電力を前記アンテナ部で受け、前記伝送線路を介して戻ってきた反射電力を検出する電力検出手段と、測定用電力と反射電力とに基づいてプラズマ負荷による反射又は吸収の程度を求め、その反射又は吸収の程度と測定用電力の周波数との関係とからプラズマ密度情報を算出する処理手段と、を備える構成とすることができる。   That is, a plasma density information measuring apparatus using the plasma density information measuring probe includes a measurement power source for supplying measurement power of a predetermined frequency to the antenna unit through the transmission line, and a plasma power from the antenna unit by the measurement power. Based on the power detection means for receiving the reflected power from the plasma load with respect to the radiated power radiated to the antenna and detecting the reflected power returned through the transmission line, the measurement power and the reflected power Processing means for obtaining the degree of reflection or absorption by the plasma load and calculating the plasma density information from the relation between the degree of reflection or absorption and the frequency of the power for measurement can be provided.

このように上記プラズマ密度情報測定装置によれば、その時点でのプラズマ密度情報を正確に把握することができるので、各種のプラズマ処理装置においてそのプラズマ処理速度を制御したり、一定に維持したりするために非常に有用である。すなわち、本発明に係るプラズマ処理装置は、上記プラズマ密度情報測定装置を用いたプラズマ処理装置であって、処理対象物を内部に収容するとともにプラズマを生成するプラズマ生成手段を有するプラズマ処理室を備え、前記プラズマ密度情報測定プローブの少なくとも先端が前記プラズマ処理室内部に挿入されて成る構成とする。   As described above, according to the plasma density information measuring apparatus, since the plasma density information at that time can be accurately grasped, the plasma processing speed can be controlled or kept constant in various plasma processing apparatuses. Very useful to do. That is, a plasma processing apparatus according to the present invention is a plasma processing apparatus using the plasma density information measuring apparatus described above, and includes a plasma processing chamber that contains a processing target inside and has a plasma generating means for generating plasma. The plasma density information measurement probe has at least the tip inserted into the plasma processing chamber.

ここでプラズマ処理とは、例えばプラズマエッチング、プラズマCVD、プラズマクリーニングなどプラズマを利用した各種処理が考えられる。この構成によれば、こうしたプラズマ処理を精度良く制御できるので、処理精度が向上する、処理効率が向上する等の利点がある。   Here, the plasma treatment may be various treatments using plasma such as plasma etching, plasma CVD, and plasma cleaning. According to this configuration, since such plasma processing can be controlled with high accuracy, there are advantages such as improved processing accuracy and improved processing efficiency.

以下、本発明に係るプラズマ密度情報測定プローブを用いたプラズマ密度情報測定装置を適用したプラズマ処理装置として、プラズマエッチング装置を例に挙げて説明する。   Hereinafter, a plasma etching apparatus will be described as an example of a plasma processing apparatus to which a plasma density information measuring apparatus using a plasma density information measuring probe according to the present invention is applied.

図1は第1実施例によるプラズマエッチング装置の要部の構成図である。プラズマ処理室としてのエッチング室であるチャンバ10は例えばステンレス製の円筒形状体であって、電気的には接地されている。このチャンバ10内の底部にはエッチング対象であるワークWを載置するための載置台12が電極を兼ねて設けられ、これと対向してチャンバ10内上部にはプラズマ生成用の放電電極11が配置されている。放電電極11には、励起用電源17で発生されたプラズマ生成用の高周波電力がインピーダンス整合器18を介して供給される。励起用電力制御部19は後述する電子密度算出部36からの信号を受けて、チャンバ10内のプラズマ密度を調整すべくインピーダンス整合器18を制御する。励起用電源17で発生される高周波電力の大きさは例えば1〜3kW程度であり、その周波数は例えば13.56MHzを代表とするRF帯から900MHz〜2.45GHz程度のマイクロ波帯の間の周波数である。もちろん、高周波電力の大きさ及び周波数はこれに限るものではない。   FIG. 1 is a block diagram of the main part of the plasma etching apparatus according to the first embodiment. The chamber 10 which is an etching chamber as a plasma processing chamber is a cylindrical body made of stainless steel, for example, and is electrically grounded. A mounting table 12 for mounting a work W to be etched is also provided as an electrode at the bottom of the chamber 10, and a discharge electrode 11 for plasma generation is provided in the upper part of the chamber 10 so as to face this. Has been placed. The discharge electrode 11 is supplied with high-frequency power for plasma generation generated by the excitation power source 17 via the impedance matching unit 18. The excitation power control unit 19 receives a signal from an electron density calculation unit 36 described later, and controls the impedance matching unit 18 to adjust the plasma density in the chamber 10. The magnitude of the high-frequency power generated by the excitation power source 17 is, for example, about 1 to 3 kW, and the frequency is, for example, a frequency between an RF band typified by 13.56 MHz and a microwave band of about 900 MHz to 2.45 GHz. It is. Of course, the magnitude and frequency of the high-frequency power are not limited to this.

チャンバ10には排気管13が接続され、排気ポンプ14の動作によりチャンバ10内のガスは外部へと排出される。一方、チャンバ10にはガス供給管15も接続され、流量調節弁16により制御されるガスがチャンバ10内へと供給される。チャンバ10内は排気ポンプ14による真空排気によって適宜のガス圧に維持される。具体的には、ガス圧としては例えば数〜数十mTorr程度とするとよい。また、供給されるガスの種類はアルゴン、窒素、酸素、フッ素系、塩素系などであり、その流量は10〜100mL/分程度とするとよい。   An exhaust pipe 13 is connected to the chamber 10, and the gas in the chamber 10 is discharged to the outside by the operation of the exhaust pump 14. On the other hand, a gas supply pipe 15 is also connected to the chamber 10, and a gas controlled by the flow rate control valve 16 is supplied into the chamber 10. The inside of the chamber 10 is maintained at an appropriate gas pressure by evacuation by the exhaust pump 14. Specifically, the gas pressure may be about several to several tens of mTorr, for example. The types of gas supplied are argon, nitrogen, oxygen, fluorine-based, chlorine-based, and the like, and the flow rate is preferably about 10 to 100 mL / min.

このプラズマエッチング装置は、プラズマ密度情報である電子密度を高い精度で測定するために電子密度測定部20を備える。この電子密度測定部20は、チャンバ10の壁面を貫通してチャンバ10内部に突出して設けられる測定プローブ21と、チャンバ10の外側に備えられ、測定プローブ21と電気的に接続されたプローブ制御/処理部22とから構成される。   The plasma etching apparatus includes an electron density measuring unit 20 for measuring an electron density, which is plasma density information, with high accuracy. The electron density measuring unit 20 includes a measurement probe 21 that protrudes into the chamber 10 through the wall surface of the chamber 10, and a probe control / electrical device that is provided outside the chamber 10 and is electrically connected to the measurement probe 21. And a processing unit 22.

プローブ制御/処理部22は、周波数走査自在の測定用発振器31と、方向性結合器32と、減衰器33と、フィルタ34と、プラズマPMによる吸収周波数を算出するプラズマ吸収周波数導出部35と、プラズマ吸収周波数から電子密度つまりプラズマ密度を計算する電子密度算出部36と、この結果を表示する表示器37とを備える。ここで表示器37はモニタ用として設けられているものであって省略することもできる。   The probe control / processing unit 22 includes a frequency-scannable measurement oscillator 31, a directional coupler 32, an attenuator 33, a filter 34, a plasma absorption frequency deriving unit 35 that calculates an absorption frequency by the plasma PM, An electron density calculator 36 for calculating the electron density, that is, the plasma density from the plasma absorption frequency, and a display 37 for displaying the result are provided. Here, the indicator 37 is provided for monitoring and can be omitted.

測定用発振器31は、100kHzから3GHz程度の周波数範囲において10mW程度の高周波信号を走査する。測定用発振器31から出力された測定用信号は方向性結合器32、減衰器33、フィルタ34を通って測定プローブ21へと送られる。この測定用信号による測定用電力は測定プローブ21からプラズマPMに向けて放射されるが、その全てがプラズマ負荷に吸収されるわけではなく、多くの場合、大部分はプラズマ負荷に吸収されずに反射して戻って来る。この反射電力はプローブ制御/処理部22に戻ってフィルタ34及び減衰器33を通り、方向性結合器32に達して検出され、プラズマ吸収周波数導出部35へと送られる。測定用発振器31が周波数走査を行う際にプラズマ吸収周波数導出部35はプラズマPMによる吸収周波数を求め、電子密度算出部36がその吸収周波数からプラズマ密度情報としての電子密度を算出する。したがって、電子密度算出部36はその時点でチャンバ10内に生成されているプラズマPMの実際の電子密度をプラズマ密度情報として出力する。   The measurement oscillator 31 scans a high frequency signal of about 10 mW in a frequency range of about 100 kHz to 3 GHz. The measurement signal output from the measurement oscillator 31 is sent to the measurement probe 21 through the directional coupler 32, the attenuator 33, and the filter 34. The measurement power by the measurement signal is radiated from the measurement probe 21 toward the plasma PM, but not all of it is absorbed by the plasma load. In many cases, most of the measurement power is not absorbed by the plasma load. Reflect and come back. The reflected power returns to the probe control / processing unit 22, passes through the filter 34 and the attenuator 33, reaches the directional coupler 32, is detected, and is sent to the plasma absorption frequency deriving unit 35. When the measurement oscillator 31 performs frequency scanning, the plasma absorption frequency deriving unit 35 obtains the absorption frequency by the plasma PM, and the electron density calculation unit 36 calculates the electron density as the plasma density information from the absorption frequency. Therefore, the electron density calculator 36 outputs the actual electron density of the plasma PM generated in the chamber 10 at that time as plasma density information.

図2は測定プローブ21の構成を示す概略断面図、図3は測定プローブ21の一部である同軸ケーブル43を中心とする要部の概略斜視図である。図2において、チューブ41はチャンバ10内に位置する先端部が閉塞し、後端が大気に開放した円筒形状体であり、誘電体、具体的には例えば石英、セラミックス、強化耐熱ガラスなどから成る。チューブ41の内側には、測定用電力を放射するためのアンテナ42を先端に設けた同軸ケーブル43が開放後端面から挿入された状態となっている。同軸ケーブル43は従来から知られている1芯同軸ケーブルであり、実際には、この同軸ケーブル43の先端において外皮絶縁体43aと外部導体(シールド線)43bとを所定長さだけ切除し、内側の内部絶縁体43cと内部導体(芯線)43dとを突出させた状態となっており、この突出した内部導体43dがアンテナ42として機能するようになっている。すなわち、本実施例においては、同軸ケーブル43の内部導体43dが本発明における伝送線路に相当し、外部導体43bが本発明におけるシールド部に相当する。もちろん、アンテナ42はループ状など他の形状としてもよい。   FIG. 2 is a schematic cross-sectional view showing the configuration of the measurement probe 21, and FIG. 3 is a schematic perspective view of the main part centering on the coaxial cable 43 that is a part of the measurement probe 21. In FIG. 2, a tube 41 is a cylindrical body whose front end located in the chamber 10 is closed and whose rear end is open to the atmosphere. The tube 41 is made of a dielectric, specifically, quartz, ceramics, tempered heat-resistant glass, or the like. . Inside the tube 41, a coaxial cable 43 provided with an antenna 42 for radiating measurement power at the tip is inserted from the open rear end face. The coaxial cable 43 is a conventionally known single-core coaxial cable. Actually, a sheath insulator 43a and an outer conductor (shield wire) 43b are cut by a predetermined length at the end of the coaxial cable 43, and the inner side The inner insulator 43c and the inner conductor (core wire) 43d are projected, and the projected inner conductor 43d functions as the antenna 42. That is, in this embodiment, the inner conductor 43d of the coaxial cable 43 corresponds to the transmission line in the present invention, and the outer conductor 43b corresponds to the shield portion in the present invention. Of course, the antenna 42 may have other shapes such as a loop shape.

チャンバ10には金属製のホルダ46が固着され、ホルダ46に固定されたコネクタ47を介して同軸ケーブル43は外部の同軸ケーブル48と接続されている。このコネクタ47による連結部49において同軸ケーブル43の外部導体43bは、ホルダ46を介してチャンバ10に電気的に接続されている。すなわち、連結部49において同軸ケーブル43の外部導体43bは接地されている(つまり電位0Vである)とみなすことができる。そこで、ここではこの連結部49を接地部位と言い換える。   A metal holder 46 is fixed to the chamber 10, and the coaxial cable 43 is connected to an external coaxial cable 48 via a connector 47 fixed to the holder 46. In the connecting portion 49 by the connector 47, the outer conductor 43 b of the coaxial cable 43 is electrically connected to the chamber 10 via the holder 46. That is, it can be considered that the outer conductor 43b of the coaxial cable 43 is grounded (that is, has a potential of 0 V) at the connecting portion 49. Therefore, here, the connecting portion 49 is referred to as a ground contact portion.

また、この測定プローブ21では、接地部位49と測定プローブ21先端部との間のチューブ41内部に、同軸ケーブル43が内側に挿通するように環状のフェライトコアであるノイズ低減素子50を複数連ねて設けている。フェライトコアは周知のように酸化鉄を主材料とするセラミックスであり、そのインダクタンスによってノイズを低減する機能を持つ。この場合、同軸ケーブル43に電流が流れることにより発生する磁界を吸収し熱に変換することでノイズを低減させる作用と、インピーダンスの不整合によりアンテナ42側から同軸ケーブル43を伝送して来る信号を反射することでノイズを低減させる作用とを併せ持つ。すなわち、本実施例においてはこのノイズ低減素子50が本発明における磁性体及びノイズ吸収体に相当する。   In the measurement probe 21, a plurality of noise reduction elements 50, which are annular ferrite cores, are connected in the tube 41 between the grounding portion 49 and the tip of the measurement probe 21 so that the coaxial cable 43 is inserted inside. Provided. As is well known, the ferrite core is a ceramic mainly composed of iron oxide and has a function of reducing noise by its inductance. In this case, the magnetic field generated by the current flowing through the coaxial cable 43 is absorbed and converted into heat, and the signal transmitted through the coaxial cable 43 from the antenna 42 side due to impedance mismatching. It also has the effect of reducing noise by reflection. That is, in this embodiment, the noise reduction element 50 corresponds to the magnetic body and the noise absorber in the present invention.

前述のようにアンテナ42から測定用電力がプラズマPMに放射され、それに対する反射電力がアンテナ42に戻って来るが、アンテナ42はその反射電力のみならず、プラズマ励起用の高周波電力に由来する電磁波のほか幅広い周波数帯域における各種のノイズを拾う。これらノイズ成分がそのままプローブ制御/処理部22へと伝送されると、目的とする反射電力による信号レベルのS/N比を劣化させることになる。それに対し、この測定プローブ21の構成では、ノイズ低減素子50と接地部位49における同軸ケーブル43の外部導体43bの接地とによって、同軸ケーブル43を通るノイズ成分を低減することができる。   As described above, the measurement power is radiated from the antenna 42 to the plasma PM, and the reflected power is returned to the antenna 42. The antenna 42 is not only the reflected power but also the electromagnetic wave derived from the high frequency power for plasma excitation. In addition to various noises in a wide frequency band. If these noise components are transmitted to the probe control / processing unit 22 as they are, the S / N ratio of the signal level due to the target reflected power is deteriorated. On the other hand, in the configuration of the measurement probe 21, the noise component passing through the coaxial cable 43 can be reduced by the noise reduction element 50 and the grounding of the outer conductor 43 b of the coaxial cable 43 at the ground portion 49.

これによって、アンテナ42に入射した電磁波のノイズが線路を伝播してプローブ制御/処理部22に到達することを抑制することができ、目的とする周波数(走査される周波数)に対する信号のS/N比が改善される。それにより、後述するような横軸に周波数、縦軸に吸収量をプロットした吸収スペクトルにおいて吸収周波数をより精度よく求めることができる。   As a result, it is possible to suppress the noise of the electromagnetic wave incident on the antenna 42 from reaching the probe control / processing unit 22 through the line, and the signal S / N with respect to the target frequency (scanned frequency). The ratio is improved. Thereby, the absorption frequency can be obtained with higher accuracy in the absorption spectrum in which the frequency is plotted on the horizontal axis and the amount of absorption is plotted on the vertical axis as described later.

但し、フェライトコア等のノイズ低減素子はノイズを低減する際に熱を発生するから、この熱が適切に外部に放散されないと、ノイズ低減効果が下がったり、或いは熱によって同軸ケーブルの外皮を損傷したりするおそれがある。この実施例の構成では、アンテナ42側ではチューブ41が閉塞されていて熱が発散しにくく、チューブ41の開放端面側のほうが格段に熱の放散効率がよい。そこで、ノイズ低減素子50として抵抗率の相違するものを用意し、アンテナ42側からチューブ41の根元側に向かって抵抗率が順次高くなるように配列している。抵抗率が高いほどノイズの低減効果が高い反面、それだけ発熱量も大きくなるが、上述したように素子を配列することで、特に熱が放散しにくいアンテナ42側に配置されたノイズ低減素子の発熱量を少なくすることができる。それによって、ノイズ低減素子の局所的な異常な温度上昇を防止することができ、ノイズ低減効果を維持することができるとともに同軸ケーブル等の損傷を防止することができる。   However, since noise reduction elements such as ferrite core generate heat when reducing noise, if this heat is not properly dissipated to the outside, the noise reduction effect will be reduced or the outer sheath of the coaxial cable will be damaged by heat. There is a risk of In the configuration of this embodiment, the tube 41 is closed on the antenna 42 side and heat is not easily dissipated, and the open end surface side of the tube 41 has a much better heat dissipation efficiency. Therefore, noise reduction elements 50 having different resistivities are prepared and arranged so that the resistivities sequentially increase from the antenna 42 side toward the root side of the tube 41. The higher the resistivity, the higher the noise reduction effect, but the greater the amount of heat generated. However, by arranging the elements as described above, the heat generated by the noise reduction elements arranged on the antenna 42 side, which is particularly difficult to dissipate heat. The amount can be reduced. Thereby, the local abnormal temperature rise of the noise reduction element can be prevented, the noise reduction effect can be maintained, and damage to the coaxial cable or the like can be prevented.

次に、本装置においてエッチング処理を行う際の動作を図4のフローチャートに沿って説明する。まず初期状態として励起用電源17は作動しており、チャンバ10内には所定流量でガスが供給され、プラズマPMも既に生成された状態にあるものとする。   Next, the operation when performing the etching process in this apparatus will be described with reference to the flowchart of FIG. First, it is assumed that the excitation power supply 17 is operating as an initial state, the gas is supplied into the chamber 10 at a predetermined flow rate, and the plasma PM is already generated.

測定用発振器31はその周波数を例えば100kHz〜2.5GHzの範囲で走査しながら測定用信号を発生させる(ステップS1)。これにより、同軸ケーブル43を介して測定プローブ21のアンテナ42から測定用電力が放射される。この放射電力に対し、上述したようにプラズマPMの表面波による吸収又は反射が生じ、反射電力が電力供給とは逆に同軸ケーブル43を介してプローブ制御/処理部22へと戻って来る。この反射電力は、フィルタ34、減衰器33、方向性結合器32を経由し、プラズマ吸収周波数導出部35へと送り込まれる(ステップS2)。   The measurement oscillator 31 generates a measurement signal while scanning the frequency in the range of, for example, 100 kHz to 2.5 GHz (step S1). Thereby, measurement power is radiated from the antenna 42 of the measurement probe 21 via the coaxial cable 43. As described above, this radiated power is absorbed or reflected by the surface wave of the plasma PM, and the reflected power returns to the probe control / processing unit 22 via the coaxial cable 43, contrary to the power supply. This reflected power is sent to the plasma absorption frequency deriving unit 35 via the filter 34, the attenuator 33, and the directional coupler 32 (step S2).

プラズマ吸収周波数導出部35では、同一周波数について、(反射電力量)/(測定用電力の全出力量)を計算することで反射率を算出し、測定用発振器31から与えられる周波数情報に基づいて。走査周波数範囲の各周波数において上記演算を行うことで、周波数と反射率との関係を取得する(ステップS3)。こうして得られる周波数と反射率との関係の一例を図5に示す。図5において、反射率が大きく低下するピークPa、Pbが見られるが、これがプラズマ密度に起因して測定用電力の強い吸収が起こる吸収ポイントであり、そのピークトップに対応する周波数fa、fbが吸収周波数ということになる(ステップS4)。   The plasma absorption frequency deriving unit 35 calculates the reflectance by calculating (reflected power amount) / (total output amount of measurement power) for the same frequency, and based on the frequency information given from the measurement oscillator 31. . By performing the above calculation at each frequency in the scanning frequency range, the relationship between the frequency and the reflectance is acquired (step S3). An example of the relationship between the frequency and the reflectance thus obtained is shown in FIG. In FIG. 5, peaks Pa and Pb in which the reflectance is greatly reduced are observed. This is an absorption point where strong absorption of the measurement power is caused by the plasma density, and the frequencies fa and fb corresponding to the peak top are obtained. This is the absorption frequency (step S4).

図5の例ではピークが2個存在し、それに対応して吸収周波数も2個得られる。このように、多くの場合、吸収周波数は複数存在する。これら吸収周波数はいずれも電子密度等のプラズマ密度情報と相関関係を有するが、特に、電子密度の2乗に比例する吸収周波数はプラズマ表面波共鳴周波数と呼ばれるものであり、プラズマ密度を導出する際に特に有用な物理量の1つである。なお、同じプラズマ雰囲気中であっても電子密度は局所的に変化するので、基板Wに対する処理に寄与するプラズマ密度情報をより正確に求めるためには、基板Wの表面近傍に測定プローブ21の先端部を配置させて上記のような測定を行うことが望ましい。   In the example of FIG. 5, there are two peaks, and two absorption frequencies are obtained correspondingly. Thus, in many cases, there are a plurality of absorption frequencies. All of these absorption frequencies have a correlation with plasma density information such as electron density. In particular, the absorption frequency proportional to the square of the electron density is called the plasma surface wave resonance frequency. Is a particularly useful physical quantity. Since the electron density varies locally even in the same plasma atmosphere, the tip of the measurement probe 21 is located near the surface of the substrate W in order to obtain more accurate plasma density information that contributes to processing on the substrate W. It is desirable to perform the measurement as described above by arranging the parts.

電子密度算出部36は、上記のようにして得られた吸収周波数から電子密度を算出する(ステップS5)。この電子密度の算出結果(ここでは実電子密度という)は励起用電力制御部19へと送られ、励起用電力制御部19は実電子密度と電子密度の目標値との差を求め、その差に基づいてインピーダンス整合器18を制御する。具体的には、実電子密度と目標値との差が許容値よりも大きい場合には(ステップS6でNo)、その差に応じて、例えばインピーダンス整合器18のチューナの位置を変えることにより放電電極11へ供給される電力を増加又は減少させる(ステップS8)。そして、実電子密度と目標値との差が許容値内に収束するまで(ステップS6でYes)、ステップS1へ戻ってそうした励起用電力の調整を繰り返す。   The electron density calculator 36 calculates the electron density from the absorption frequency obtained as described above (step S5). The calculation result of the electron density (herein referred to as the actual electron density) is sent to the excitation power control unit 19, and the excitation power control unit 19 obtains the difference between the actual electron density and the target value of the electron density, and the difference The impedance matching unit 18 is controlled based on the above. Specifically, when the difference between the actual electron density and the target value is larger than the allowable value (No in step S6), the discharge is performed by changing the tuner position of the impedance matching unit 18 according to the difference, for example. The power supplied to the electrode 11 is increased or decreased (step S8). Then, until the difference between the actual electron density and the target value converges within the allowable value (Yes in step S6), the process returns to step S1 and the adjustment of the excitation power is repeated.

このようにしてプラズマ密度が所望の状態になった後に、基板Wをチャンバ10内に投入して載置台12上にセットし、載置台12に所定の電圧を印加することでプラズマPM中のイオンや電子を基板Wに接触させてエッチング(又は他の表面処理)を行う(ステップS7)。プラズマ密度の目標値が時間経過に伴って変化する場合でも、エッチング中にプラズマ密度情報を連続的にモニタすることで適切にプラズマ密度を制御することもできる。   After the plasma density reaches a desired state in this way, the substrate W is put into the chamber 10 and set on the mounting table 12, and a predetermined voltage is applied to the mounting table 12, whereby ions in the plasma PM are applied. Etching (or other surface treatment) is performed by bringing the electrons into contact with the substrate W (step S7). Even when the target value of the plasma density changes with time, the plasma density can be appropriately controlled by continuously monitoring the plasma density information during etching.

上述したような処理の過程で、本実施例による測定プローブ21は、測定用電力をプラズマPMに向けて放射する一方、反射して来た電力を受けて同軸ケーブル43を介してプローブ制御/処理部22へと伝送する。その際に、アンテナ42には本来の測定対象である反射電力のみならず、プラズマ励起用の高周波電力やそれ以外の各種の信号も飛び込む。これらは密度測定用の反射電力の検出にとってはノイズ成分となるが、上記のようなノイズ低減素子50のノイズ除去作用によってプローブ制御/処理部22に入力される信号のノイズは大幅に抑制され、目的とする周波数に対する信号のS/N比が改善される。それにより、プラズマ吸収周波数をより精度よく求めることができ、その結果、電子密度の算出精度も向上しプラズマ密度の制御性が向上する。   In the process as described above, the measurement probe 21 according to the present embodiment radiates the measurement power toward the plasma PM, and receives the reflected power and controls the probe via the coaxial cable 43. The data is transmitted to the unit 22. At that time, not only the reflected power that is the original measurement target but also high-frequency power for plasma excitation and other various signals jump into the antenna 42. These are noise components for the detection of reflected power for density measurement, but the noise of the signal input to the probe control / processing unit 22 is greatly suppressed by the noise removal action of the noise reduction element 50 as described above. The S / N ratio of the signal with respect to the target frequency is improved. Thereby, the plasma absorption frequency can be obtained with higher accuracy, and as a result, the calculation accuracy of the electron density is improved and the controllability of the plasma density is improved.

次に本発明の第2実施例によるプラズマ密度情報測定プローブについて説明する。図6(a)は第2実施例による測定プローブ21の可動部の構成を示す外観図、図6(b)及び(c)は可動部をガイド部に挿入した状態の構成を示す外観図、図7はチューブ内の概略断面図である。なお、上記実施例による構成と同一又は相当する箇所について同一符号を付して特に要しない限り説明を省略する。   Next, a plasma density information measuring probe according to a second embodiment of the present invention will be described. FIG. 6A is an external view showing the configuration of the movable portion of the measurement probe 21 according to the second embodiment, and FIGS. 6B and 6C are external views showing the configuration of the state in which the movable portion is inserted into the guide portion. FIG. 7 is a schematic cross-sectional view inside the tube. In addition, the same code | symbol is attached | subjected about the location same as the structure by the said Example, or the description is abbreviate | omitted unless it requires especially.

この第2実施例において、可動部のチューブ41は、先端部側が誘電体である石英ガラス製の先端部41aと、金属製の基部41bとから成り、先端部41aはアンテナを内側に収容する、小さな径(ここでは外径がΦ2mm)の細径部41a1とより大きな径(ここでは外径がΦ6mm)の太径部41a2とに形成されている。また、基部41bの外径はΦ11mmであり、このチューブ41内に挿入される同軸ケーブルの外径はΦ1mm以下の細いものである。チューブ41の根元には、この可動部を後述のガイド部に挿抜する際に分析者が把持するための把持部60が固定され、この把持部60には目盛を長手方向に刻んだ外筒状の目盛管61が固着される。   In this second embodiment, the tube 41 of the movable part is composed of a tip part 41a made of quartz glass whose tip side is a dielectric, and a base part 41b made of metal, and the tip part 41a houses the antenna inside. A small diameter portion 41a1 having a small diameter (here, the outer diameter is Φ2 mm) and a large diameter portion 41a2 having a larger diameter (here, the outer diameter is Φ6 mm) are formed. Further, the outer diameter of the base 41b is Φ11 mm, and the outer diameter of the coaxial cable inserted into the tube 41 is as narrow as Φ1 mm. At the base of the tube 41, a gripping part 60 is fixed for the analyst to grip when the movable part is inserted into and removed from a guide part described later. The gripping part 60 has an outer cylindrical shape with a scale cut in the longitudinal direction. The scale pipe 61 is fixed.

上記構成を有する可動部は、可動部をチャンバ10内に案内する機能を有する外筒状のガイド部62に挿通され、この測定プローブ21をチャンバ10内へ挿入するとガイド部62はチューブ41及び目盛管61と共に移動し、図6(b)に示すように、フランジ62aがチャンバ10の外壁に当接して止まる。そのまま、測定プローブ21を押し入れると、チューブ41が同軸ケーブル43と共に更にチャンバ10内へと挿入される。チャンバ10への可動部の挿入長さは、ガイド部62の後端部における目盛管61の目盛によってわかるから、適切な位置まで可動部を挿入することができる。   The movable part having the above configuration is inserted into an outer cylindrical guide part 62 having a function of guiding the movable part into the chamber 10. When the measurement probe 21 is inserted into the chamber 10, the guide part 62 is connected to the tube 41 and the scale. It moves together with the tube 61, and the flange 62a comes into contact with the outer wall of the chamber 10 and stops as shown in FIG. When the measurement probe 21 is pushed in as it is, the tube 41 is further inserted into the chamber 10 together with the coaxial cable 43. Since the insertion length of the movable portion into the chamber 10 is known from the scale of the scale tube 61 at the rear end portion of the guide portion 62, the movable portion can be inserted to an appropriate position.

この第2実施例では、金属管42bが本発明におけるシールド部に相当する。すなわち、この金属管42bと電気的に接続されている把持部60が、接地されているチャンバ10と電気的に接続され、それによって把持部60が本発明における接地部位となる。図7に示すように、この接地部位よりもアンテナ42側に位置している金属管42bの内側の同軸ケーブル43の周囲に複数のフェライトコア等のノイズ低減素子50が設けられる。これによって第1実施例と同様に、アンテナ42で受けた反射電力の信号に重畳している各種ノイズは同軸ケーブル43を伝送する途中で減衰する。もちろん、金属管42bの内側のみでなく、更に先端側の先端部41aの内側においても同軸ケーブル43にノイズ低減素子50を周設してもよい。   In the second embodiment, the metal tube 42b corresponds to the shield part in the present invention. That is, the grip 60 that is electrically connected to the metal tube 42b is electrically connected to the grounded chamber 10, whereby the grip 60 is a grounding part in the present invention. As shown in FIG. 7, a plurality of noise reduction elements 50 such as ferrite cores are provided around the coaxial cable 43 inside the metal tube 42b located on the antenna 42 side of the grounding portion. As a result, as in the first embodiment, various noises superimposed on the reflected power signal received by the antenna 42 are attenuated during transmission through the coaxial cable 43. Of course, the noise reduction element 50 may be provided around the coaxial cable 43 not only inside the metal tube 42b but also inside the tip portion 41a on the tip side.

次に、本発明の構成によるノイズ低減効果を実証するための実験結果について説明する。図8は実験系の構成を示す概略図、図9及び図10は図8中にC1及びC2で示した箇所におけるノイズ低減素子の配置方法を示す透視図である。この実験では簡単化のために、測定プローブ21の内部ではなく測定プローブ21とプローブ制御/処理部22とを接続する同軸ケーブル48に対してノイズ低減素子を設置した。すなわち、図8に示すように、同軸ケーブル43を合成樹脂製の管状の絶縁チューブ70に挿通し、更にその外側をアルミニウム箔から成るシールド部材71で被覆した。このシールド部材71はフィルタ34において接地されており、この接地部位と測定プローブ21(つまりアンテナ42)との間のC2の位置に図10に示すように絶縁チューブ70が貫通するフェライトコア50bを設け、その位置から測定プローブ21側のC1の位置に図9に示すように絶縁チューブ70の内側にあって同軸ケーブル48が貫通するフェライトビーズ50aを設けている。   Next, experimental results for demonstrating the noise reduction effect of the configuration of the present invention will be described. FIG. 8 is a schematic diagram showing the configuration of the experimental system, and FIGS. 9 and 10 are perspective views showing a method of arranging the noise reduction elements at locations indicated by C1 and C2 in FIG. In this experiment, for the sake of simplicity, a noise reduction element is installed not on the inside of the measurement probe 21 but on the coaxial cable 48 that connects the measurement probe 21 and the probe control / processing unit 22. That is, as shown in FIG. 8, the coaxial cable 43 was inserted into a tubular insulating tube 70 made of synthetic resin, and the outer side thereof was covered with a shield member 71 made of aluminum foil. The shield member 71 is grounded in the filter 34, and a ferrite core 50b through which the insulating tube 70 passes is provided at a position C2 between the grounded portion and the measurement probe 21 (that is, the antenna 42) as shown in FIG. From that position, a ferrite bead 50a through which the coaxial cable 48 passes is provided inside the insulating tube 70 as shown in FIG. 9 at a position C1 on the measurement probe 21 side.

測定条件としてプラズマ励起用電力の最大出力を20mT、2700/1350Wとし、このときに
(1)フェライトビーズ(FB)、フェライトコア(FC)共になし
(2)フェライトビーズ(FB)のみ設置
(3)フェライトビーズ(FB)、フェライトコア(FC)共に設置
の状態でそれぞれ吸収周波数と反射率との関係を実測した。その結果を図11に示す。図11で判るように、FB、FC無しの場合に比べてFBを設けた場合にはS/N比の改善効果が見られ、さらにFCを併用することでS/N比は一段と改善される。反射率の落ち込みのピークトップで比較すると、FBとFCとを併用した場合にはFB、FC無しの場合に比べて1.5〜2dB程度、S/N比が改善している。これは、同軸ケーブル48を伝送するノイズがFB、FCによって低減されていることによるものと推測できる。
As the measurement conditions, the maximum power of plasma excitation power is 20 mT and 2700/1350 W. At this time, (1) No ferrite beads (FB) and ferrite cores (FC) (2) Only ferrite beads (FB) are installed (3) The relationship between the absorption frequency and the reflectance was measured with both the ferrite beads (FB) and the ferrite core (FC) installed. The result is shown in FIG. As can be seen from FIG. 11, when the FB is provided compared to the case without the FB and FC, the improvement effect of the S / N ratio is seen, and the S / N ratio is further improved by using the FC together. . When compared at the peak top of the drop in reflectance, the S / N ratio is improved by about 1.5 to 2 dB when FB and FC are used in combination, compared to the case without FB and FC. This can be presumed to be due to the fact that noise transmitted through the coaxial cable 48 is reduced by FB and FC.

また、図12には、或る一定条件の下での測定プローブの耐久性について、フェライトコアを使用しないとき(a)、使用したとき(b)、及び最適化したとき(c)の評価結果を示す。図12(a)に示すように、フェライトコアを使用しない場合には、測定開始から8分45秒が経過したときに吸収波形が全く崩れてしまっている。これは、シールド部に流れる高周波電流によって発熱が生じ、測定プローブが破損してしまったためである。これに対し、フェライトコアを使用した場合には、図12(b)に示すように、測定開始から10分が経過した時点でも吸収ピークを確認することができ測定プローブが正常に動作していることが判る。さらに、フェライトコアの数を増加したりノイズ周波数に対して最適化したりした構成においては、図12(c)に示すように、測定開始から10分が経過した時点で吸収ピークの吸収率も測定開始時とほぼ同レベルを維持することができる。このようにフェライトコアによって発熱を抑制し、それによって測定プローブの耐久性を増し信頼性を向上できることが判る。   FIG. 12 shows the evaluation results of the measurement probe durability under a certain condition when the ferrite core is not used (a), when it is used (b), and when it is optimized (c). Indicates. As shown in FIG. 12A, when the ferrite core is not used, the absorption waveform is completely broken when 8 minutes and 45 seconds elapse from the start of measurement. This is because the high-frequency current flowing through the shield portion generates heat and the measurement probe is damaged. On the other hand, when a ferrite core is used, as shown in FIG. 12B, an absorption peak can be confirmed even when 10 minutes have elapsed from the start of measurement, and the measurement probe is operating normally. I understand that. Further, in the configuration in which the number of ferrite cores is increased or optimized with respect to the noise frequency, the absorption rate of the absorption peak is also measured when 10 minutes have elapsed from the start of measurement, as shown in FIG. It can maintain almost the same level as the start. Thus, it can be seen that the ferrite core suppresses heat generation, thereby increasing the durability of the measurement probe and improving the reliability.

本発明に係るプラズマ密度情報測定プローブについては、上記説明した以外に各種の変形が可能である。   The plasma density information measurement probe according to the present invention can be variously modified in addition to the above description.

例えば、ノイズ低減素子の材質や形状等は適宜に選択することができる。すなわち、ノイズ低減素子の材質としてはフェライトが一般的であるが、そのほかに鉄系やコバルト系等のアモルファス合金などが利用できる。こうした材質はフェライトよりも透磁率が高いので、より高いノイズ吸収効果が期待できる。また、ノイズ低減に特に有効な周波数帯域はノイズ低減素子の磁気的特性とサイズとによって決まるから、プラズマ励起用電力の周波数等、プラズマ密度情報測定に対するノイズ成分に支配的な周波数帯域に応じて適宜の特性とサイズとを選択することが好ましい。また、上記実施例のように複数のノイズ低減素子を並べて使用する場合には、主たるノイズ低減周波数帯域がそれぞれ異なるようなノイズ低減素子を組み合わせることも有効である。さらにまた、高いノイズ低減効果を得るために、同軸ケーブル(伝送線路)を環状のノイズ低減素子に複数回巻き付けるのも有効である。   For example, the material and shape of the noise reduction element can be selected as appropriate. That is, as a material for the noise reduction element, ferrite is generally used, but in addition to this, an amorphous alloy such as iron or cobalt can be used. Since such a material has higher magnetic permeability than ferrite, a higher noise absorption effect can be expected. In addition, since the frequency band particularly effective for noise reduction is determined by the magnetic characteristics and size of the noise reduction element, it is appropriately selected according to the frequency band dominant to the noise component for plasma density information measurement, such as the frequency of the plasma excitation power. It is preferable to select the characteristics and size. Further, when a plurality of noise reduction elements are used side by side as in the above embodiment, it is also effective to combine noise reduction elements having different main noise reduction frequency bands. Furthermore, in order to obtain a high noise reduction effect, it is also effective to wrap the coaxial cable (transmission line) around the annular noise reduction element a plurality of times.

一方、前述したようにノイズ低減効果を大きくしようとした場合に問題となるのが発熱である。発熱はノイズ吸収効果の劣化、及び同軸ケーブル等の損傷という2つの問題を引き起こす。前者の解決策として採り得る1つの方法は、ノイズ低減素子の材質としてできるだけキュリー点の高いものを使用することである。それによって、発熱によって温度が上昇しても磁性を失いにくく、ノイズ吸収効果を維持することができる。   On the other hand, heat generation becomes a problem when the noise reduction effect is increased as described above. Heat generation causes two problems, that is, deterioration of the noise absorption effect and damage to the coaxial cable or the like. One method that can be taken as the former solution is to use a material having as high a Curie point as possible as the material of the noise reduction element. Thereby, even if the temperature rises due to heat generation, the magnetism is hardly lost and the noise absorbing effect can be maintained.

他方、後者の解決策としてはできるだけノイズ低減素子からの熱放散を促進可能な構成とすることが必要である。その1つの方法が上述したように複数のノイズ低減素子の抵抗率の相違を利用するものである。また、それ以外に、ノイズ低減素子からの放熱を促すようないわゆる冷却フィンを利用するとよい。例えば、第2実施例のように2重シールド構造であって外側のシールド部材(上記例では金属管42b)が露出している場合には、このシールド部材に冷却フィンの機能を持たせることができる。すなわち、ノイズ低減素子とシールド部材とを密着させることにより、また好ましくはシールド部材として熱伝導性の高いものを利用することにより、ノイズ低減素子で発生した熱をシールド部材を通して迅速に外部へと放出することができる。もちろん、シールド部材とは別に冷却フィンに相当する部材を設けてもよい。さらに放熱を積極的に促進させるには、ノイズ低減素子自体や冷却フィン等に向けて空気を送給する小型ファンなどの送風装置を設けてもよいし、また水やそのほかの冷媒等を利用した強制的な循環冷却装置を設けてもよい。   On the other hand, as the latter solution, it is necessary to have a configuration capable of promoting heat dissipation from the noise reduction element as much as possible. One method uses the difference in resistivity of a plurality of noise reduction elements as described above. In addition, a so-called cooling fin that promotes heat radiation from the noise reduction element may be used. For example, in the case of a double shield structure as in the second embodiment and the outer shield member (in the above example, the metal tube 42b) is exposed, the shield member can have a function of a cooling fin. it can. That is, by closely contacting the noise reduction element and the shield member, and preferably using a high thermal conductivity member as the shield member, the heat generated by the noise reduction element is quickly released to the outside through the shield member. can do. Of course, a member corresponding to the cooling fin may be provided separately from the shield member. In order to further promote heat dissipation, a blower such as a small fan that feeds air toward the noise reduction element itself or the cooling fin may be provided, or water or other refrigerants are used. A forced circulation cooling device may be provided.

なお、上記説明した以外の点についても、本発明の趣旨の範囲で適宜、変形、修正又は追加を行っても本発明の特許請求の範囲に包含されることは明らかである。   In addition, it is obvious that points other than those described above are included in the scope of the claims of the present invention even if they are appropriately changed, modified, or added within the scope of the present invention.

本発明の第1実施例によるプラズマ密度情報測定装置を用いたプラズマエッチング装置の要部の構成図。The block diagram of the principal part of the plasma etching apparatus using the plasma density information measuring apparatus by 1st Example of this invention. 図1中の測定プローブの構成を示す概略断面図。FIG. 2 is a schematic cross-sectional view showing a configuration of a measurement probe in FIG. 1. 図1中の測定プローブの一部である同軸ケーブルを中心とする要部の概略斜視図。The schematic perspective view of the principal part centering on the coaxial cable which is a part of measurement probe in FIG. 本実施例によるプラズマエッチング装置にてエッチング処理を行う際の動作を示すフローチャート。The flowchart which shows the operation | movement at the time of performing an etching process with the plasma etching apparatus by a present Example. 本実施例によるプラズマエッチング装置で得られる測定用電力の周波数と反射率との関係の一例を示す図。The figure which shows an example of the relationship between the frequency of the electric power for a measurement obtained with the plasma etching apparatus by a present Example, and a reflectance. 本発明の第2実施例による測定プローブの可動部の構成を示す外観図(a)、及び可動部をガイド部に挿入した状態の構成を示す外観図(b)、(c)。FIG. 6B is an external view showing the configuration of the movable portion of the measurement probe according to the second embodiment of the present invention, and FIG. 7B is an external view showing the configuration of the state in which the movable portion is inserted into the guide portion. 図6に示した測定プローブのチューブ内の概略断面図。FIG. 7 is a schematic cross-sectional view in the tube of the measurement probe shown in FIG. 6. 本発明の構成による効果を実証する実験系の構成を示す概略図。Schematic which shows the structure of the experimental system which demonstrates the effect by the structure of this invention. 図8中にC1で示した箇所におけるノイズ低減素子の配置方法を示す透視図。The perspective view which shows the arrangement | positioning method of the noise reduction element in the location shown by C1 in FIG. 図8中にC2で示した箇所におけるノイズ低減素子の配置方法を示す透視図。The perspective view which shows the arrangement | positioning method of the noise reduction element in the location shown by C2 in FIG. 図8に示す実験系により吸収周波数と反射率との関係を実測した結果を示す図。The figure which shows the result of having actually measured the relationship between an absorption frequency and a reflectance by the experimental system shown in FIG. 或る一定条件の下でのフェライトコアによる発熱抑制効果の評価結果を示す図。The figure which shows the evaluation result of the heat_generation | fever suppression effect by a ferrite core under a certain fixed condition. 従来知られているプラズマ密度情報測定装置の構成図。The block diagram of the plasma density information measuring apparatus known conventionally.

符号の説明Explanation of symbols

10…チャンバ
11…放電電極
12…載置台
13…排気管
14…排気ポンプ
15…ガス供給管
16…流量調節弁
17…励起用電源
18…インピーダンス整合器
19…励起用電力制御部
20…電子密度測定部
21…測定プローブ
22…プローブ制御/処理部
31…測定用発振器
32…方向性結合器
33…減衰器
34…フィルタ
35…プラズマ吸収周波数導出部
36…電子密度算出部
37…表示器
41…チューブ
41a…先端部
41a1…細径部
41a2…太径部
41b…基部
42…アンテナ
42b…金属管
43…同軸ケーブル
43a…外皮絶縁体
43b…外部導体
43c…内部絶縁体
43d…内部導体
46…ホルダ
47…コネクタ
48…同軸ケーブル
49…接地部位(連結部)
50…ノイズ低減素子
50a…フェライトビーズ
50b…フェライトコア
60…把持部
61…目盛管
62…ガイド部
62a…フランジ
W…ワーク(基板)
DESCRIPTION OF SYMBOLS 10 ... Chamber 11 ... Discharge electrode 12 ... Mounting stage 13 ... Exhaust pipe 14 ... Exhaust pump 15 ... Gas supply pipe 16 ... Flow control valve 17 ... Excitation power supply 18 ... Impedance matching device 19 ... Excitation power control part 20 ... Electron density Measuring unit 21 ... Measuring probe 22 ... Probe control / processing unit 31 ... Measuring oscillator 32 ... Directional coupler 33 ... Attenuator 34 ... Filter 35 ... Plasma absorption frequency deriving unit 36 ... Electron density calculating unit 37 ... Display 41 ... Tube 41a ... tip 41a1 ... small diameter part 41a2 ... large diameter part 41b ... base 42 ... antenna 42b ... metal tube 43 ... coaxial cable 43a ... outer insulator 43b ... outer conductor 43c ... inner insulator 43d ... inner conductor 46 ... holder 47 ... Connector 48 ... Coaxial cable 49 ... Grounding part (connecting part)
50 ... Noise reduction element 50a ... Ferrite bead 50b ... Ferrite core 60 ... Grasping part 61 ... Scale pipe 62 ... Guide part 62a ... Flange W ... Workpiece (substrate)

Claims (11)

プラズマ雰囲気中に配置されて該プラズマに起因する電力を外部から受けるアンテナ部と、該アンテナ部で受けた電力を測定部へ伝送する伝送線路と、を含み、前記アンテナ部で受けた電力量に基づいてプラズマの密度情報を測定するためのプラズマ密度情報測定プローブであって、
前記伝送線路に沿って少なくともその一部の範囲に設けたシールド部を所定位置で電気的に接地するとともに、その接地部位と前記アンテナ部との間で前記伝送線路を取り囲むように磁性体を設けることを特徴とするプラズマ密度情報測定プローブ。
An antenna unit that is arranged in a plasma atmosphere and receives the electric power caused by the plasma from the outside, and a transmission line that transmits the electric power received by the antenna unit to the measurement unit, the amount of electric power received by the antenna unit A plasma density information measuring probe for measuring plasma density information based on
A shield portion provided in at least a part of the transmission line along the transmission line is electrically grounded at a predetermined position, and a magnetic material is provided so as to surround the transmission line between the grounded portion and the antenna portion. A probe for measuring plasma density information.
プラズマ雰囲気中に配置されて該プラズマに起因する電力を外部から受けるアンテナ部と、該アンテナ部で受けた電力を測定部へ伝送する伝送線路と、を含み、前記アンテナ部で受けた電力量に基づいてプラズマの密度情報を測定するためのプラズマ密度情報測定プローブであって、
前記伝送線路に沿って少なくともその一部の範囲に設けたシールド部を所定位置で電気的に接地するとともに、その接地部位と前記アンテナ部との間で前記伝送線路を取り囲むようにノイズ吸収体を設けることを特徴とするプラズマ密度情報測定プローブ。
An antenna unit that is arranged in a plasma atmosphere and receives the electric power caused by the plasma from the outside, and a transmission line that transmits the electric power received by the antenna unit to the measurement unit, the amount of electric power received by the antenna unit A plasma density information measuring probe for measuring plasma density information based on
A shield part provided at least in a part of the range along the transmission line is electrically grounded at a predetermined position, and a noise absorber is provided so as to surround the transmission line between the grounded part and the antenna part. A plasma density information measuring probe characterized by being provided.
前記伝送線路は同軸ケーブルの内部導体であるとともに前記シールド部は外部導体であり、該外部導体を所定位置で接地するとともに、その接地部位と前記アンテナ部との間でその同軸ケーブルの外側に前記磁性体又はノイズ吸収体を周設したことを特徴とする請求項1又は2に記載のプラズマ密度情報測定プローブ。   The transmission line is an inner conductor of a coaxial cable and the shield portion is an outer conductor, and the outer conductor is grounded at a predetermined position, and the outer side of the coaxial cable is between the ground portion and the antenna portion. 3. The plasma density information measuring probe according to claim 1, further comprising a magnetic body or a noise absorber. 前記伝送線路は同軸ケーブルの内部導体であるとともに前記シールド部はその同軸ケーブルのさらに外側に設けられた管状の導体であり、その管状の導体を所定位置で接地するとともに、その接地部位と前記アンテナ部との間であって且つ管状の導体内面と同軸ケーブル外面との間の間隙に前記磁性体又はノイズ吸収体を周設したことを特徴とする請求項1又は2に記載のプラズマ密度情報測定プローブ。   The transmission line is an inner conductor of a coaxial cable, and the shield part is a tubular conductor provided on the outer side of the coaxial cable. The tubular conductor is grounded at a predetermined position, and the grounded portion and the antenna are grounded. 3. The plasma density information measurement according to claim 1, wherein the magnetic body or the noise absorber is provided around a gap between the inner portion and the inner surface of the tubular conductor and the outer surface of the coaxial cable. probe. 前記磁性体又は前記ノイズ吸収体は中空の磁性体コアであることを特徴とする請求項1〜4のいずれかに記載のプラズマ密度情報測定プローブ。   5. The plasma density information measuring probe according to claim 1, wherein the magnetic body or the noise absorber is a hollow magnetic core. 前記ノイズ吸収体を冷却するための冷却手段をさらに備えることを特徴とする請求項2〜5のいずれかに記載のプラズマ密度情報測定プローブ。   The plasma density information measuring probe according to claim 2, further comprising a cooling unit for cooling the noise absorber. 前記ノイズ吸収体は抵抗率の異なる複数の抵抗体素子から成り、アンテナ部側から接地部位に向かって抵抗率が増加するように前記抵抗体素子を配列したことを特徴とする請求項2〜5のいずれかに記載のプラズマ密度情報測定プローブ。   6. The noise absorber is composed of a plurality of resistor elements having different resistivity, and the resistor elements are arranged so that the resistivity increases from the antenna portion side toward a grounded portion. The plasma density information measurement probe according to any one of the above. 前記アンテナ部及び前記伝送線路の一部は誘電体から成る先端が閉塞した筒状体の内部に収容され、前記伝送線路を通して前記アンテナ部に測定用電力を供給し、該アンテナ部から前記筒状体を介してプラズマ中に放射される放射電力に対してプラズマ負荷による反射電力を前記アンテナ部で受け、そのプラズマ負荷による反射又は吸収に基づいてプラズマ密度情報を測定することを特徴とする請求項1〜7のいずれかに記載のプラズマ密度情報測定プローブ。   A part of the antenna part and the transmission line is housed in a cylindrical body having a closed end made of a dielectric material, supplying measurement power to the antenna part through the transmission line, and the cylindrical part from the antenna part The plasma density information is measured on the basis of reflection or absorption by the plasma load received by the antenna unit with respect to the radiated power radiated into the plasma through the body. The plasma density information measurement probe according to any one of 1 to 7. 前記磁性体又はノイズ吸収体は前記筒状体の内部に設けられることを特徴とする請求項8に記載のプラズマ密度情報測定プローブ。   The plasma density information measuring probe according to claim 8, wherein the magnetic body or the noise absorber is provided inside the cylindrical body. 請求項8又は9に記載のプラズマ密度情報測定プローブを用いたプラズマ密度情報測定装置であって、前記伝送線路を通して前記アンテナ部に所定周波数の測定用電力を供給する測定用電源と、該測定用電力によってアンテナ部からプラズマ中に放射される放射電力に対してプラズマ負荷による反射電力を前記アンテナ部で受け、前記伝送線路を介して戻ってきた反射電力を検出する電力検出手段と、測定用電力と反射電力とに基づいてプラズマ負荷による反射又は吸収の程度を求め、その反射又は吸収の程度と測定用電力の周波数との関係とからプラズマ密度情報を算出する処理手段と、を備えることを特徴とするプラズマ密度情報測定装置。   A plasma density information measuring apparatus using the plasma density information measuring probe according to claim 8 or 9, wherein a measuring power source for supplying measuring power of a predetermined frequency to the antenna unit through the transmission line, and the measuring power source Power detection means for receiving reflected power from the plasma load with respect to the radiated power radiated into the plasma from the antenna unit by the power and detecting the reflected power returned through the transmission line, and measurement power And processing means for calculating the plasma density information from the relationship between the degree of reflection or absorption and the frequency of the measurement power. Plasma density information measuring device. 請求項10に記載のプラズマ密度情報測定装置を用いたプラズマ処理装置であって、処理対象物を内部に収容するとともにプラズマを生成するプラズマ生成手段を有するプラズマ処理室を備え、前記プラズマ密度情報測定プローブの少なくとも先端が前記プラズマ処理室内部に挿入されて成ることを特徴とするプラズマ処理装置。   11. A plasma processing apparatus using the plasma density information measuring apparatus according to claim 10, further comprising: a plasma processing chamber having a plasma generating means for containing a processing object inside and generating plasma, and measuring the plasma density information A plasma processing apparatus, wherein at least a tip of a probe is inserted into the inside of the plasma processing chamber.
JP2003370595A 2003-10-30 2003-10-30 Plasma density information measuring probe, plasma density information measuring apparatus, and plasma processing apparatus Expired - Fee Related JP4418661B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2003370595A JP4418661B2 (en) 2003-10-30 2003-10-30 Plasma density information measuring probe, plasma density information measuring apparatus, and plasma processing apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2003370595A JP4418661B2 (en) 2003-10-30 2003-10-30 Plasma density information measuring probe, plasma density information measuring apparatus, and plasma processing apparatus

Publications (2)

Publication Number Publication Date
JP2005135746A true JP2005135746A (en) 2005-05-26
JP4418661B2 JP4418661B2 (en) 2010-02-17

Family

ID=34647559

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003370595A Expired - Fee Related JP4418661B2 (en) 2003-10-30 2003-10-30 Plasma density information measuring probe, plasma density information measuring apparatus, and plasma processing apparatus

Country Status (1)

Country Link
JP (1) JP4418661B2 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009140899A (en) * 2007-12-07 2009-06-25 Sungkyunkwan Univ Foundation For Corporate Collaboration Plasma source equipped with ferrite structure, and plasma generating device employing the same
JP2010123417A (en) * 2008-11-20 2010-06-03 Shibaura Mechatronics Corp Plasma density measuring probe, plasma density measuring device, plasma processing device, and plasma density measuring method
JP2018181633A (en) * 2017-04-14 2018-11-15 東京エレクトロン株式会社 Plasma processing apparatus and control method
WO2021245289A1 (en) * 2020-06-05 2021-12-09 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung eingetragener Verein Measuring probe for measuring variables characteristic for a plasma

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102417178B1 (en) 2015-09-03 2022-07-05 삼성전자주식회사 Microwave probe, plasma monitoring system comprising the microwave probe, and method for fabricating semiconductor device using the system

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009140899A (en) * 2007-12-07 2009-06-25 Sungkyunkwan Univ Foundation For Corporate Collaboration Plasma source equipped with ferrite structure, and plasma generating device employing the same
JP4722951B2 (en) * 2007-12-07 2011-07-13 スングキュンクワン ユニバーシティ ファウンデーション フォー コーポレート コラボレーション Plasma source with ferrite structure and plasma generator employing the same
TWI472268B (en) * 2007-12-07 2015-02-01 Univ Sungkyunkwan Found Plasma source antenna having ferrite structures and plasma generating apparatus employing the same
JP2010123417A (en) * 2008-11-20 2010-06-03 Shibaura Mechatronics Corp Plasma density measuring probe, plasma density measuring device, plasma processing device, and plasma density measuring method
JP2018181633A (en) * 2017-04-14 2018-11-15 東京エレクトロン株式会社 Plasma processing apparatus and control method
WO2021245289A1 (en) * 2020-06-05 2021-12-09 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung eingetragener Verein Measuring probe for measuring variables characteristic for a plasma

Also Published As

Publication number Publication date
JP4418661B2 (en) 2010-02-17

Similar Documents

Publication Publication Date Title
US6744211B2 (en) Plasma density information measuring method, probe used for measuring plasma density information, and plasma density information measuring apparatus
JP3497091B2 (en) Method for controlling high frequency power for plasma generation and plasma generator
JP6846776B2 (en) Plasma processing equipment
JP4303654B2 (en) Plasma electron density measurement and monitoring equipment
US7404991B2 (en) Device and control method for micro wave plasma processing
JP2007059403A (en) Microwave resonance plasma generator, plasma processing system equipped with above generator and generating method of microwave resonance plasma of plasma processing system
KR102223834B1 (en) Chamber matching for power control mode
JPWO2007026859A1 (en) Planar resonant element for measuring plasma electron density, and method and apparatus for measuring plasma electron density
JP4418661B2 (en) Plasma density information measuring probe, plasma density information measuring apparatus, and plasma processing apparatus
US20180231587A1 (en) Voltage-current probe for measuring radio-frequency electrical power in a high-temperature environment and method of calibrating the same
Khater et al. A new inductively coupled plasma source design with improved azimuthal symmetry control
KR101456542B1 (en) Microwave Plasma Diagnosis Apparatus
JP3688173B2 (en) Probe for measuring plasma density information
JP2004103264A (en) Plasma monitoring method, plasma monitoring device and plasma treatment device
JP2002043093A (en) Method, device and probe for plasma density information measurement, method and device for plasma generation, and method and device for plasma treatment
JP2009087790A (en) Device and method for measuring electron density, and storage medium
JP2004055324A (en) Plasma density information measuring method and device, as well as plasma density information monitoring method and device, as well as plasma treatment method and device
JP2002216998A (en) Plasma density information measuring method and its equipment, and probe for plasma density information measurement, plasma processing method and its equipment
JP2005116362A (en) Plasma treatment device and plasma treatment method by microwave excitation
JP5546757B2 (en) Plasma density gauge, plasma density measuring device, plasma processing apparatus, and plasma density measuring method
Kancleris et al. Resistive sensor for high power microwave pulse measurement of TE01 mode in circular waveguide
JP2004039733A (en) Probe for measuring plasma density information, method and device for measuring plasma density information using the probe, and method and device for plasma treatment using the probe
Shimatani et al. A new microwave-excited plasma source using an internal dielectric microwave applicator
JP2003332319A (en) Plasma treatment apparatus and method therefor

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20061030

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090525

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090602

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090729

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20090819

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090825

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20090819

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091021

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20091117

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20091130

R150 Certificate of patent or registration of utility model

Ref document number: 4418661

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121204

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121204

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131204

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313117

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees