JP2005020011A - Apparatus and method for removing photoresist from substrate - Google Patents

Apparatus and method for removing photoresist from substrate Download PDF

Info

Publication number
JP2005020011A
JP2005020011A JP2004188017A JP2004188017A JP2005020011A JP 2005020011 A JP2005020011 A JP 2005020011A JP 2004188017 A JP2004188017 A JP 2004188017A JP 2004188017 A JP2004188017 A JP 2004188017A JP 2005020011 A JP2005020011 A JP 2005020011A
Authority
JP
Japan
Prior art keywords
photoresist
reactant
carbon dioxide
ozone
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2004188017A
Other languages
Japanese (ja)
Other versions
JP4489513B2 (en
Inventor
Donggyun Han
東 均 韓
Choi Sang-Jun
相 俊 崔
Hyung-Ho Ko
炯 浩 高
Hyo-San Lee
曉 山 李
Woo-Sung Han
宇 聲 韓
Changki Hong
昌 基 洪
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from KR10-2003-0042133A external-priority patent/KR100505693B1/en
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of JP2005020011A publication Critical patent/JP2005020011A/en
Application granted granted Critical
Publication of JP4489513B2 publication Critical patent/JP4489513B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/36Imagewise removal not covered by groups G03F7/30 - G03F7/34, e.g. using gas streams, using plasma
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0021Cleaning by methods not provided for in a single other subclass or a single group in this subclass by liquid gases or supercritical fluids
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/423Stripping or agents therefor using liquids only containing mineral acids or salts thereof, containing mineral oxidizing substances, e.g. peroxy compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching

Abstract

<P>PROBLEM TO BE SOLVED: To provide an apparatus and a method for removing photoresist from a substrate. <P>SOLUTION: The method of removing photoresist includes the steps of treating the photoresist using a first reactant so as to swell, crack, or peel the photoresist, processing the photoresist using a second reactant so as to chemically modify the photoresist, and removing the chemically modified photoresist using a third reactant. Here, the first reactant is supercritical carbon dioxide, the second reactant is ozone gas, and the third reactant is a deionized water. <P>COPYRIGHT: (C)2005,JPO&NCIPI

Description

本発明は微細電子素子を製造する装置及び方法に係り、特に、微細電子素子基板からフォトレジストを除去するのに使われる装置及び方法に関する。   The present invention relates to an apparatus and method for manufacturing a microelectronic element, and more particularly, to an apparatus and method used to remove a photoresist from a microelectronic element substrate.

フォトレジストは、光に露出した場合に、溶解性を有する物質に変わる有機ポリマーである。フォトレジストは、半導体産業、生命工学産業、立体映像産業、電子機器産業及びナノ製造産業などの多くの応用分野で使われている。例えば、半導体製造産業でチップを製造する間に回路パターンを定義することを手助けするのにフォトレジストを使用する。フォトレジストを用いると、フォトレジストで被覆されている領域のエッチング、または電気メッキが防止できる。   Photoresists are organic polymers that turn into soluble materials when exposed to light. Photoresists are used in many application fields such as semiconductor industry, biotechnology industry, stereoscopic image industry, electronic equipment industry and nano-manufacturing industry. For example, photoresist is used to help define circuit patterns during chip manufacturing in the semiconductor manufacturing industry. When a photoresist is used, etching or electroplating of a region covered with the photoresist can be prevented.

一般的に、「ストリッピング」として知られているフォトレジストを除去する工程に先行して、プラズマアッシング、エッチングまたは他の製造段階がある。このような段階によって、フォトレジストは損傷されるか、または炭化されるが、その結果、従来のストリッピング工程では除去し難いフォトレジスト残留物が発生する。特に、3×1015ions/cm以上のドーズでイオン注入を行うと、ソフトコアを覆う堅い外皮を備えたフォトレジストが作られる。イオン注入によって引き起こされた堅い外皮40’を備えたフォトレジストの外皮の断面図及び平面図が各々図1A及び図1Bに示される。図1A及び図1Bに示されるように、堅い外皮40’の厚さは、約200ないし300Åである。 Generally, there is a plasma ashing, etching or other manufacturing step that precedes the process of removing the photoresist, known as “stripping”. Such a step damages or carbonizes the photoresist, which results in photoresist residues that are difficult to remove by conventional stripping processes. In particular, when ion implantation is performed at a dose of 3 × 10 15 ions / cm 2 or more, a photoresist having a hard skin covering the soft core is produced. A cross-sectional view and a top view of a photoresist shell with a hard skin 40 'caused by ion implantation are shown in FIGS. 1A and 1B, respectively. As shown in FIGS. 1A and 1B, the thickness of the hard skin 40 ′ is about 200 to 300 mm.

図2は、イオン注入段階を示す断面図である。図2において、110は基板を、10はゲート電極を、11は絶縁膜を、20はnソース/ドレイン領域を、30はスペーサを、40はフォトレジストパターンを、そして50はウェルを表す。フォトレジストパターン40が、イオン注入工程45によって露出した場合、堅い外皮40’が前記フォトレジストパターン40上に形成される。   FIG. 2 is a cross-sectional view showing an ion implantation step. In FIG. 2, 110 represents a substrate, 10 represents a gate electrode, 11 represents an insulating film, 20 represents an n source / drain region, 30 represents a spacer, 40 represents a photoresist pattern, and 50 represents a well. When the photoresist pattern 40 is exposed by the ion implantation process 45, a hard skin 40 ′ is formed on the photoresist pattern 40.

残留物も問題となる。図3A及び図3Bは各々エッチング工程または化学機械的研磨(CMP:Chemical Mechanical Polishing)工程後に現れるフォトレジスト残留物を示す断面図及び平面図である。図3Aにおいて、110は基板を、60は被エッチング面を、70はフォトレジストパターンを、そして70’は注入されるイオン75にフォトレジスト70が露出した時に形成される堅い外皮を表す。図3A及び図3Bにおいて、80は残留物を、90は有機物性欠陥を表す。   Residues are also a problem. 3A and 3B are a cross-sectional view and a plan view showing a photoresist residue that appears after an etching process or a chemical mechanical polishing (CMP) process, respectively. In FIG. 3A, 110 represents a substrate, 60 represents a surface to be etched, 70 represents a photoresist pattern, and 70 'represents a hard skin formed when the photoresist 70 is exposed to ions 75 to be implanted. In FIG. 3A and FIG. 3B, 80 represents a residue and 90 represents an organic property defect.

従来、フォトレジストは、プラズマアッシング工程と後続するストリッピング工程とによって除去されていた。プラズマアッシング工程では、酸素(O)プラズマを使用するが、これはその下部層に損傷を与え、フォトレジスト下部に位置する半導体素子の電気的な特性を劣化させるという問題点がある。ストリッピング工程では、チップ基板から感光性ポリマーまたはフォトレジストを除去するため、多量の毒性及び/または腐蝕性の化学物質を必要とするという短所がある。 Conventionally, the photoresist has been removed by a plasma ashing process and a subsequent stripping process. In the plasma ashing process, oxygen (O 2 ) plasma is used, which has a problem in that the lower layer is damaged and the electrical characteristics of the semiconductor device located under the photoresist are deteriorated. The stripping process has a disadvantage in that it requires a large amount of toxic and / or corrosive chemicals to remove the photosensitive polymer or photoresist from the chip substrate.

このような問題点を克服するために、他のストリッピング方法、例えば、超臨界二酸化炭素(SCCO)またはオゾン(O)ガスを含む有機及び/または無機ストリッピング溶媒を使用する方法が提案された。SCCOを使用してレジストを除去する技術は、COと界面活性剤、アルコールまたはアミンなどの少なくとも一つの共通溶媒とを含む濃縮化されたCO洗浄用化合物を利用する。しかし、SCCOと共通溶媒とを使用する方法は、イオン注入により形成されたフォトレジストの堅い外皮を溶解させられない。 In order to overcome such problems, other stripping methods are proposed, for example, using organic and / or inorganic stripping solvents including supercritical carbon dioxide (SCCO 2 ) or ozone (O 3 ) gas. It was done. Technique of removing the resist using SCCO 2 is, CO 2 and the surfactant, using an alcohol or at least one CO 2 cleaning compounds enriched and a common solvent such as an amine. However, the method using SCCO 2 and a common solvent cannot dissolve the hard outer skin of the photoresist formed by ion implantation.

半導体ウェーハなどの基板からフォトレジストまたは他の有機物を除去する二番目の方法は、反応チャンバ内の溶媒、例えば、脱イオン水に基板を部分的に浸漬させる段階、Oなどの酸化用ガスを前記反応チャンバ内に噴射する段階、そして、前記基板上の有機物性構成要素上に溶媒で厚い膜をコーティングさせるように前記基板を回転または移動させ、前記溶媒がコーティングされた構成要素をOガスに露出させて前記表面から有機物を除去する段階を含む。しかし、Oを利用するこのようなレジスト除去方法も、イオン注入により形成された堅い外皮を溶解させられない。図4はレジストを除去するためにOを使用する技術による場合に、3×1015ions/cm以上のドーズでイオン注入を実施した時には、フォトレジストの堅い外皮によってフォトレジストを除去することが失敗したことを表すグラフである。 A second method for removing photoresist or other organics from a substrate such as a semiconductor wafer involves partially immersing the substrate in a solvent in the reaction chamber, eg, deionized water, and using an oxidizing gas such as O 3. stage is injected into the reaction chamber, and said on organic soluble components on the substrate is rotated or moved the substrate so as to coat the thick film with a solvent, the components the solvent is coated O 3 gas Exposing the substrate to organic matter from the surface. However, such a resist removal method using O 3 cannot dissolve the hard outer skin formed by ion implantation. FIG. 4 shows that when the ion implantation is performed at a dose of 3 × 10 15 ions / cm 2 or more according to the technique using O 3 to remove the resist, the photoresist is removed by the hard skin of the photoresist. It is a graph showing that failed.

本発明が解決しようとする技術的課題は、基板からフォトレジストを除去する方法及びその装置を提供することにある。   A technical problem to be solved by the present invention is to provide a method and an apparatus for removing a photoresist from a substrate.

前記課題を達成するために本発明の一実施例による基板からフォトレジストを除去する方法は、まずフォトレジストを膨潤させるか、クラックを発生させるか、または剥離させるように第1反応物で前記フォトレジストを処理する段階、前記フォトレジストを第2反応物で処理することによって前記フォトレジストを化学的に変性させる段階及び第3反応物を使用して前記化学的に変性されたフォトレジストを除去する段階を含む。   In order to achieve the above object, a method of removing photoresist from a substrate according to an embodiment of the present invention includes firstly swelling the photoresist, generating cracks, or peeling the photoresist with a first reactant. Treating the resist; chemically modifying the photoresist by treating the photoresist with a second reactant; and removing the chemically modified photoresist using a third reactant. Including stages.

前記課題を達成するために本発明の他の実施例による基板からフォトレジストを除去する方法は、SCCOを使用して前記フォトレジストを処理する段階、Oを主成分にする反応物を使用して前記フォトレジストを処理する段階及び脱イオン水で前記フォトレジストを処理する段階を含む。 According to another embodiment of the present invention, a method for removing a photoresist from a substrate is performed by using SCCO 2 to process the photoresist, and using a reactant based on O 3. And treating the photoresist with deionized water.

前記課題を達成するために本発明のさらに他の実施例による基板からフォトレジストを除去する方法は、前記基板をチャンバ内にローディング(loading)する段階、前記チャンバ内に第1反応物を注入し、前記第1反応物を超臨界状態に転換(convert)させる段階、前記基板及び前記超臨界第1反応物の接触を維持させる段階、前記チャンバを減圧させる段階、第2反応物を前記チャンバ内に注入する段階、前記基板及び前記第2反応物の接触を維持させる段階、前記チャンバをパージし、前記基板をアンローディングする段階、前記フォトレジストを除去する段階及び前記基板を乾燥させる段階を含む。   According to another aspect of the present invention, there is provided a method of removing photoresist from a substrate by loading the substrate into a chamber, and injecting a first reactant into the chamber. Converting the first reactant to a supercritical state; maintaining contact between the substrate and the supercritical first reactant; depressurizing the chamber; and passing a second reactant into the chamber. Injecting into the substrate, maintaining contact between the substrate and the second reactant, purging the chamber and unloading the substrate, removing the photoresist, and drying the substrate. .

前記本発明の一実施例による基板からフォトレジストを除去する装置は、少なくとも一つ以上のチャンバ及びトランスファ手段を含む。前記一つ以上のチャンバは、前記フォトレジストを膨潤させるか、クラックを発生させるか、または剥離させるためのもの、第2反応物で前記フォトレジストを処理して前記フォトレジストを化学的に変性させるためのもの、前記基板をリンスするためのもの、前記基板を乾燥させるためのもの及び前記基板を支持するためのものでありうる。そして、前記トランスファ手段は、前記チャンバ間で前記基板を移すためのものである。   The apparatus for removing photoresist from a substrate according to an embodiment of the present invention includes at least one chamber and transfer means. The one or more chambers are for swelling, cracking or stripping the photoresist, treating the photoresist with a second reactant to chemically modify the photoresist. For rinsing the substrate, for drying the substrate, and for supporting the substrate. The transfer means is for transferring the substrate between the chambers.

本発明によれば、本発明は堅い外皮以外に一般的なフォトレジストを除去するための目的としても使用されうる。その上、フォトレジストの下部に位置する物質膜に損傷を与えない。また、有機汚染物質を使用することもなく、有機残留物を残さない。   According to the present invention, the present invention can also be used for the purpose of removing common photoresist besides hard skin. In addition, the material film located under the photoresist is not damaged. Also, no organic contaminants are used and no organic residue is left.

本発明は後述する実施例の詳細な説明及び添付図面を参照すれば、さらに明確に理解されうる。このような実施例及び添付図面は、例示的に提供されるものであって、本発明を制限すると解釈してはならない。   The present invention can be more clearly understood with reference to the following detailed description of embodiments and the accompanying drawings. Such examples and the accompanying drawings are provided by way of example and should not be construed to limit the invention.

本発明は、基板からフォトレジストを除去する方法において、該フォトレジストを膨潤させるか、クラックを発生させるか、または剥離させるように第1反応物(反応物質)で前記フォトレジストを処理する段階と、該フォトレジストを化学的に変性させるように第2反応物で該フォトレジストを処理する段階と、第3反応物で該化学的に変性したフォトレジストを除去する段階と、を含む。前記フォトレジストは、イオン注入工程でマスクとして使われたフォトレジストであることが好ましい。前記イオン注入工程は、3×1015ions/cmまたはそれ以上のドーズで行われることが好ましい。特に、上限は限定されないが、通常、1×1020ions/cmである。前記第1反応物は、超臨界二酸化炭素であることが好ましい。前記超臨界二酸化炭素は、100ないし150℃の温度及び150ないし200barの圧力下にあることが好ましい。前記第2反応物は、オゾンを主とする反応物であることが好ましい。前記オゾンを主とする反応物は、オゾンガスであることが好ましい。前記オゾンを主とする反応物は、オゾンガスと水蒸気との混合物であることが好ましい。前記オゾンガスは、105ないし115℃の温度及び60ないし80kPaの圧力下にあることが好ましい。前記オゾンガスは、90,000ppmまたはそれ以上の濃度であることが好ましい。特に、上限は限定されないが、通常、500,000ppmである。前記化学的に変性されたフォトレジストは、リンス工程によって除去することが好ましい。前記第3反応物は、脱イオン水であることが好ましい。前記フォトレジストは、何らの損傷も発生していない一般的なフォトレジストであることが好ましい。前記フォトレジストは、エッチング工程によって損傷を受けたフォトレジストであることが好ましい。前記フォトレジストは、有機残留物または有機汚染物質を含むことが好ましい。 The present invention relates to a method of removing a photoresist from a substrate, and the step of treating the photoresist with a first reactant (reactant) so as to swell, crack, or peel the photoresist. Treating the photoresist with a second reactant to chemically modify the photoresist, and removing the chemically modified photoresist with a third reactant. The photoresist is preferably a photoresist used as a mask in an ion implantation process. The ion implantation process is preferably performed at a dose of 3 × 10 15 ions / cm 2 or more. In particular, the upper limit is not limited, but is usually 1 × 10 20 ions / cm 2 . The first reactant is preferably supercritical carbon dioxide. The supercritical carbon dioxide is preferably at a temperature of 100 to 150 ° C. and a pressure of 150 to 200 bar. The second reactant is preferably a reactant mainly composed of ozone. The reactant mainly composed of ozone is preferably ozone gas. The reactant mainly composed of ozone is preferably a mixture of ozone gas and water vapor. The ozone gas is preferably at a temperature of 105 to 115 ° C. and a pressure of 60 to 80 kPa. The ozone gas preferably has a concentration of 90,000 ppm or more. In particular, the upper limit is not limited, but is usually 500,000 ppm. The chemically modified photoresist is preferably removed by a rinsing process. The third reactant is preferably deionized water. The photoresist is preferably a general photoresist that does not cause any damage. The photoresist is preferably a photoresist damaged by an etching process. The photoresist preferably includes organic residues or organic contaminants.

また本発明は、基板からフォトレジストを除去する方法において、該フォトレジストを超臨界二酸化炭素で処理する段階と、該フォトレジストをオゾンを主とする反応物で処理する段階と、該フォトレジストを脱イオン水で除去する段階と、を含む。前記超臨界二酸化炭素は、100ないし150℃の温度及び150ないし200barの圧力下にあることが好ましい。前記オゾンを主とする反応物は、105ないし115℃の温度及び60ないし80kPaの圧力下にあるオゾンガスであることが好ましい。   The present invention also provides a method of removing a photoresist from a substrate, a step of treating the photoresist with supercritical carbon dioxide, a step of treating the photoresist with a reactant mainly composed of ozone, Removing with deionized water. The supercritical carbon dioxide is preferably at a temperature of 100 to 150 ° C. and a pressure of 150 to 200 bar. The reactant mainly composed of ozone is preferably ozone gas at a temperature of 105 to 115 ° C. and a pressure of 60 to 80 kPa.

さらに、本発明は、基板からフォトレジストを除去する方法において、該基板をチャンバ内にローディングする段階と、第1反応物を該チャンバ内へ流入させ、該第1反応物を超臨界状態に転換させる段階と、該基板と該超臨界状態の第1反応物とを所定時間接触させる段階と、該チャンバ内を減圧する段階と、第2反応物を該チャンバ内へ流入させる段階と、該基板と該第2反応物とを所定時間接触させる段階と、該チャンバをパージし、該基板をアンローディングする段階と、該フォトレジストを除去する段階と、該基板を乾燥させる段階と、を含む。前記第2反応物を注入する段階以前に、前記基板を第2チャンバにローディングする段階をさらに含み、前記接触段階と前記パージ段階とは前記第2チャンバで進められることが好ましい。前記第1反応物は、超臨界二酸化炭素であることが好ましい。前記超臨界二酸化炭素は、100ないし150℃の温度及び150ないし200barの圧力下にあることが好ましい。前記第2反応物は、オゾンを主とする反応物であることが好ましい。前記オゾンを主とする反応物は、オゾンガスであることが好ましい。前記チャンバと前記オゾンを主とする反応物との間には10ないし15℃の温度差があることが好ましい。前記チャンバの温度は105℃であり、前記オゾンを主とする反応物は115℃の温度と60ないし80kPaの圧力下にあることが好ましい。前記オゾンを主とする反応物の濃度は、90,000ppmであることが好ましい。前記リンス段階は、脱イオン水で行うことが好ましい。前記超臨界二酸化炭素は、前記フォトレジストを膨潤させるか、クラックを発生させるか、または剥離させる役割を担うことが好ましい。前記オゾンガスは、前記フォトレジストを水溶性物質に変性させることが好ましい。   Furthermore, the present invention provides a method for removing a photoresist from a substrate, the step of loading the substrate into a chamber, and flowing a first reactant into the chamber to convert the first reactant to a supercritical state. Bringing the substrate into contact with the first reactant in the supercritical state for a predetermined time; depressurizing the chamber; flowing the second reactant into the chamber; and And contacting the second reactant for a predetermined time, purging the chamber and unloading the substrate, removing the photoresist, and drying the substrate. Preferably, the method further includes loading the substrate into the second chamber before the step of injecting the second reactant, and the contacting step and the purging step are performed in the second chamber. The first reactant is preferably supercritical carbon dioxide. The supercritical carbon dioxide is preferably at a temperature of 100 to 150 ° C. and a pressure of 150 to 200 bar. The second reactant is preferably a reactant mainly composed of ozone. The reactant mainly composed of ozone is preferably ozone gas. There is preferably a temperature difference of 10 to 15 ° C. between the chamber and the ozone-based reactant. The temperature of the chamber is 105 ° C., and the ozone-based reactant is preferably at a temperature of 115 ° C. and a pressure of 60 to 80 kPa. The concentration of the reactant mainly composed of ozone is preferably 90,000 ppm. The rinsing step is preferably performed with deionized water. The supercritical carbon dioxide preferably plays a role of causing the photoresist to swell, generate cracks, or peel off. The ozone gas preferably denatures the photoresist into a water-soluble substance.

本発明は、基板からフォトレジストを除去する装置において、該フォトレジストを膨潤させるか、クラックを発生させるか、または剥離させるように該フォトレジストを第1反応物で処理し、該フォトレジストを化学的に変性させるように該フォトレジストを第2反応物で処理し、該基板をリンスし、該基板を乾燥させ、そして該基板を支持するための一つ以上のチャンバと、該チャンバ間で該基板を移すためのトランスファ手段と、を含む。前記フォトレジスト除去装置は、前記フォトレジストを膨潤させるか、クラックを発生させるか、または剥離させるように前記フォトレジストを第1反応物で処理し、前記フォトレジストを化学的に変性させるように前記フォトレジストを第2反応物で処理するための単一チャンバを備えることが好ましい。前記フォトレジスト除去装置は、前記フォトレジストを膨潤させるか、クラックを発生させるか、または剥離させるように前記フォトレジストを第1反応物で処理するためのチャンバと前記フォトレジストを化学的に変性させるように前記フォトレジストを第2反応物で処理するためのチャンバとを個別的に備えることが好ましい。前記フォトレジスト除去装置は、それぞれの工程を行うための個別チャンバを備えることが好ましい。前記トランスファ手段は、ロボットアームであることが好ましい。前記フォトレジストは、イオン注入工程でマスクとして使われたフォトレジストであることが好ましい。前記イオン注入工程は、3×1015ions/cmまたはそれ以上のドーズで行われることが好ましい。前記第1反応物は、超臨界二酸化炭素であることが好ましい。前記超臨界二酸化炭素は、100ないし150℃の温度及び150ないし200barの圧力下にあることが好ましい。 The present invention provides an apparatus for removing a photoresist from a substrate, treating the photoresist with a first reactant so that the photoresist is swollen, cracked, or stripped. Treating the photoresist with a second reactant to denature the substrate, rinsing the substrate, drying the substrate, and supporting the substrate between the chambers and the chamber Transfer means for transferring the substrate. The photoresist removing apparatus treats the photoresist with a first reactant so as to swell, generate cracks, or peel off the photoresist, and chemically modify the photoresist. Preferably, a single chamber is provided for processing the photoresist with the second reactant. The photoresist removing apparatus chemically modifies the photoresist and a chamber for treating the photoresist with a first reactant so that the photoresist is swollen, cracked, or stripped. Preferably, a chamber for treating the photoresist with the second reactant is separately provided. Preferably, the photoresist removing apparatus includes an individual chamber for performing each process. The transfer means is preferably a robot arm. The photoresist is preferably a photoresist used as a mask in an ion implantation process. The ion implantation process is preferably performed at a dose of 3 × 10 15 ions / cm 2 or more. The first reactant is preferably supercritical carbon dioxide. The supercritical carbon dioxide is preferably at a temperature of 100 to 150 ° C. and a pressure of 150 to 200 bar.

さらに、基板からフォトレジストを除去する装置において、前記第2反応物は、オゾンを主とする反応物であることが好ましい。前記オゾンを主とする反応物は、オゾンガスであることが好ましい。前記オゾンガスは、105ないし115℃の温度及び60ないし80kPaの圧力下にあることが好ましい。前記オゾンガスのオゾン発生器での濃度は、90,000ppmまたはそれ以上であることが好ましい。前記リンスは、脱イオン水を使用して行うことが好ましい。前記第1反応物は、超臨界二酸化炭素であり、前記第2反応物はオゾンであり、前記チャンバはヒータジャケット、二酸化炭素ソース、超臨界二酸化炭素発生器、超臨界二酸化炭素循環器、二酸化炭素フィードバック、オゾンガス供給器及びオゾンガス貯蔵容器を含むことが好ましい。前記超臨界二酸化炭素発生器は、二酸化炭素圧力ポンプ及び二酸化炭素加熱器を含むことが好ましい。前記第1反応物は、超臨界二酸化炭素であり、前記第1個別チャンバはヒータジャケット、二酸化炭素ソース、超臨界二酸化炭素発生器、超臨界二酸化炭素循環器及び二酸化炭素フィードバックを含むことが好ましい。前記超臨界二酸化炭素発生器は、二酸化炭素圧力ポンプ及び二酸化炭素加熱器を含むことが好ましい。前記第2反応物は、オゾンを主とする反応物であり、前記第1個別チャンバはヒータジャケット、オゾンガス供給器、水蒸気供給器及びオゾンガス貯蔵容器を含むことが好ましい。前記オゾンを主とする反応物は、オゾンガスであることが好ましい。   Furthermore, in the apparatus for removing the photoresist from the substrate, the second reactant is preferably a reactant mainly composed of ozone. The reactant mainly composed of ozone is preferably ozone gas. The ozone gas is preferably at a temperature of 105 to 115 ° C. and a pressure of 60 to 80 kPa. The concentration of the ozone gas in the ozone generator is preferably 90,000 ppm or more. The rinsing is preferably performed using deionized water. The first reactant is supercritical carbon dioxide, the second reactant is ozone, and the chamber is a heater jacket, a carbon dioxide source, a supercritical carbon dioxide generator, a supercritical carbon dioxide circulator, carbon dioxide It is preferable to include a feedback, an ozone gas supply device and an ozone gas storage container. The supercritical carbon dioxide generator preferably includes a carbon dioxide pressure pump and a carbon dioxide heater. Preferably, the first reactant is supercritical carbon dioxide, and the first individual chamber includes a heater jacket, a carbon dioxide source, a supercritical carbon dioxide generator, a supercritical carbon dioxide circulator, and carbon dioxide feedback. The supercritical carbon dioxide generator preferably includes a carbon dioxide pressure pump and a carbon dioxide heater. The second reactant is a reactant mainly composed of ozone, and the first individual chamber preferably includes a heater jacket, an ozone gas supply device, a water vapor supply device, and an ozone gas storage container. The reactant mainly composed of ozone is preferably ozone gas.

図5は本発明の一実施例による基板からフォトレジストを除去するための装置を示す。図5において、前記装置は、一つ以上のチャンバ100を含む。そして、少なくとも一つの基板が前記少なくとも一つのチャンバ100に提供される。基板110は、カセット120を通じて提供されることもある。前記装置はまた、トランスファチャンバ200、SCCO処理チャンバ300、Oガス処理チャンバ400、リンス(または、バス)チャンバ500及び乾燥チャンバ600をさらに含むこともある。機械的な手段またはロボットアーム210などの電気機械的な手段によって一つのチャンバ100から他のチャンバ600に基板110は移動する。 FIG. 5 illustrates an apparatus for removing photoresist from a substrate according to one embodiment of the present invention. In FIG. 5, the apparatus includes one or more chambers 100. Then, at least one substrate is provided in the at least one chamber 100. The substrate 110 may be provided through the cassette 120. The apparatus may also further include a transfer chamber 200, an SCCO 2 processing chamber 300, an O 3 gas processing chamber 400, a rinse (or bath) chamber 500 and a drying chamber 600. The substrate 110 is moved from one chamber 100 to another chamber 600 by mechanical means or electromechanical means such as a robot arm 210.

図6は本発明の実施例による図5のSCCO処理チャンバ及び関連構成要素を示す。図6において、300はSCCO処理チャンバ、301はウェーハプレート、305はヒータジャケット、310はCOシリンダーなどの二酸化炭素ソース、312は二酸化炭素供給管、314は二酸化炭素圧力ポンプ、316は二酸化炭素加熱器を表す。また、317はSCCO発生器、318、328、338及び348は二酸化炭素流量調節弁、320は排出される二酸化炭素貯蔵容器などの二酸化炭素フィードバック、322は二酸化炭素排ガス管、332は循環管、そして342は再供給管を表す。さらに、334は循環ポンプなどの超臨界二酸化炭素循環器を示す。 FIG. 6 illustrates the SCCO 2 processing chamber and associated components of FIG. 5 according to an embodiment of the present invention. In FIG. 6, 300 is an SCCO 2 processing chamber, 301 is a wafer plate, 305 is a heater jacket, 310 is a carbon dioxide source such as a CO 2 cylinder, 312 is a carbon dioxide supply pipe, 314 is a carbon dioxide pressure pump, and 316 is carbon dioxide. Represents a heater. 317 is a SCCO 2 generator, 318, 328, 338 and 348 are carbon dioxide flow rate control valves, 320 is carbon dioxide feedback such as a carbon dioxide storage container to be discharged, 322 is a carbon dioxide exhaust pipe, 332 is a circulation pipe, Reference numeral 342 represents a resupply pipe. Reference numeral 334 denotes a supercritical carbon dioxide circulator such as a circulation pump.

図7は本発明の実施例による図5のOガス処理チャンバ400を示す。図7において、400はOガス処理チャンバ、401はウェーハプレート、405はヒータジャケット、410はOガス供給器、412はOガス供給管、そして418はO流量調節弁を表す。また、420は水蒸気供給器、422は水蒸気供給管、428は水蒸気流量調節弁を表す。前記Oガス処理チャンバ400は、Oガス貯蔵容器430、排ガス管432及び排ガス流量調節弁438をさらに含む。 FIG. 7 illustrates the O 3 gas processing chamber 400 of FIG. 5 according to an embodiment of the present invention. In FIG. 7, 400 is an O 3 gas processing chamber, 401 is a wafer plate, 405 is a heater jacket, 410 is an O 3 gas supply unit, 412 is an O 3 gas supply pipe, and 418 is an O 3 flow control valve. Reference numeral 420 denotes a steam supply unit, 422 denotes a steam supply pipe, and 428 denotes a steam flow rate control valve. The O 3 gas processing chamber 400 further includes an O 3 gas storage container 430, an exhaust gas pipe 432, and an exhaust gas flow rate control valve 438.

図8Aは本発明の一実施例による基板からフォトレジストを除去する方法を表すフローチャートを、図8Bは前記図8Aのフローチャートに対応する時間と圧力との関係をグラフに示す。まず、基板110が前記SCCO処理チャンバ300にローディングされる(段階42)。そして、二酸化炭素を前記SCCO処理チャンバ300に流入させ、SCCOに転換させる(段階44)。そして、前記SCCOが前記基板110と接触するように維持する(段階46)。そして、前記SCCO処理チャンバ300を減圧した後、前記ウェーハ110をアンローディングする(段階48)。そして、前記基板110をOガス処理チャンバ400にローディングした後(段階50)、所定の条件下で前記Oガス処理チャンバ400にOガスを流入させる(段階52)。そして、前記Oガスを前記基板110と接触させるように維持する(段階54)。そして、前記Oガスチャンバ400をパージし、基板110をアンローディング(unloading)する(段階56)。そして、基板110はリンス工程を行うためにリンスまたはバスチャンバ500に移動させた後(段階58)、乾燥工程を行うために基板110を乾燥チャンバ600に移動させる(段階60)。 FIG. 8A is a flowchart showing a method of removing a photoresist from a substrate according to an embodiment of the present invention, and FIG. 8B is a graph showing the relationship between time and pressure corresponding to the flowchart of FIG. 8A. First, the substrate 110 is loaded into the SCCO 2 processing chamber 300 (step 42). Carbon dioxide is then flowed into the SCCO 2 processing chamber 300 and converted to SCCO 2 (step 44). Then, the SCCO 2 is maintained in contact with the substrate 110 (step 46). Then, the pressure was reduced the SCCO 2 processing chamber 300 and unloading the wafer 110 (step 48). After loading the substrate 110 into the O 3 gas processing chamber 400 (step 50), O 3 gas is allowed to flow into the O 3 gas processing chamber 400 under predetermined conditions (step 52). Then, the O 3 gas is maintained in contact with the substrate 110 (step 54). Then, the O 3 gas chamber 400 is purged and the substrate 110 is unloaded (step 56). Then, the substrate 110 is moved to the rinse or bath chamber 500 to perform a rinsing process (step 58), and then the substrate 110 is moved to the drying chamber 600 to perform a drying process (step 60).

図5の実施例では多重チャンバを備える装置について記述されているが、本発明は一体型チャンバを備える装置についても適用可能である。   Although the embodiment of FIG. 5 describes an apparatus with multiple chambers, the present invention is also applicable to an apparatus with an integral chamber.

図9Aは一体型チャンバを使用する本発明の一実施例を表すフローチャートを、図9Bは図9Aに対応する時間に対する圧力をグラフに示す。   FIG. 9A is a flow chart representing one embodiment of the present invention using an integrated chamber, and FIG. 9B graphically illustrates pressure versus time corresponding to FIG. 9A.

図9Aにおいて、まず基板110を一体型チャンバ110にローディングする(段階62)。そして、二酸化炭素を前記一体型チャンバに注入してSCCOに転換させる(段階64)。そして、前記SCCOを前記基板110と所定の時間接触させる(段階66)。そして、前記一体型チャンバを減圧し(段階68)、Oガスを注入する(段階70)。そして、前記Oガスを前記基板110と所定時間接触させた後(段階72)、前記一体型チャンバをパージし、前記基板110をアンローディングする(段階74)。次いで、前記一体型チャンバの外部で前記基板110をリンスした後、乾燥させる(段階76及び78)。 In FIG. 9A, the substrate 110 is first loaded into the integrated chamber 110 (step 62). Carbon dioxide is then injected into the integrated chamber to convert to SCCO 2 (step 64). Then, the SCCO 2 contacting the substrate 110 and the predetermined time (step 66). Then, the integrated chamber is depressurized (step 68) and O 3 gas is injected (step 70). Then, after the O 3 gas is brought into contact with the substrate 110 for a predetermined time (step 72), the integrated chamber is purged and the substrate 110 is unloaded (step 74). Next, the substrate 110 is rinsed outside the integrated chamber and then dried (steps 76 and 78).

図10には二酸化炭素の状態図が示されているが、前記状態図は二酸化炭素が超臨界状態に転換される温度に対する圧力領域が示されている。   FIG. 10 shows a phase diagram of carbon dioxide, which shows a pressure region with respect to a temperature at which carbon dioxide is converted to a supercritical state.

図11は本発明のさらに他の実施例による基板からフォトレジストを除去する方法を表すフローチャートを示す。図11において、まず基板110を第1圧力チャンバにローディングする(段階802)。そして、前記第2圧力チャンバを密閉する(段階804)。そして、前記第2圧力チャンバを二酸化炭素で加圧し(段階806)、圧力と温度とを増加させて前記二酸化炭素をSCCOに転換させる(段階808)。二酸化炭素をSCCOに転換させるためには、図10に示されるように、圧力は73barより大きくなければならず、温度も31℃より高くなければならない。そして、所定時間前記SCCOを前記基板110と接触させる(段階810)。前記工程の結果、前記基板110上にあるフォトレジストは膨潤するか、クラックが発生するか及び/または剥離する。例えば、温度を約100℃に維持し、圧力を約150barに維持すれば、前記結果が得られる。そして、前記チャンバを常圧まで減圧した後に排気する(段階812)。そして、前記基板110を第2圧力チャンバに移した後(段階814)、前記第2圧力チャンバを密閉する(段階816)。そして、前記第2圧力チャンバ内部の圧力を上昇させる(段階818)。例えば、60kPaより高い圧力に上昇させうる。 FIG. 11 shows a flowchart representing a method of removing photoresist from a substrate according to yet another embodiment of the present invention. In FIG. 11, the substrate 110 is first loaded into the first pressure chamber (step 802). Then, the second pressure chamber is sealed (step 804). Then, the second pressure chamber is pressurized with carbon dioxide (step 806), and the pressure and temperature are increased to convert the carbon dioxide to SCCO 2 (step 808). In order to convert carbon dioxide to SCCO 2 , the pressure must be greater than 73 bar and the temperature must be greater than 31 ° C., as shown in FIG. Then, the SCCO 2 is brought into contact with the substrate 110 for a predetermined time (step 810). As a result of the process, the photoresist on the substrate 110 swells, cracks and / or peels off. For example, if the temperature is maintained at about 100 ° C. and the pressure is maintained at about 150 bar, the above result can be obtained. Then, the chamber is evacuated after being reduced to normal pressure (step 812). Then, after the substrate 110 is transferred to the second pressure chamber (step 814), the second pressure chamber is sealed (step 816). Then, the pressure inside the second pressure chamber is increased (step 818). For example, it can be increased to a pressure higher than 60 kPa.

そして、前記段階818で加熱されたOガスと水蒸気とを供給する。例えば、Oガスは約105℃の温度で供給し、水蒸気は約115℃の温度で供給する。そして、前記フォトレジストが水溶性物質に変性されるまで前記状態を維持した後(段階820)、前記第2チャンバを常圧まで減圧して排気する(段階822)。そして、前記基板をリンスして前記水溶性物質を除去する(段階824)。 Then, it supplies the O 3 gas and water vapor which has been heated in the step 818. For example, O 3 gas is supplied at a temperature of about 105 ° C., and water vapor is supplied at a temperature of about 115 ° C. Then, after maintaining the state until the photoresist is denatured into a water-soluble substance (step 820), the second chamber is decompressed to normal pressure and evacuated (step 822). Then, the substrate is rinsed to remove the water-soluble material (step 824).

本発明の実施例による基板からフォトレジストを除去する方法は、大きく3段階を含む。第1段階は、フォトレジストを膨潤させるか、クラックを発生させるか、または剥離させるように第1反応物で処理する段階であり、第2段階は前記フォトレジストが化学的に変性されるように第2反応物で処理する段階であり、第3段階は前記化学的に変性されたフォトレジストを第3反応物で除去する段階である。前述した一実施例で、前記第1反応物はSCCOであり、前記第2反応物はOを主とする反応物であり、そして前記第3化合物は脱イオン水である。前述した他の実施例で、前記Oを主とする反応物はOガスであり、さらに他の実施例では高濃度のOガスである。そして、前記Oガスは、90,000ppmの濃度であるか、またはそれ以上の濃度でありうる。さらに他の実施例では、前記Oを主とするガスは水蒸気と混合したOガスでありうる。 The method for removing photoresist from a substrate according to an embodiment of the present invention generally includes three steps. The first step is to treat the photoresist with a first reactant to swell, generate cracks, or peel off, and the second step is to chemically modify the photoresist. A third step is a step of treating with the second reactant, and a third step is a step of removing the chemically modified photoresist with the third reactant. In one embodiment described above, the first reactant is SCCO 2, the second reactant is a reactant that mainly O 3, and the third compound is deionized water. In another embodiment described above, the reaction mainly composed of the O 3 is the O 3 gas, and in yet another embodiment is a high concentration O 3 gas. Then, the O 3 gas may be a concentration or where more concentration 90,000Ppm. In yet another embodiment, the gas to the O 3 as the main may be a O 3 gas mixed with water vapor.

本発明の他の実施例による基板からフォトレジストを除去する方法は、3段階を含む。第1段階は、SCCOで処理する段階で、第2段階はOを主とする反応物で処理する段階で、第3段階はリンス段階である。前記3段階は、次の例示的な工程条件で行える。例えば、SCCOで処理する段階では、チャンバ内部の温度は100ないし150℃に維持され、圧力は150ないし200barに維持されうる。高濃度で飽和されたOを主とするガスで処理する段階の場合には、チャンバの温度は約105℃に維持され、前記ガスの温度は約115℃に維持されうる。実施例の場合に、チャンバと前記ガスとの温度差は約10ないし15℃であり、圧力差は約60ないし80kPaでありうる。適切な安全主義の措置が遵守される場合には、80kPa以上の圧力差を維持することも可能である。実施例において、O発生器におけるOガスの濃度は、約90,000ppmであるか、またはそれ以上でありうる。 A method for removing photoresist from a substrate according to another embodiment of the present invention includes three steps. The first stage is a stage where SCCO 2 is treated, the second stage is a stage where O 3 is mainly treated with a reactant, and the third stage is a rinse stage. The three steps can be performed under the following exemplary process conditions. For example, in the process with SCCO 2 , the temperature inside the chamber may be maintained at 100 to 150 ° C., and the pressure may be maintained at 150 to 200 bar. In the case of treating high saturated O 3 with a main gas, the temperature of the chamber can be maintained at about 105 ° C., and the temperature of the gas can be maintained at about 115 ° C. In an embodiment, the temperature difference between the chamber and the gas may be about 10-15 ° C., and the pressure difference may be about 60-80 kPa. It is also possible to maintain a pressure difference of more than 80 kPa if appropriate safety principle measures are observed. In an embodiment, the concentration of O 3 gas in the O 3 generator can be about 90,000 ppm or higher.

図5ないし図7に示される本発明の実施例による装置の配列は例示的なものであり、当業者によって色々な形態に変形、付加、置換が可能である。また、図8A、図9A及び図11に示される本発明の実施例による方法も例示的であり、当業者によって色々な段階が変形、付加または置換されうる。   The arrangement of the apparatus according to the embodiment of the present invention shown in FIGS. 5 to 7 is exemplary, and various modifications, additions, and substitutions can be made by those skilled in the art. The method according to the embodiment of the present invention shown in FIGS. 8A, 9A, and 11 is also exemplary, and various steps can be modified, added, or replaced by those skilled in the art.

以上、添付図面を参照して本発明の実施例を記述するが、本発明は色々な形態に変形が可能であり、このような変形は本発明の技術的思想を逸脱するものではない。   As mentioned above, although the Example of this invention is described with reference to an accompanying drawing, this invention can be deform | transformed into various forms, and such a deformation | transformation does not deviate from the technical idea of this invention.

本発明は高集積回路半導体素子、プロセッサ、MEM’s(Micro Electro Mechnical)素子、光電子素子、ディスプレイ素子などの微細電子素子を製造する産業に利用されうる。   INDUSTRIAL APPLICABILITY The present invention can be used in the industry for manufacturing fine electronic devices such as highly integrated circuit semiconductor devices, processors, MEM's (Micro Electro Mechanical) devices, optoelectronic devices, and display devices.

はイオン注入によって引き起こされた堅い外皮を含むフォトレジストを示す断面図である。FIG. 2 is a cross-sectional view of a photoresist including a hard skin caused by ion implantation. はイオン注入によって引き起こされた堅い外皮を含むフォトレジストを示す平面図である。FIG. 2 is a plan view showing a photoresist including a hard skin caused by ion implantation. 従来のイオン注入工程を示す断面図である。It is sectional drawing which shows the conventional ion implantation process. は従来のエッチング工程または従来の化学機械的研磨工程後に現れる残留物を示すフォトレジストの断面図である。FIG. 3 is a cross-sectional view of a photoresist showing residues that appear after a conventional etching process or a conventional chemical mechanical polishing process. は従来のエッチング工程または従来の化学機械的研磨工程後に現れる残留物を示すフォトレジストに関する平面図である。FIG. 3 is a plan view of a photoresist showing residues that appear after a conventional etching process or a conventional chemical mechanical polishing process. 3×1015ions/cm以上のドーズで実施されたイオン注入工程によって引き起こされたフォトレジストの堅い外皮を従来のレジスト除去技術によってOを使用する技術で除去した時、前記堅い外皮が除去されていないことを示す図面である。When the hard skin of the photoresist caused by the ion implantation process performed at a dose of 3 × 10 15 ions / cm 2 or more is removed by a technique using O 3 by a conventional resist removal technique, the hard skin is removed. It is drawing which shows not having been carried out. 本発明の一実施例による基板からフォトレジストを除去するための装置である。1 is an apparatus for removing photoresist from a substrate according to one embodiment of the present invention. 本発明の一実施例による図5のSCCO処理チャンバ及びこれに関連した構成要素を示す図面である。6 is a diagram illustrating the SCCO 2 processing chamber of FIG. 5 and related components according to one embodiment of the present invention. 本発明の一実施例による図5のOガス処理チャンバを示す図面である。6 is a diagram illustrating the O 3 gas processing chamber of FIG. 5 according to an embodiment of the present invention. は本発明の一実施例による基板からフォトレジストを除去する方法についてのフローチャートである。FIG. 4 is a flowchart of a method for removing a photoresist from a substrate according to an embodiment of the present invention. は図8Aのフローチャートに対応する時間と圧力との関係を表すグラフの一例を示す図面である。FIG. 9B is a drawing showing an example of a graph representing the relationship between time and pressure corresponding to the flowchart of FIG. 8A. は一体型洗浄チャンバで実行される本発明の一実施例による基板からフォトレジストを除去する方法についてのフローチャートである。FIG. 4 is a flowchart for a method of removing photoresist from a substrate according to an embodiment of the present invention performed in an integrated cleaning chamber. は図9Aのフローチャートに対応する時間と圧力との関係を表すグラフの一例を示す図面である。FIG. 9B is a drawing showing an example of a graph representing the relationship between time and pressure corresponding to the flowchart of FIG. 9A. COに関する状態図であって、COが超臨界状態に変化する領域での温度と圧力との関係を示すグラフである。It is a state diagram regarding CO 2 , and is a graph showing a relationship between temperature and pressure in a region where CO 2 changes to a supercritical state. 本発明の他の実施例による基板からフォトレジストを除去する方法を示すフローチャートである。6 is a flowchart illustrating a method of removing a photoresist from a substrate according to another embodiment of the present invention.

符号の説明Explanation of symbols

100 チャンバ
110 基板
120 カセット
200 トランスファチャンバ
210 ロボットアーム
300 SCCO処理チャンバ
400 Oガス処理チャンバ
500 リンスチャンバ
600 乾燥チャンバ
301,401 ウェーハプレート
305,405 ヒータジャケット
310 COシリンダー
312 二酸化炭素供給管
314 二酸化炭素圧力ポンプ
316 二酸化炭素加熱器
317 SCCO発生器
318,328、338,348 二酸化炭素流量調節弁
320 二酸化炭素貯蔵容器
322 二酸化炭素排ガス管
332 循環管
334 循環ポンプ
342 再供給管
410 Oガス供給器
412 Oガス供給管
418 O流量調節弁
420 水蒸気供給器
422 水蒸気供給管
428 水蒸気流量調節弁
430 Oガス貯蔵容器
432 排ガス管
438 排ガス流量調節弁。
100 chamber 110 substrate 120 cassette 200 transfer chamber 210 robot arm 300 SCCO 2 processing chamber 400 O 3 gas processing chamber 500 rinsing chamber 600 drying chamber 301, 401 wafer plate 305, 405 heater jacket 310 CO 2 cylinder 312 carbon dioxide supply pipe 314 dioxide Carbon pressure pump 316 Carbon dioxide heater 317 SCCO 2 generator 318, 328, 338, 348 Carbon dioxide flow control valve 320 Carbon dioxide storage vessel 322 Carbon dioxide exhaust pipe 332 Circulation pipe 334 Circulation pump 342 Resupply pipe 410 O 3 gas supply vessel 412 O 3 gas supply pipe 418 O 3 flow rate regulating valve 420 steam supply unit 422 steam supply pipe 428 steam flow control valve 430 O 3 gas Built container 432 exhaust pipe 438 gas flow control valve.

Claims (50)

基板からフォトレジストを除去する方法において、
該フォトレジストを膨潤させるか、クラックを発生させるか、または剥離させるように第1反応物で前記フォトレジストを処理する段階と、
該フォトレジストを化学的に変性させるように第2反応物で該フォトレジストを処理する段階と、
第3反応物で該化学的に変性したフォトレジストを除去する段階と、を含むフォトレジスト除去方法。
In a method of removing a photoresist from a substrate,
Treating the photoresist with a first reactant to swell, crack or strip the photoresist; and
Treating the photoresist with a second reactant to chemically modify the photoresist;
Removing the chemically modified photoresist with a third reactant.
前記フォトレジストは、イオン注入工程でマスクとして使われたフォトレジストであることを特徴とする請求項1に記載のフォトレジスト除去方法。   The method of claim 1, wherein the photoresist is a photoresist used as a mask in an ion implantation process. 前記イオン注入工程は、3×1015ions/cmまたはそれ以上のドーズで行われることを特徴とする請求項2に記載のフォトレジスト除去方法。 3. The method of removing a photoresist according to claim 2, wherein the ion implantation process is performed at a dose of 3 * 10 < 15 > ions / cm < 2 > or more. 前記第1反応物は、超臨界二酸化炭素であることを特徴とする請求項1に記載のフォトレジスト除去方法。   The method of claim 1, wherein the first reactant is supercritical carbon dioxide. 前記超臨界二酸化炭素は、100ないし150℃の温度及び150ないし200barの圧力下にあることを特徴とする請求項4に記載のフォトレジスト除去方法。   The method of claim 4, wherein the supercritical carbon dioxide is at a temperature of 100 to 150 ° C and a pressure of 150 to 200 bar. 前記第2反応物は、オゾンを主とする反応物であることを特徴とする請求項1に記載のフォトレジスト除去方法。   The photoresist removal method according to claim 1, wherein the second reactant is a reactant mainly composed of ozone. 前記オゾンを主とする反応物は、オゾンガスであることを特徴とする請求項6に記載のフォトレジスト除去方法。   The photoresist removal method according to claim 6, wherein the reactant mainly composed of ozone is ozone gas. 前記オゾンを主とする反応物は、オゾンガスと水蒸気との混合物であることを特徴とする請求項6に記載のフォトレジスト除去方法。   The photoresist removal method according to claim 6, wherein the reactant mainly composed of ozone is a mixture of ozone gas and water vapor. 前記オゾンガスは、105ないし115℃の温度及び60ないし80kPaの圧力下にあることを特徴とする請求項7に記載のフォトレジスト除去方法。   8. The method of removing a photoresist according to claim 7, wherein the ozone gas is at a temperature of 105 to 115 [deg.] C. and a pressure of 60 to 80 kPa. 前記オゾンガスは、90,000ppmまたはそれ以上の濃度であることを特徴とする請求項7に記載のフォトレジスト除去方法。   The photoresist removal method according to claim 7, wherein the ozone gas has a concentration of 90,000 ppm or more. 前記化学的に変性されたフォトレジストは、リンス工程によって除去することを特徴とする請求項1に記載のフォトレジスト除去方法。   The method of claim 1, wherein the chemically modified photoresist is removed by a rinsing process. 前記第3反応物は、脱イオン水であることを特徴とする請求項1に記載のフォトレジスト除去方法。   The method of claim 1, wherein the third reactant is deionized water. 前記フォトレジストは、何らの損傷も発生していない一般的なフォトレジストであることを特徴とする請求項1に記載のフォトレジスト除去方法。   The photoresist removal method according to claim 1, wherein the photoresist is a general photoresist that does not cause any damage. 前記フォトレジストは、エッチング工程によって損傷を受けたフォトレジストであることを特徴とする請求項1に記載のフォトレジスト除去方法。   The method of claim 1, wherein the photoresist is a photoresist damaged by an etching process. 前記フォトレジストは、有機残留物または有機汚染物質を含むことを特徴とする請求項1に記載のフォトレジスト除去方法。   The method of claim 1, wherein the photoresist includes an organic residue or an organic contaminant. 基板からフォトレジストを除去する方法において、
該フォトレジストを超臨界二酸化炭素で処理する段階と、
該フォトレジストを、オゾンを主とする反応物で処理する段階と、
該フォトレジストを脱イオン水で除去する段階と、を含むフォトレジスト除去方法。
In a method of removing a photoresist from a substrate,
Treating the photoresist with supercritical carbon dioxide;
Treating the photoresist with ozone-based reactants;
Removing the photoresist with deionized water.
前記超臨界二酸化炭素は、100ないし150℃の温度及び150ないし200barの圧力下にあることを特徴とする請求項16に記載のフォトレジスト除去方法。   The method of claim 16, wherein the supercritical carbon dioxide is at a temperature of 100 to 150 ° C and a pressure of 150 to 200 bar. 前記オゾンを主とする反応物は、105ないし115℃の温度及び60ないし80kPaの圧力下にあるオゾンガスであることを特徴とする請求項16に記載のフォトレジスト除去方法。   The photoresist removal method according to claim 16, wherein the ozone-based reactant is ozone gas at a temperature of 105 to 115 ° C and a pressure of 60 to 80 kPa. 基板からフォトレジストを除去する方法において、
該基板をチャンバ内にローディングする段階と、
第1反応物を該チャンバ内へ流入させ、該第1反応物を超臨界状態に転換させる段階と、
該基板と該超臨界状態の第1反応物とを所定時間接触させる段階と、
該チャンバ内を減圧する段階と、
第2反応物を該チャンバ内へ流入させる段階と、
該基板と該第2反応物とを所定時間接触させる段階と、
該チャンバをパージし、該基板をアンローディングする段階と、
該フォトレジストを除去する段階と、
該基板を乾燥させる段階と、を含むフォトレジスト除去方法。
In a method of removing a photoresist from a substrate,
Loading the substrate into the chamber;
Flowing a first reactant into the chamber and converting the first reactant to a supercritical state;
Contacting the substrate with the supercritical first reactant for a predetermined time;
Depressurizing the chamber;
Flowing a second reactant into the chamber;
Contacting the substrate and the second reactant for a predetermined time;
Purging the chamber and unloading the substrate;
Removing the photoresist;
Drying the substrate. A method for removing the photoresist.
前記第2反応物を注入する段階前に、
前記基板を第2チャンバにローディングする段階をさらに含み、前記接触段階と前記パージ段階とは前記第2チャンバで進められることを特徴とする請求項19に記載のフォトレジスト除去方法。
Prior to injecting the second reactant,
The method of claim 19, further comprising loading the substrate into a second chamber, wherein the contacting step and the purging step are performed in the second chamber.
前記第1反応物は、超臨界二酸化炭素であることを特徴とする請求項19に記載のフォトレジスト除去方法。   The method of claim 19, wherein the first reactant is supercritical carbon dioxide. 前記超臨界二酸化炭素は、100ないし150℃の温度及び150ないし200barの圧力下にあることを特徴とする請求項21に記載のフォトレジスト除去方法。   The method of claim 21, wherein the supercritical carbon dioxide is at a temperature of 100 to 150 ° C and a pressure of 150 to 200 bar. 前記第2反応物は、オゾンを主とする反応物であることを特徴とする請求項19に記載のフォトレジスト除去方法。   The photoresist removal method of claim 19, wherein the second reactant is a reactant mainly composed of ozone. 前記オゾンを主とする反応物は、オゾンガスであることを特徴とする請求項23に記載のフォトレジスト除去方法。   24. The method of removing a photoresist according to claim 23, wherein the reactant mainly composed of ozone is ozone gas. 前記チャンバと前記オゾンを主とする反応物との間には10ないし15℃の温度差があることを特徴とする請求項23に記載のフォトレジスト除去方法。   24. The photoresist removal method according to claim 23, wherein there is a temperature difference of 10 to 15 [deg.] C. between the chamber and the ozone-based reactant. 前記チャンバの温度は105℃であり、前記オゾンを主とする反応物は115℃の温度と60ないし80kPaの圧力下にあることを特徴とする請求項25に記載のフォトレジスト除去方法。   The method of claim 25, wherein the temperature of the chamber is 105 ° C, and the reactant mainly ozone is at a temperature of 115 ° C and a pressure of 60 to 80 kPa. 前記オゾンを主とする反応物の濃度は、90,000ppmであることを特徴とする請求項23に記載のフォトレジスト除去方法。   24. The method of removing a photoresist according to claim 23, wherein a concentration of the reactant mainly composed of ozone is 90,000 ppm. 前記リンス段階は、脱イオン水で行うことを特徴とする請求項19に記載のフォトレジスト除去方法。   The method of claim 19, wherein the rinsing step is performed with deionized water. 前記超臨界二酸化炭素は、前記フォトレジストを膨潤させるか、クラックを発生させるか、または剥離させる役割を担うことを特徴とする請求項21に記載のフォトレジスト除去方法。   The method of removing a photoresist according to claim 21, wherein the supercritical carbon dioxide plays a role of causing the photoresist to swell, generate cracks, or exfoliate. 前記オゾンガスは、前記フォトレジストを水溶性物質に変性させることを特徴とする請求項24に記載のフォトレジスト除去方法。   The method of claim 24, wherein the ozone gas denatures the photoresist into a water-soluble substance. 基板からフォトレジストを除去する装置において、
該フォトレジストを膨潤させるか、クラックを発生させるか、または剥離させるように該フォトレジストを第1反応物で処理し、該フォトレジストを化学的に変性させるように該フォトレジストを第2反応物で処理し、該基板をリンスし、該基板を乾燥させ、そして該基板を支持するための一つ以上のチャンバと、
該チャンバ間で該基板を移すためのトランスファ手段と、を含むフォトレジスト除去装置。
In an apparatus for removing photoresist from a substrate,
Treating the photoresist with a first reactant to cause the photoresist to swell, generate cracks, or exfoliate, and to alter the photoresist to a second reactant so as to chemically modify the photoresist; One or more chambers for treating, rinsing the substrate, drying the substrate, and supporting the substrate;
And a transfer means for transferring the substrate between the chambers.
前記フォトレジスト除去装置は、前記フォトレジストを膨潤させるか、クラックを発生させるか、または剥離させるように前記フォトレジストを第1反応物で処理し、前記フォトレジストを化学的に変性させるように前記フォトレジストを第2反応物で処理するための単一チャンバを備えることを特徴とする請求項31に記載のフォトレジスト除去装置。   The photoresist removing apparatus treats the photoresist with a first reactant so as to swell, generate cracks, or peel off the photoresist, and chemically modify the photoresist. 32. The photoresist removal apparatus of claim 31, comprising a single chamber for processing the photoresist with the second reactant. 前記フォトレジスト除去装置は、前記フォトレジストを膨潤させるか、クラックを発生させるか、または剥離させるように前記フォトレジストを第1反応物で処理するためのチャンバと前記フォトレジストを化学的に変性させるように前記フォトレジストを第2反応物で処理するためのチャンバとを個別的に備えることを特徴とする請求項31に記載のフォトレジスト除去装置。   The photoresist removing apparatus chemically modifies the photoresist and a chamber for treating the photoresist with a first reactant so that the photoresist is swollen, cracked, or stripped. 32. The apparatus of claim 31, further comprising a chamber for treating the photoresist with a second reactant. 前記フォトレジスト除去装置は、それぞれの工程を行うための個別チャンバを備えることを特徴とする請求項31に記載のフォトレジスト除去装置。   32. The photoresist removal apparatus according to claim 31, wherein the photoresist removal apparatus includes an individual chamber for performing each process. 前記トランスファ手段は、ロボットアームであることを特徴とする請求項31に記載のフォトレジスト除去装置。   32. The photoresist removing apparatus according to claim 31, wherein the transfer means is a robot arm. 前記フォトレジストは、イオン注入工程でマスクとして使われたフォトレジストであることを特徴とする請求項31に記載のフォトレジスト除去装置。   32. The photoresist removing apparatus according to claim 31, wherein the photoresist is a photoresist used as a mask in an ion implantation process. 前記イオン注入工程は、3×1015ions/cmまたはそれ以上のドーズで行われてなることを特徴とする請求項36に記載のフォトレジスト除去装置。 37. The photoresist removing apparatus according to claim 36, wherein the ion implantation process is performed at a dose of 3 * 10 < 15 > ions / cm < 2 > or more. 前記第1反応物は、超臨界二酸化炭素であることを特徴とする請求項31に記載のフォトレジスト除去装置。   32. The photoresist removing apparatus of claim 31, wherein the first reactant is supercritical carbon dioxide. 前記超臨界二酸化炭素は、100ないし150℃の温度及び150ないし200barの圧力下にあることを特徴とする請求項38に記載のフォトレジスト除去装置。   39. The photoresist removing apparatus of claim 38, wherein the supercritical carbon dioxide is at a temperature of 100 to 150 [deg.] C. and a pressure of 150 to 200 bar. 前記第2反応物は、オゾンを主とする反応物であることを特徴とする請求項31に記載のフォトレジスト除去装置。   32. The photoresist removing apparatus of claim 31, wherein the second reactant is a reactant mainly composed of ozone. 前記オゾンを主とする反応物は、オゾンガスであることを特徴とする請求項40に記載のフォトレジスト除去装置。   41. The photoresist removing apparatus according to claim 40, wherein the reactant mainly composed of ozone is ozone gas. 前記オゾンガスは、105ないし115℃の温度及び60ないし80kPaの圧力下にあることを特徴とする請求項41に記載のフォトレジスト除去装置。   The photoresist removal apparatus of claim 41, wherein the ozone gas is at a temperature of 105 to 115 ° C and a pressure of 60 to 80 kPa. 前記オゾンガスのオゾン発生器での濃度は、90,000ppmまたはそれ以上であることを特徴とする請求項41に記載のフォトレジスト除去装置。   42. The photoresist removing apparatus according to claim 41, wherein the concentration of the ozone gas in the ozone generator is 90,000 ppm or more. 前記リンスは、脱イオン水を使用して行われてなることを特徴とする請求項31に記載のフォトレジスト除去装置。   32. The photoresist removing apparatus according to claim 31, wherein the rinsing is performed using deionized water. 前記第1反応物は、超臨界二酸化炭素であり、前記第2反応物はオゾンであり、前記チャンバはヒータジャケット、二酸化炭素ソース、超臨界二酸化炭素発生器、超臨界二酸化炭素循環器、二酸化炭素フィードバック、オゾンガス供給器及びオゾンガス貯蔵容器を含むことを特徴とする請求項31に記載のフォトレジスト除去装置。   The first reactant is supercritical carbon dioxide, the second reactant is ozone, and the chamber is a heater jacket, a carbon dioxide source, a supercritical carbon dioxide generator, a supercritical carbon dioxide circulator, carbon dioxide 32. The photoresist removing apparatus of claim 31, comprising a feedback, an ozone gas supply device, and an ozone gas storage container. 前記超臨界二酸化炭素発生器は、二酸化炭素圧力ポンプ及び二酸化炭素加熱器を含むことを特徴とする請求項45に記載のフォトレジスト除去装置。   46. The photoresist removal apparatus of claim 45, wherein the supercritical carbon dioxide generator includes a carbon dioxide pressure pump and a carbon dioxide heater. 前記第1反応物は、超臨界二酸化炭素であり、前記第1個別チャンバはヒータジャケット、二酸化炭素ソース、超臨界二酸化炭素発生器、超臨界二酸化炭素循環器及び二酸化炭素フィードバックを含むことを特徴とする請求項31に記載のフォトレジスト除去装置。   The first reactant is supercritical carbon dioxide, and the first individual chamber includes a heater jacket, a carbon dioxide source, a supercritical carbon dioxide generator, a supercritical carbon dioxide circulator, and carbon dioxide feedback. 32. The photoresist removal apparatus according to claim 31. 前記超臨界二酸化炭素発生器は、二酸化炭素圧力ポンプ及び二酸化炭素加熱器を含むことを特徴とする請求項47に記載のフォトレジスト除去装置。   48. The photoresist removal apparatus of claim 47, wherein the supercritical carbon dioxide generator includes a carbon dioxide pressure pump and a carbon dioxide heater. 前記第2反応物は、オゾンを主とする反応物であり、前記第1個別チャンバはヒータジャケット、オゾンガス供給器、水蒸気供給器及びオゾンガス貯蔵容器を含むことを特徴とする請求項47に記載のフォトレジスト除去装置。   The second reactant is a reactant mainly composed of ozone, and the first individual chamber includes a heater jacket, an ozone gas supplier, a water vapor supplier, and an ozone gas storage container. Photoresist removal device. 前記オゾンを主とする反応物は、オゾンガスであることを特徴とする請求項49に記載のフォトレジスト除去装置。   The photoresist removing apparatus according to claim 49, wherein the reactant mainly composed of ozone is ozone gas.
JP2004188017A 2003-06-26 2004-06-25 Apparatus and method for removing photoresist from a substrate Active JP4489513B2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR10-2003-0042133A KR100505693B1 (en) 2003-06-26 2003-06-26 Cleaning method of photoresist or organic material from microelectronic device substrate
US10/712,775 US7431855B2 (en) 2003-06-26 2003-11-14 Apparatus and method for removing photoresist from a substrate

Publications (2)

Publication Number Publication Date
JP2005020011A true JP2005020011A (en) 2005-01-20
JP4489513B2 JP4489513B2 (en) 2010-06-23

Family

ID=34107006

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004188017A Active JP4489513B2 (en) 2003-06-26 2004-06-25 Apparatus and method for removing photoresist from a substrate

Country Status (2)

Country Link
JP (1) JP4489513B2 (en)
DE (1) DE102004029077B4 (en)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007037305A1 (en) * 2005-09-29 2007-04-05 Tokyo Electron Limited Substrate processing method
JP2007535697A (en) * 2004-04-19 2007-12-06 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド Non-fluoride-containing supercritical fluid composition for removing ion-implanted photoresist
JP2013026348A (en) * 2011-07-19 2013-02-04 Toshiba Corp Supercritical drying method of semiconductor substrate and device
JP2016225518A (en) * 2015-06-02 2016-12-28 株式会社ディスコ Method for forming gettering layer
US10290511B2 (en) 2012-08-08 2019-05-14 SCREEN Holdings Co., Ltd Substrate treatment apparatus and substrate treatment method
KR20200012005A (en) * 2016-11-29 2020-02-04 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Method of removing an etch mask
US11189503B2 (en) 2018-11-14 2021-11-30 Samsung Electronics Co., Ltd. Substrate drying method, photoresist developing method, photolithography method including the same, and substrate drying system

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2001033615A2 (en) * 1999-11-02 2001-05-10 Tokyo Electron Limited Method and apparatus for supercritical processing of multiple workpieces
WO2001033613A2 (en) * 1999-11-02 2001-05-10 Tokyo Electron Limited Removal of photoresist and residue from substrate using supercritical carbon dioxide process
WO2001087505A1 (en) * 2000-05-18 2001-11-22 S. C. Fluids, Inc. Supercritical fluid cleaning process for precision surfaces
WO2002015251A1 (en) * 2000-08-14 2002-02-21 Tokyo Electron Limited Removal of photoresist and photoresist residue from semiconductors using supercritical carbon dioxide process
JP2002313764A (en) * 2001-04-17 2002-10-25 Kobe Steel Ltd High pressure processor
JP2002367943A (en) * 2001-06-12 2002-12-20 Kobe Steel Ltd Method and system for high pressure treatment
JP2003045842A (en) * 2001-08-01 2003-02-14 Pyuarekkusu:Kk Method and apparatus of removing foreign matters deposited on surface
WO2003023840A2 (en) * 2001-09-13 2003-03-20 Micell Technologies, Inc. Methods and apparatus for cleaning and/or treating a substrate using co¿2?
JP2003168672A (en) * 2001-12-03 2003-06-13 Kobe Steel Ltd High-pressure treatment method
WO2003057377A1 (en) * 2002-01-07 2003-07-17 Praxair Technology, Inc. Method for cleaning an article

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6306564B1 (en) * 1997-05-27 2001-10-23 Tokyo Electron Limited Removal of resist or residue from semiconductors using supercritical carbon dioxide
US6602349B2 (en) * 1999-08-05 2003-08-05 S.C. Fluids, Inc. Supercritical fluid cleaning process for precision surfaces
WO2002011191A2 (en) * 2000-07-31 2002-02-07 The Deflex Llc Near critical and supercritical ozone substrate treatment and apparatus for same

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2001033615A2 (en) * 1999-11-02 2001-05-10 Tokyo Electron Limited Method and apparatus for supercritical processing of multiple workpieces
WO2001033613A2 (en) * 1999-11-02 2001-05-10 Tokyo Electron Limited Removal of photoresist and residue from substrate using supercritical carbon dioxide process
WO2001046999A2 (en) * 1999-11-02 2001-06-28 Tokyo Electron Limited Method and apparatus for supercritical processing of a workpiece
WO2001087505A1 (en) * 2000-05-18 2001-11-22 S. C. Fluids, Inc. Supercritical fluid cleaning process for precision surfaces
WO2002015251A1 (en) * 2000-08-14 2002-02-21 Tokyo Electron Limited Removal of photoresist and photoresist residue from semiconductors using supercritical carbon dioxide process
JP2002313764A (en) * 2001-04-17 2002-10-25 Kobe Steel Ltd High pressure processor
JP2002367943A (en) * 2001-06-12 2002-12-20 Kobe Steel Ltd Method and system for high pressure treatment
JP2003045842A (en) * 2001-08-01 2003-02-14 Pyuarekkusu:Kk Method and apparatus of removing foreign matters deposited on surface
WO2003023840A2 (en) * 2001-09-13 2003-03-20 Micell Technologies, Inc. Methods and apparatus for cleaning and/or treating a substrate using co¿2?
JP2003168672A (en) * 2001-12-03 2003-06-13 Kobe Steel Ltd High-pressure treatment method
WO2003057377A1 (en) * 2002-01-07 2003-07-17 Praxair Technology, Inc. Method for cleaning an article

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007535697A (en) * 2004-04-19 2007-12-06 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド Non-fluoride-containing supercritical fluid composition for removing ion-implanted photoresist
WO2007037305A1 (en) * 2005-09-29 2007-04-05 Tokyo Electron Limited Substrate processing method
US9583330B2 (en) 2011-07-19 2017-02-28 Tokyo Electron Limited Supercritical drying method for semiconductor substrate and supercritical drying apparatus
JP2013026348A (en) * 2011-07-19 2013-02-04 Toshiba Corp Supercritical drying method of semiconductor substrate and device
KR101367468B1 (en) * 2011-07-19 2014-02-25 도쿄엘렉트론가부시키가이샤 Supercritical drying method for semiconductor substrate and supercritical drying apparatus
US10290511B2 (en) 2012-08-08 2019-05-14 SCREEN Holdings Co., Ltd Substrate treatment apparatus and substrate treatment method
JP2016225518A (en) * 2015-06-02 2016-12-28 株式会社ディスコ Method for forming gettering layer
KR20200012005A (en) * 2016-11-29 2020-02-04 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Method of removing an etch mask
US10553720B2 (en) 2016-11-29 2020-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method of removing an etch mask
US10636908B2 (en) 2016-11-29 2020-04-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of removing an etch mask
KR102128515B1 (en) * 2016-11-29 2020-07-01 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Method of removing an etch mask
US11495684B2 (en) 2016-11-29 2022-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of removing an etch mask
US11189503B2 (en) 2018-11-14 2021-11-30 Samsung Electronics Co., Ltd. Substrate drying method, photoresist developing method, photolithography method including the same, and substrate drying system

Also Published As

Publication number Publication date
DE102004029077A1 (en) 2005-02-24
JP4489513B2 (en) 2010-06-23
DE102004029077B4 (en) 2010-07-22

Similar Documents

Publication Publication Date Title
US20090065032A1 (en) Apparatus and method for removing photoresist from a substrate
KR101167355B1 (en) Substrate treatment method and substrate treatment apparatus
TWI587390B (en) Method for etching organic hardmasks
JP2008294453A (en) Method and equipment for processing substrate, and computer-readable recording medium
JPH08153710A (en) Manufacturing method for semiconductor device
JP4031440B2 (en) Contaminant removal using supercritical processing
JP4489513B2 (en) Apparatus and method for removing photoresist from a substrate
US7524383B2 (en) Method and system for passivating a processing chamber
JP4810076B2 (en) Substrate processing method and chemical used therefor
JP2002523906A (en) How to remove organic substances from a substrate
JP2005159293A (en) Device and method for treating substrate
KR100602115B1 (en) Wet cleaning apparatus and method
US6887793B2 (en) Method for plasma etching a wafer after backside grinding
US6652666B2 (en) Wet dip method for photoresist and polymer stripping without buffer treatment step
JP2005259743A (en) Resist peeling device, resist peeling method using the same, and manufacturing method of semiconductor device
US20070000519A1 (en) Removal of residues for low-k dielectric materials in wafer processing
US7413848B2 (en) Method of removing photoresist and photoresist rework method
JP2005159342A (en) Method of treating substrate and chemical used therefor
WO2021212330A1 (en) Method and apparatus for removing particles or photoresist on substrates
JP2006261157A (en) Semiconductor device and method of manufacturing the same
JP2005109030A (en) Manufacturing method for electronic device
JP2004134627A (en) Process for removing organic layer
JP2005064443A (en) Substrate treatment method and substrate treatment apparatus
JP3849123B2 (en) Accelerated test method and accelerated test apparatus
KR100752202B1 (en) Method for cleaning semiconductor wafer after etching metal layer

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070524

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100323

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100331

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130409

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4489513

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140409

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250