JP2004226291A - Semiconductor test circuit and its test method - Google Patents

Semiconductor test circuit and its test method Download PDF

Info

Publication number
JP2004226291A
JP2004226291A JP2003015798A JP2003015798A JP2004226291A JP 2004226291 A JP2004226291 A JP 2004226291A JP 2003015798 A JP2003015798 A JP 2003015798A JP 2003015798 A JP2003015798 A JP 2003015798A JP 2004226291 A JP2004226291 A JP 2004226291A
Authority
JP
Japan
Prior art keywords
scan
terminal
external
test
circuit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2003015798A
Other languages
Japanese (ja)
Other versions
JP4272898B2 (en
Inventor
Koichi Kandori
浩一 神鳥
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sharp Corp
Original Assignee
Sharp Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sharp Corp filed Critical Sharp Corp
Priority to JP2003015798A priority Critical patent/JP4272898B2/en
Publication of JP2004226291A publication Critical patent/JP2004226291A/en
Application granted granted Critical
Publication of JP4272898B2 publication Critical patent/JP4272898B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Landscapes

  • Tests Of Electronic Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To provide a semiconductor test circuit for remarkably reducing an amount of a scanning test pattern and shortening a full scanning test time by modifying the constitution of an inside scanning chain at any time without increasing a test terminal and remarkably shortening a once-scanning shift action period in an LSI full scanning design, and to provide its test method. <P>SOLUTION: The semiconductor test circuit for performing the LSI full scanning test by dividing a large number of the scanning chains comprises discrimination circuits 5 and 6 having an outside scanning-in terminal 9, an outside scanning-out terminal 10, a shift operation changeover terminal 11 and a clock terminal 12, and discriminating a specific cycle during shift operation changeover, and selection circuits 7 and 8 for selecting inside scanning-in and inside scanning-out connecting the outside scanning-in terminal 9 and the outside scanning-out terminal 10 on the basis of data for a command of a signal input from the outside scanning-in terminal 9 in the specific cycle. <P>COPYRIGHT: (C)2004,JPO&NCIPI

Description

【0001】
【発明の属する技術分野】
本発明は、半導体テスト回路及びそのテスト方法であり、特にLSIのフルスキャンテスト回路及びそのテスト方法に関する。
【0002】
【従来の技術】
近年、半導体プロセスの微細化に伴い、ロジックLSIは回路が大規模化しており、数百万ゲートのロジックLSIが設計されるようになっている。このような、ロジックLSIを出荷時にテストしようとすると、テストパターンの開発期間が長期化、また実際の量産テストで使用するテストパターン量やテスト時間が膨大となり、テストコストが増大する。そこで、ロジックLSIのテストコストを縮小するために、テスト容易化設計が一般的に採用される。
【0003】
テスト容易化設計の手法として代表的なものに、フルスキャン設計がある。フルスキャン設計では、回路内部のフリップフロップをスキャン機能付きのスキャンフリップフロップに置き換えて、外部ピンから内部のフリップフロップを直接制御・観測できる経路であるスキャンチェーンを、各スキャン機能付きフリップフロップをシリアルに接続したシフトレジスタで構成する。またテスト時に順序回路をすべて組み合わせ回路として取り扱えるように構成する。このように構成することで、ロジックLSIのテストが非常に容易となる。
【0004】
フルスキャンテスト回路の例を示す。フルスキャン回路は、通常のフリップフロップを図11に示すようなスキャン用フリップフロップ100に変更する。図11のスキャンフリップ100は、MUXタイプと呼ばれるもので、フリップフロップ101のD入力部分にマルチプレクサ102を挿入し、シフトデータ端子SINとマルチプレクサ切り替え端子SMCを追加した構造となっている。
【0005】
図12は、回路103中の通常のフリップフロップをスキャンフリップフロップに置き換え、フルスキャン設計を実施した回路の概念図である。スキャンフリップフロップ104a,104b,104cは、図11に示すものと同じ回路構成となっている。スキャン接続は、外部スキャンイン端子106aから、スキャンフリップフロップ104aのSIN端子に接続され、Q出力から、次のスキャンフリップ104bに接続される。同様に、スキャンフリップフロップ104cに接続し、最後に外部スキャンアウト端子107aへ接続されスキャンチェーンが構成される。また、外部スキャンイン端子106bから、スキャンアウト端子107b、外部スキャンイン端子106cから、スキャンアウト端子107cについても、同様の接続がされる。本概念図では、計3本のスキャンチェーンが作られている。さらに、スキャンテストで行われるシフト動作とキャプチャー動作をコントロールするため、シフト動作切り替え端子108が追加され、すべてのスキャンフリップフロップのSMC端子へ供給される。クロック端子109は、スキャン前の回路にもありそのまま利用する。図12の場合、スキャンチェーンの長さは3であるが、実際は回路中のすべてのフリップフロップ数を使用できるスキャン入出力端子数で割ったものとなる。たとえば、フリップフロップ数が900ヶ、使用できるスキャン入力端子3本、スキャン出力端子3本であったとすると、1本のチェーンの長さは300となる。本回路構成にて、LSI回路103のスキャンフリップフロップ104と組み合わせ回路105のテストが実施される。
【0006】
【特許文献1】
特開平9−5403号公報
【特許文献2】
特開2000−258500号公報
【0007】
【発明が解決しようとする課題】
フルスキャンのテストでは、シフト動作を行ってスキャンフリップフロップへの状態設定と動作後の値の読み出しを行うため、テストパターン長が非常に長くなってしまうという問題がある。テストパターンの長さは、回路規模の増大に比例して増えていくため、ロジックLSIの回路規模が大きいと、ロジックLSIテスタのテストパターンメモリを大量に消費し、またフルスキャンテスト時間も長くなるためテストコストを増加させる主原因となってしまう。テストパターンを短くする工夫として、一般的にスキャンチェーンの本数を増やすことで、シフト動作に必要なテストパターンサイクル数を減らすことが、実施されている。しかしながら、外部端子数は通常制限があるためスキャンチェーン数は、20〜30本程度が限界の場合が多い。さらなるスキャンシフトサイクル数を削減する方法として、特許文献1の「半導体集積回路」で提案されているものとして、スキャンチェーンの途中にバイパス信号線を追加し、モードを切り替えることでスキャンシフト動作サイクルを短縮するものがある。本手法では、スキャンイン端子とスキャンアウト端子が固定され、スキャンフリップフロップをバイパスするテストモードを追加して方式のため、テストパターン生成時の自由度が小さい事、テストモード切り替え端子が増加してしまう問題がある。また、特許文献2の「半導体集積回路及び記録媒体」で提案されている方法は、複数のスキャンチェーンを並列に接続し、同一のスキャン入力信号が回路に与えられ、スキャン出力は、XORによる圧縮方式をとっており、本方式においても、シフト動作数を削減することを目的としている。本回路の問題は、並列化されるスキャンチェーンが固定されてしまうことで、テストパターン短縮効果が十分に得られないことがある。また、スキャン出力はXOR方式による圧縮を実施しているため、回路中に不定信号が発生すると、最終出力も不定となってしまい高故障検出率が得られないケースもある。さらに、本発明においても、テストモードを切り替える端子は、別途必要となると考えられる。
【0008】
本発明は、LSIのフルスキャン設計において、テスト端子を増加させずに、内部スキャンチェーンの構成を随時変更し、1回のスキャンシフト動作期間の大幅な短縮を行うことで、スキャンテストパターン量の大幅な削減とフルスキャンテスト時間の短縮を可能とする半導体テスト回路及びそのテスト方法を提供することを目的とする。
【0009】
【問題を解決するための手段】
本発明は、スキャンチェーンの本数を外部スキャンイン端子及び外部スキャンアウト端子に制約されるされることなく多く分割してLSIのフルスキャンテストを行う回路であって、スキャンテストで使用する外部スキャンイン端子、外部スキャンアウト端子、シフト動作切り替え端子及びクロック端子を有するとともに、シフト動作切り替え時の特定サイクルを判別する判別回路と、シフト動作切り替え時の特定サイクルにおける外部スキャンイン端子から入力される信号のコマンド用データに基づき外部スキャンイン端子及び外部スキャンアウト端子とそれぞれ接続する内部スキャンイン及び内部スキャンアウトを選択する選択回路とを備える半導体テスト回路である。
【0010】
また、本発明は、複数のフリップフロップからなる機能ブロック部と、シフト動作切り替え端子に入力したシフト動作切り替え信号及びクロック端子に入力した外部クロック信号を元に受け付け信号イベントを出力するコマンド受付サイクル判定部と、テスト信号、外部クロック信号及び受け付け信号イベントを元に選択信号を出力するコマンド解析部と、該選択信号により機能ブロック部と外部スキャンイン端子又は外部スキャンアウト端子との接続を変更するスキャン入力選択部及びスキャン出力選択部とを備える半導体テスト回路である。
【0011】
そして、本発明は、内部スキャンイン及び内部スキャンアウトそれぞれを外部スキャンイン端子及び外部スキャンアウト端子と接続する際に、各スキャンチェーンのスキャンイン信号とスキャンアウト信号をそれぞれ個別に外部スキャンイン端子又は外部スキャンアウト端子と接続する半導体テスト回路である。
【0012】
更に、本発明は、シフト動作切り替え時に判別した特定サイクルにおいては、スキャンイン端子から入力される信号をコマンド用データと認識し、該コマンド用データに基づいて外部スキャンイン端子及び外部スキャンアウト端子に接続されない内部スキャンインを適時必要な回路に接続させる半導体テスト回路である。
【0013】
また、本発明は、スキャンチェーンの本数を外部端子に制約されることなく多く分割してLSIのフルスキャンテストを行う方法であって、シフト動作切り替え時の特定サイクルを判別し、シフト動作切り替え時の特定サイクルにおける外部スキャンイン端子から入力される信号のコマンド用データに基づき外部スキャンイン端子及び外部スキャンアウト端子とそれぞれ接続する内部スキャンイン及び内部スキャンアウトを選択する半導体テスト方法である。
【0014】
そして、本発明は、テストパターン生成の最初の部分は、すべてのスキャンフリップフロップを接続する回路構成とし、ある程度の故障検出率まで獲得し、その後は故障検出率を向上させるのに必要な一部のスキャンフリップフロップだけを使用し、短いテストパターンで故障検出率を得る半導体テスト方法である。
【0015】
【発明の実施の形態】
本発明の実施の形態を説明する。
図1に、本発明の実施の一形態によるフルスキャンテスト回路の構成を示す。図1は、LSI回路1の中に、本来のLSIの機能を実現した機能回路ブロック2と、スキャン入力選択回路7とスキャン出力選択回路8と、コマンド受付サイクル判定部6とコマンド解析部5から構成される。機能回路ブロック2では、機能ブロック設計後フリップフロップをスキャンフリップフロップ3に置き換え、それぞれのスキャンフリップフロップをシリアル接続したスキャンチェーン4の構成に変換した後の回路となっている。このときのスキャンチェーンの本数は、従来よりも数倍多くし、チェーン1本の長さは従来よりも短くしている。スキャン入力選択部7は、機能回路ブロック2の各スキャンチェーンの入力部分をコマンド解析部5から出される選択信号に従って、内部スイッチにより接続処理されるものである。この時、スキャンチェーンの一部分はLSI回路の外部端子であるスキャン入力端子9a,9b,9cに接続される。また、それ以外のスキャンチェーンの入力部分は、スキャン入力選択部内にある回路と接続される。スキャン出力選択部8は、機能回路ブロック2の各スキャンチェーンの出力部分をコマンド解析部5から出される選択信号に従って、内部スイッチにより接続処理されるものである。この時、スキャンチェーンの一部分はLSI回路の外部端子であるスキャン出力端子10a,10b,10cに接続される。コマンド解析部5は、コマンド受け付けサイクル判定部6からの受付信号イベントが来た時、スキャン入力端子9a,9b,9cから入力される信号をコマンドとして取り込み、あらかじめ設計者によって接続内容を決定されたコマンドと照らし合わせを行い、スキャン入力選択部7とスキャン出力選択部8に選択信号を送る。コマンド受付サイクル判定部6は、シフト動作切り替え端子11の信号と外部CLK12の信号を元に、コマンドが来るタイミングを判定し、コマンド解析部へ受け付け信号イベントを発行する。たとえば、シフト動作切り替え信号11が、キャプチャー状態からシフト状態に切り替わった瞬間の1サイクルは、コマンド受付サイクルと定義した場合について、図2のタイミングチャートを用いて説明する。図2のシフト動作切り替え信号は、”1“でスキャンフリップフロップがシフトモード、”0“でスキャンフリップフロップがキャプチャーモードとなるとすると、第1番目のサイクル(1)はキャプチャー動作となる。第2番目のサイクル(2)では、シフト動作切り替え端子が”1“へ変化し、コマンド受付サイクル判定部6で、コマンド入力と判定され、コマンド解析部5へ受付信号イベントが発行され、スキャン入力端子のデータをコマンドとして認識する。コマンドは、コマンド解析部5で処理され、スキャン入力選択部7とスキャン出力判定部8の接続をコマンドに従って変更する。次のサイクル(3)では、スキャン入力端子より、スキャンフリップフロップでセットされる一番目のデータが入力される。同様に、サイクル(4)では、スキャンフリップフロップにセットされる2番目のデータが入力され、サイクル(n)まで同様にスキャンフリップフロップへセットされるデータが順次入力される。最後まで、スキャンデータが入力されると、サイクル(n+1)でシフト動作切り替え端子より”0“が入力され、スキャン回路でキャプチャー動作が行われる。サイクル(n+2)以降は、同様にコマンド入力サイクル、シフトデータ入力が繰り返される。本例では、スキャン入力端子が3本であるので、コマンドの種類は2の3乗の8通りを実現できる。一般的には、スキャン入力端子は10本以上あるため、2の10乗以上のコマンドを使用できる。また、本例ではコマンド入力サイクルは1サイクルとしているが、複数サイクルとすれば、さらに、コマンド数を増加させることができる。
【0016】
実際のコマンド実施例について説明する。一例として、図3のコマンド表のケースでは、3bitでコマンドを表しており、8種類のコマンドを作成できるが、例としては、3種類を規定している。コマンド000は、内部スキャンチェーン9本中、スキャン入力の▲1▼▲5▼▲8▼の3本を外部スキャン入力端子と接続し、その他の内部スキャン入力端子▲2▼▲4▼▲6▼▲7▼を“0”入力、▲3▼▲9▼を“1”入力とするものである。また、内部スキャン出力の▲2▼▲6▼▲8▼の3本を外部スキャン出力端子と接続するものである。実際の回路構造は、図4に示すように接続される。図4のスキャン入力選択部、スキャン出力選択部では、直接回路が接続されているが、実際にはトランジスタで構成されるスイッチにより、接続されている。同様に、図3のコマンド001は、スキャン入力の▲1▼▲2▼▲9▼の3本を外部スキャン入力端子と接続し、その他の内部スキャン入力端子▲3▼▲4▼▲5▼▲6▼▲7▼▲8▼には、スキャン入力選択部にあらかじめ組み込んでおいた擬似乱数発生回路であるLFSR(Linear Feedback Shift Register)と接続する。スキャン出力の▲1▼▲3▼▲9▼の3本を外部スキャン出力端子と接続するものである。LFSRを使用することで、フルスキャンテスト時にはランダムな信号値を入力することが可能となる。本構成の回路は、図5に示すように接続される。
【0017】
さらに、図3のコマンド010について説明する。本コマンドはスキャンチェーン▲1▼の内部スキャンアウトとスキャンチェーン▲2▼の内部スキャンインを接続し、また、スキャンチェーン▲2▼の内部スキャンアウトとスキャンチェーン▲3▼の内部スキャンインを接続し、3本のスキャンチェーンを1本のスキャンチェーン構造とする。そして、内部スキャンチェーン▲1▼のスキャン入力端子を外部スキャン入力端子と接続し、内部スキャンチェーン▲3▼のスキャンアウト端子を外部スキャンアウト端子と接続する。同様に、スキャンチェーン▲4▼▲5▼▲6▼、スキャンチェーン▲7▼▲8▼▲9▼についても3本のスキャンチェーンを1本化し、おのおのの内部スキャンイン端子、スキャンアウト端子を外部スキャンイン端子とスキャンアウト端子と接続する。本構成の回路は、図6のように接続される。本構成の回路を実現することで、従来の方法であるすべてスキャンフリップフロップをシリアル接続し、外部端子と接続する方法と全く同一の機能を有する回路も一つのコマンドを割り当てることで可能となる。図3に示すコマンド例では3種類のみしか定義していないが、実際にはより多くの組み合わせを定義する。
【0018】
次に本スキャンテスト回路を使用した、スキャンテスト方法の一例について説明する。まず、一般的なフルスキャン手法における故障検出率とテストパターンの関係を図7に示す。フルスキャンテストでは、テストパターンの前半部分では、故障検出率が急激に上がり、効率的にテストが実施されるが、反面テストパターンの後半部分になると故障検出率のカーブは非常に緩やかになり、効率的に故障検出率を上げられていないことが判っている。また、このとき使用される回路内部のスキャンフリップフロップ数とテストパターンの関係を図8に示す。故障検出率が急激に上がる前半部分では、回路内のスキャンフリップフロップの使用数は大きいが、パターン後半では使用されるスキャンフリップの数は少なくなる。
【0019】
本特徴を利用し、本発明のスキャンテスト回路を用いた、スキャンテストの実施方法の一例について説明する。本手法では、テストパターンの前半部分は従来と同様、すべてのスキャンフリップを使用してテストパターンを生成し、ある一定基準に故障検出率が達した後は、一部のスキャンチェーンだけでテストパターン生成を行うことで、トータルのテストパターンを短縮するものである。
【0020】
さらに、具体的な方法について、図9のフローチャートを用いて説明する。まず、S1においては複数のスキャンチェーンを1本化し、すべてのスキャンフリップフロップを使用する図6になるテストコマンドを設定する。S2において、スキャンテストパターンの生成を行い、故障検出率を算出する。S3において、故障検出率が95%に達したかどうかを判定し、未達成の場合は、S1に戻りテストパターンを追加生成する。故障検出率95%以上となった時、S4へ移る。S4では、図4や図5にあるようなスキャンチェーンの一部を外部に引き出すテストコマンドを設定する。S5においても、スキャンテストパターンの生成を行い、故障検出率を算出する。S6において、故障検出率が99%以上かを判定し、未達成の場合は、S4に戻りテストパターンを追加生成する。故障検出率が99%を超えた時点で、処理を完了する。
【0021】
本手法で生成されたテストパターンの長さについて、図10を用いて従来手法との差を説明する。本例においては、すべてのスキャンフリップフロップを使用した時のスキャンチェーン1本の長さが900ステップ、スキャンチェーンの一部を使用した時のスキャンチェーンの長さが300ステップであったとする。従来のフルスキャンテスト手法では、すべてのスキャンテストにおいて、900ステップが必要になり、4回のテストで3600ステップとなる。一方、本発明例でテストパターンを作成した場合、各テストサイクルの先頭にコマンド受付サイクルとして1サイクル必要となり、複数のスキャンチェーンを接続したときのテストパターンサイクルは901ステップ、一部のスキャンチェーンを使用するときは、301ステップとなる。図10において、複数のスキャンチェーンを接続したテストを2回実施し、その後、一部のスキャンチェーンを使用したテストを6回しても、トータルのテストステップ数は、3608ステップなる。よって、本手法では従来より多くのテストパターンを短いテストステップで実行することが可能となる。
【0022】
【発明の効果】
本発明によれば、LSIのフルスキャン設計において、テスト端子を増加させずに、内部スキャンチェーンの構成を随時変更し、1回のスキャンシフト動作期間の大幅な短縮を行うことで、スキャンテストパターン量の大幅な削減とフルスキャンテスト時間の短縮を可能とする半導体テスト回路及びそのテスト方法を得ることができる。
【図面の簡単な説明】
【図1】本発明実施形態に係るスキャンテスト回路の概略構成を示した図。
【図2】本発明スキャンテスト回路を動作させたときのタイミングチャート例の説明図。
【図3】本発明におけるテストコマンドの一例の説明図。
【図4】スキャン回路構造の一例を示す第1番目の図。
【図5】スキャン回路構造の一例を示す第2番目の図。
【図6】スキャン回路構造の一例を示す第3番目の図。
【図7】一般的なフルスキャン方式のテストパターン数と故障検出率の関係を表したグラフの図。
【図8】一般的なフルスキャン方式のテストパターン数と必要となる回路内スキャンフリップフロップ数の関係を表したグラフの図。
【図9】本発明におけるスキャンテスト手法の一例を示すフローチャートの図。
【図10】テストパターンの内容を示す図。
【図11】スキャンフリップフロップの構造を示す図。
【図12】一般的なフルスキャン設計された回路概念図。
【符号の説明】
1 LSI回路
2 機能ブロック
3 スキャンフリップフロップ
4 スキャンチェーン
5 コマンド解析部
6 コマンド受付サイクル判定部
7 スキャン入力選択部
8 スキャン出力選択部
9a,b,c スキャンイン端子
10a,b,c スキャンアウト端子
11 シフト動作切り替え端子
12 クロック端子
100 スキャンフリップフロップ
101 フリップフロップ
102 マルチプレクサ
103 LSI回路
104 スキャンフリップフロップ
105 組み合わせ回路
106 スキャン入力端子
107 スキャン出力端子
108 シフト動作切り替え端子
109 クロック端子
[0001]
TECHNICAL FIELD OF THE INVENTION
The present invention relates to a semiconductor test circuit and a test method therefor, and more particularly to a full scan test circuit for an LSI and a test method therefor.
[0002]
[Prior art]
In recent years, with the miniaturization of semiconductor processes, circuits of logic LSIs have become larger, and logic LSIs with millions of gates have been designed. When testing such a logic LSI at the time of shipment, the development period of the test pattern is lengthened, and the amount of test patterns and test time used in actual mass production tests become enormous, thereby increasing the test cost. Therefore, in order to reduce the test cost of the logic LSI, a testability design is generally adopted.
[0003]
A typical method for designing for testability is a full scan design. In the full scan design, the flip-flop inside the circuit is replaced with a scan flip-flop with a scan function, and the scan chain, which is a path that can directly control and observe the internal flip-flop from an external pin, is serialized with each flip-flop with a scan function. And a shift register connected to. Also, the configuration is such that all sequential circuits can be handled as combinational circuits during the test. With such a configuration, the test of the logic LSI becomes very easy.
[0004]
4 shows an example of a full scan test circuit. The full scan circuit changes a normal flip-flop to a scan flip-flop 100 as shown in FIG. The scan flip 100 in FIG. 11 is called a MUX type, and has a structure in which a multiplexer 102 is inserted into a D input portion of a flip-flop 101 and a shift data terminal SIN and a multiplexer switching terminal SMC are added.
[0005]
FIG. 12 is a conceptual diagram of a circuit in which a normal flip-flop in the circuit 103 is replaced with a scan flip-flop and a full scan design is performed. The scan flip-flops 104a, 104b, 104c have the same circuit configuration as that shown in FIG. In the scan connection, the external scan-in terminal 106a is connected to the SIN terminal of the scan flip-flop 104a, and the Q output is connected to the next scan flip-flop 104b. Similarly, it is connected to the scan flip-flop 104c, and finally connected to the external scan-out terminal 107a to form a scan chain. The same connection is made from the external scan-in terminal 106b to the scan-out terminal 107b, and from the external scan-in terminal 106c to the scan-out terminal 107c. In this conceptual diagram, a total of three scan chains are formed. Further, a shift operation switching terminal 108 is added to control the shift operation and the capture operation performed in the scan test, and the shift operation switching terminal 108 is supplied to the SMC terminals of all the scan flip-flops. The clock terminal 109 exists in the circuit before scanning and is used as it is. In the case of FIG. 12, the length of the scan chain is 3, but it is actually the number of all flip-flops in the circuit divided by the number of available scan input / output terminals. For example, if the number of flip-flops is 900, three scan input terminals and three scan output terminals can be used, the length of one chain is 300. With this circuit configuration, a test of the scan flip-flop 104 of the LSI circuit 103 and the test of the combinational circuit 105 are performed.
[0006]
[Patent Document 1]
Japanese Patent Application Laid-Open No. 9-5403 [Patent Document 2]
JP 2000-258500 A
[Problems to be solved by the invention]
In the full scan test, a shift operation is performed to set the state of the scan flip-flop and read out the value after the operation, so that there is a problem that the test pattern length becomes extremely long. Since the length of the test pattern increases in proportion to the increase in the circuit scale, if the circuit size of the logic LSI is large, a large amount of test pattern memory of the logic LSI tester is consumed, and the full scan test time also becomes long. Therefore, it becomes the main cause of increasing the test cost. As a measure for shortening the test pattern, generally, the number of test pattern cycles required for the shift operation is reduced by increasing the number of scan chains. However, since the number of external terminals is usually limited, the number of scan chains is often limited to about 20 to 30. As a method of further reducing the number of scan shift cycles, a scan signal operation cycle is proposed by adding a bypass signal line in the middle of a scan chain and switching modes as proposed in "Semiconductor Integrated Circuit" of Patent Document 1. There are things to shorten. In this method, the scan-in terminal and scan-out terminal are fixed, and a test mode that bypasses the scan flip-flop is added, so that the degree of freedom in generating test patterns is small, and the number of test mode switching terminals increases. There is a problem. Further, in the method proposed in “Semiconductor integrated circuit and recording medium” of Patent Document 2, a plurality of scan chains are connected in parallel, the same scan input signal is supplied to the circuit, and the scan output is compressed by XOR. In this method, the purpose is to reduce the number of shift operations. The problem of this circuit is that the scan chains to be parallelized are fixed, so that the test pattern shortening effect may not be sufficiently obtained. In addition, since the scan output is compressed by the XOR method, if an undefined signal is generated in the circuit, the final output is also undefined and a high failure detection rate may not be obtained. Further, in the present invention, it is considered that a terminal for switching the test mode is additionally required.
[0008]
According to the present invention, in a full scan design of an LSI, the configuration of the internal scan chain is changed as needed without increasing the number of test terminals, and the period of one scan shift operation is significantly shortened. It is an object of the present invention to provide a semiconductor test circuit and a test method thereof capable of greatly reducing the time required for a full scan test.
[0009]
[Means to solve the problem]
The present invention relates to a circuit for performing a full scan test of an LSI by dividing the number of scan chains into a large number without being restricted by an external scan-in terminal and an external scan-out terminal. A determination circuit that has a terminal, an external scan-out terminal, a shift operation switching terminal, and a clock terminal, and that determines a specific cycle when the shift operation is switched; and a signal input from the external scan-in terminal in the specific cycle when the shift operation is switched. And a selection circuit for selecting an internal scan-in and an internal scan-out connected to the external scan-in terminal and the external scan-out terminal based on command data, respectively.
[0010]
Further, the present invention provides a function block unit including a plurality of flip-flops, and a command reception cycle determination for outputting a reception signal event based on a shift operation switching signal input to a shift operation switching terminal and an external clock signal input to a clock terminal. Unit, a command analysis unit that outputs a selection signal based on a test signal, an external clock signal, and an acceptance signal event, and a scan that changes the connection between the functional block unit and an external scan-in terminal or an external scan-out terminal according to the selection signal 1 is a semiconductor test circuit including an input selection unit and a scan output selection unit.
[0011]
Then, when connecting the internal scan-in and the internal scan-out to the external scan-in terminal and the external scan-out terminal, the present invention separately outputs the scan-in signal and the scan-out signal of each scan chain to the external scan-in terminal or This is a semiconductor test circuit connected to an external scan-out terminal.
[0012]
Furthermore, the present invention recognizes a signal input from the scan-in terminal as command data in the specific cycle determined at the time of switching the shift operation, and outputs the signal to the external scan-in terminal and the external scan-out terminal based on the command data. This is a semiconductor test circuit for connecting an unconnected internal scan-in to a necessary circuit in a timely manner.
[0013]
Further, the present invention is a method of performing a full scan test of an LSI by dividing the number of scan chains into a large number without being restricted by an external terminal. The semiconductor test method selects an internal scan-in and an internal scan-out which are respectively connected to the external scan-in terminal and the external scan-out terminal based on command data of a signal input from the external scan-in terminal in a specific cycle.
[0014]
According to the present invention, the first part of the test pattern generation has a circuit configuration for connecting all the scan flip-flops, obtains a certain failure detection rate, and thereafter, a part necessary to improve the failure detection rate Is a semiconductor test method that uses only scan flip-flops and obtains a fault detection rate with a short test pattern.
[0015]
BEST MODE FOR CARRYING OUT THE INVENTION
An embodiment of the present invention will be described.
FIG. 1 shows a configuration of a full scan test circuit according to one embodiment of the present invention. FIG. 1 shows a functional circuit block 2 realizing the function of an original LSI in a LSI circuit 1, a scan input selection circuit 7, a scan output selection circuit 8, a command reception cycle determination unit 6, and a command analysis unit 5. Be composed. In the functional circuit block 2, the flip-flop is replaced with the scan flip-flop 3 after the functional block is designed, and the scan flip-flop is converted into a scan chain 4 configuration in which each scan flip-flop is serially connected. At this time, the number of scan chains is several times larger than in the past, and the length of one chain is shorter than in the past. The scan input selection unit 7 connects the input portions of each scan chain of the functional circuit block 2 by an internal switch according to a selection signal output from the command analysis unit 5. At this time, a part of the scan chain is connected to scan input terminals 9a, 9b, 9c which are external terminals of the LSI circuit. The other input parts of the scan chain are connected to circuits in the scan input selection unit. The scan output selection unit 8 connects the output portions of each scan chain of the functional circuit block 2 by an internal switch according to a selection signal output from the command analysis unit 5. At this time, a part of the scan chain is connected to scan output terminals 10a, 10b and 10c which are external terminals of the LSI circuit. The command analyzer 5 receives a signal input from the scan input terminals 9a, 9b, 9c as a command when a reception signal event from the command reception cycle determiner 6 comes, and the connection contents are determined in advance by the designer. The command is compared with the command, and a selection signal is sent to the scan input selection unit 7 and the scan output selection unit 8. The command reception cycle determination unit 6 determines the timing at which a command comes based on the signal of the shift operation switching terminal 11 and the signal of the external CLK 12, and issues a reception signal event to the command analysis unit. For example, a case where one cycle at the moment when the shift operation switching signal 11 switches from the capture state to the shift state is defined as a command reception cycle will be described with reference to the timing chart of FIG. Assuming that the shift operation switching signal in FIG. 2 is "1", the scan flip-flop is in the shift mode, and "0" is the scan flip-flop in the capture mode, the first cycle (1) is the capture operation. In the second cycle (2), the shift operation switching terminal changes to “1”, the command receiving cycle determining unit 6 determines that a command has been input, and issues a receiving signal event to the command analyzing unit 5 to perform scan input. The terminal data is recognized as a command. The command is processed by the command analyzer 5, and the connection between the scan input selector 7 and the scan output determiner 8 is changed according to the command. In the next cycle (3), the first data set by the scan flip-flop is input from the scan input terminal. Similarly, in the cycle (4), the second data set in the scan flip-flop is input, and the data similarly set in the scan flip-flop is sequentially input until the cycle (n). Until the end, when scan data is input, "0" is input from the shift operation switching terminal in cycle (n + 1), and the capture operation is performed by the scan circuit. After the cycle (n + 2), the command input cycle and the shift data input are similarly repeated. In this example, since there are three scan input terminals, eight kinds of command types can be realized, ie, 2 to the third power. In general, there are ten or more scan input terminals, so commands of 2 10 or more can be used. In this example, the command input cycle is one cycle. However, if the number of cycles is plural, the number of commands can be further increased.
[0016]
An actual command embodiment will be described. As an example, in the case of the command table in FIG. 3, a command is represented by 3 bits, and eight types of commands can be created. For example, three types are defined. The command 000 connects three scan inputs (1), (5), and (8) of the nine internal scan chains to the external scan input terminal, and other internal scan input terminals (2) (4) (6). (7) is input as "0", and (3) and (9) are input as "1". Also, three internal scan outputs (2), (6) and (8) are connected to external scan output terminals. The actual circuit structure is connected as shown in FIG. Although the circuits are directly connected to the scan input selection unit and the scan output selection unit in FIG. 4, they are actually connected by a switch formed of a transistor. Similarly, the command 001 in FIG. 3 connects the three scan inputs (1), (2) and (9) to the external scan input terminal, and the other internal scan input terminals (3) (4) (5) (5). In (6), (7) and (8), a connection is made to a LFSR (Linear Feedback Shift Register), which is a pseudo-random number generation circuit incorporated in the scan input selection unit in advance. The three scan outputs (1), (3) and (9) are connected to an external scan output terminal. By using the LFSR, a random signal value can be input during a full scan test. The circuit of this configuration is connected as shown in FIG.
[0017]
Further, the command 010 in FIG. 3 will be described. This command connects the internal scan-out of scan chain (1) and the internal scan-in of scan chain (2), and connects the internal scan-out of scan chain (2) and the internal scan-in of scan chain (3). The three scan chains have a single scan chain structure. Then, the scan input terminal of the internal scan chain (1) is connected to the external scan input terminal, and the scan-out terminal of the internal scan chain (3) is connected to the external scan-out terminal. Similarly, for the scan chains (4), (5), (6), and (7), (8), and (9), the three scan chains are integrated into one, and the internal scan-in terminal and the scan-out terminal are externally connected. Connect to the scan-in and scan-out terminals. The circuit of this configuration is connected as shown in FIG. By realizing the circuit of this configuration, a circuit having exactly the same function as the conventional method of serially connecting scan flip-flops and connecting them to an external terminal can be allocated by assigning one command. Although only three types are defined in the command example shown in FIG. 3, more combinations are actually defined.
[0018]
Next, an example of a scan test method using the present scan test circuit will be described. First, FIG. 7 shows a relationship between a failure detection rate and a test pattern in a general full scan method. In the full scan test, the failure detection rate sharply rises in the first half of the test pattern and the test is performed efficiently, but in the second half of the test pattern, the failure detection rate curve becomes very gentle, It has been found that the failure detection rate has not been efficiently increased. FIG. 8 shows the relationship between the number of scan flip-flops in the circuit used at this time and the test pattern. In the first half of the rapid rise in the failure detection rate, the number of scan flip-flops used in the circuit is large, but in the second half of the pattern, the number of scan flip-flops used is small.
[0019]
An example of a method of performing a scan test using the scan test circuit of the present invention using the present feature will be described. In this method, as in the past, the first half of the test pattern generates a test pattern using all scan flips, and after the failure detection rate reaches a certain standard, the test pattern is only used for some scan chains. By performing the generation, the total test pattern is shortened.
[0020]
Further, a specific method will be described with reference to the flowchart in FIG. First, in S1, a plurality of scan chains are unified, and a test command using all scan flip-flops as shown in FIG. 6 is set. In S2, a scan test pattern is generated, and a failure detection rate is calculated. In S3, it is determined whether or not the failure detection rate has reached 95%. If the failure detection rate has not been achieved, the process returns to S1 to additionally generate a test pattern. When the failure detection rate becomes 95% or more, the process proceeds to S4. In S4, a test command for extracting a part of the scan chain to the outside as shown in FIGS. 4 and 5 is set. Also in S5, a scan test pattern is generated, and a failure detection rate is calculated. In S6, it is determined whether the failure detection rate is 99% or more. If the failure detection rate is not achieved, the process returns to S4, and a test pattern is additionally generated. The processing is completed when the failure detection rate exceeds 99%.
[0021]
The difference between the length of the test pattern generated by this method and the conventional method will be described with reference to FIG. In this example, it is assumed that the length of one scan chain when all the scan flip-flops are used is 900 steps, and the length of the scan chain when a part of the scan chains is used is 300 steps. In the conventional full scan test method, 900 steps are required in all scan tests, and 3600 steps are performed in four tests. On the other hand, when a test pattern is created in the example of the present invention, one cycle is required as a command reception cycle at the beginning of each test cycle. When a plurality of scan chains are connected, the test pattern cycle is 901 steps, and some scan chains are connected. When using, it is 301 steps. In FIG. 10, even if a test in which a plurality of scan chains are connected is performed twice, and then a test using a part of the scan chains is performed six times, the total number of test steps is 3608. Therefore, in the present method, it is possible to execute more test patterns in a shorter test step than in the related art.
[0022]
【The invention's effect】
According to the present invention, in a full scan design of an LSI, the configuration of the internal scan chain is changed at any time without increasing the number of test terminals, and the period of one scan shift operation is significantly shortened. It is possible to obtain a semiconductor test circuit and a test method thereof capable of greatly reducing the amount and shortening the full scan test time.
[Brief description of the drawings]
FIG. 1 is a diagram showing a schematic configuration of a scan test circuit according to an embodiment of the present invention.
FIG. 2 is an explanatory diagram of an example of a timing chart when a scan test circuit of the present invention is operated.
FIG. 3 is an explanatory diagram of an example of a test command according to the present invention.
FIG. 4 is a first diagram illustrating an example of a scan circuit structure.
FIG. 5 is a second diagram illustrating an example of a scan circuit structure.
FIG. 6 is a third diagram illustrating an example of a scan circuit structure.
FIG. 7 is a graph showing the relationship between the number of test patterns and the failure detection rate in a general full scan system.
FIG. 8 is a graph showing the relationship between the number of test patterns in a general full scan system and the number of required scan flip-flops in a circuit.
FIG. 9 is a flowchart illustrating an example of a scan test method according to the present invention.
FIG. 10 is a diagram showing the contents of a test pattern.
FIG. 11 illustrates a structure of a scan flip-flop.
FIG. 12 is a schematic circuit diagram of a general full scan design.
[Explanation of symbols]
DESCRIPTION OF SYMBOLS 1 LSI circuit 2 Function block 3 Scan flip-flop 4 Scan chain 5 Command analysis part 6 Command reception cycle judgment part 7 Scan input selection part 8 Scan output selection parts 9a, b, c Scan-in terminals 10a, b, c Scan-out terminals 11 Shift operation switching terminal 12 Clock terminal 100 Scan flip-flop 101 Flip-flop 102 Multiplexer 103 LSI circuit 104 Scan flip-flop 105 Combination circuit 106 Scan input terminal 107 Scan output terminal 108 Shift operation switching terminal 109 Clock terminal

Claims (6)

スキャンチェーンの本数を外部スキャンイン端子及び外部スキャンアウト端子に制約されることなく多く分割してLSIのフルスキャンテストを行う回路であって、
スキャンテストで使用する外部スキャンイン端子、外部スキャンアウト端子、シフト動作切り替え端子及びクロック端子を有するとともに、シフト動作切り替え時の特定サイクルを判別する判別回路と、シフト動作切り替え時の特定サイクルにおける外部スキャンイン端子から入力される信号のコマンド用データに基づき外部スキャンイン端子及び外部スキャンアウト端子とそれぞれ接続する内部スキャンイン及び内部スキャンアウトを選択する選択回路とを備えることを特徴とする半導体テスト回路。
A circuit for performing a full scan test of an LSI by dividing the number of scan chains into a large number without being restricted by an external scan-in terminal and an external scan-out terminal,
A determination circuit that has an external scan-in terminal, an external scan-out terminal, a shift operation switching terminal, and a clock terminal for use in a scan test, and that determines a specific cycle when the shift operation is switched; and an external scan in a specific cycle when the shift operation is switched A semiconductor test circuit comprising: a selection circuit that selects an internal scan-in and an internal scan-out that are respectively connected to an external scan-in terminal and an external scan-out terminal based on command data of a signal input from an IN terminal.
複数のフリップフロップからなる機能ブロック部と、シフト動作切り替え端子に入力したシフト動作切り替え信号及びクロック端子に入力した外部クロック信号を元に受け付け信号イベントを出力するコマンド受付サイクル判定部と、テスト信号、外部クロック信号及び受け付け信号イベントを元に選択信号を出力するコマンド解析部と、該選択信号により機能ブロック部と外部スキャンイン端子又は外部スキャンアウト端子との接続を変更するスキャン入力選択部及びスキャン出力選択部とを備える請求項1記載の半導体テスト回路。A function block unit including a plurality of flip-flops, a command reception cycle determination unit that outputs a reception signal event based on a shift operation switching signal input to a shift operation switching terminal and an external clock signal input to a clock terminal, a test signal, A command analysis unit that outputs a selection signal based on an external clock signal and a reception signal event; a scan input selection unit and a scan output that change the connection between the function block unit and an external scan-in terminal or an external scan-out terminal according to the selection signal The semiconductor test circuit according to claim 1, further comprising a selection unit. 内部スキャンイン及び内部スキャンアウトそれぞれを外部スキャンイン端子及び外部スキャンアウト端子と接続する際に、各スキャンチェーンのスキャンイン信号とスキャンアウト信号をそれぞれ個別に外部スキャンイン端子又は外部スキャンアウト端子と接続する請求項1記載の半導体テスト回路。When connecting the internal scan-in and internal scan-out to the external scan-in terminal and external scan-out terminal, respectively connect the scan-in signal and scan-out signal of each scan chain to the external scan-in terminal or external scan-out terminal, respectively. The semiconductor test circuit according to claim 1, wherein シフト動作切り替え時に判別した特定サイクルにおいては、スキャンイン端子から入力される信号をコマンド用データと認識し、該コマンド用データに基づいて外部スキャンイン端子及び外部スキャンアウト端子に接続されない内部スキャンインを適時必要な回路に接続させる請求項1記載の半導体テスト回路。In the specific cycle determined at the time of switching the shift operation, a signal input from the scan-in terminal is recognized as command data, and an internal scan-in that is not connected to the external scan-in terminal and the external scan-out terminal is recognized based on the command data. 2. The semiconductor test circuit according to claim 1, wherein the semiconductor test circuit is connected to a necessary circuit as needed. スキャンチェーンの本数を外部端子に制約されることなく多く分割してLSIのフルスキャンテストを行う方法であって、
シフト動作切り替え時の特定サイクルを判別し、シフト動作切り替え時の特定サイクルにおける外部スキャンイン端子から入力される信号のコマンド用データに基づき外部スキャンイン端子及び外部スキャンアウト端子とそれぞれ接続する内部スキャンイン及び内部スキャンアウトを選択することを特徴とする半導体テスト方法。
A method of performing a full scan test of an LSI by dividing the number of scan chains into a large number without being restricted by external terminals,
A specific cycle at the time of shift operation switching is determined, and an internal scan-in is connected to the external scan-in terminal and the external scan-out terminal based on command data of a signal input from the external scan-in terminal in the specific cycle at the time of shift operation switching. And selecting an internal scan-out.
テストパターン生成の最初の部分は、すべてのスキャンフリップフロップを接続する回路構成とし、ある程度の故障検出率まで獲得し、その後は故障検出率を向上させるのに必要な一部のスキャンフリップフロップだけを使用し、短いテストパターンで故障検出率を得る請求項5記載の半導体テスト方法。The first part of the test pattern generation is a circuit configuration that connects all scan flip-flops, obtains a certain failure detection rate, and thereafter, only a part of the scan flip-flops necessary to improve the failure detection rate 6. The semiconductor test method according to claim 5, wherein a fault detection rate is obtained by using a short test pattern.
JP2003015798A 2003-01-24 2003-01-24 Semiconductor test circuit and test method thereof Expired - Fee Related JP4272898B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2003015798A JP4272898B2 (en) 2003-01-24 2003-01-24 Semiconductor test circuit and test method thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2003015798A JP4272898B2 (en) 2003-01-24 2003-01-24 Semiconductor test circuit and test method thereof

Publications (2)

Publication Number Publication Date
JP2004226291A true JP2004226291A (en) 2004-08-12
JP4272898B2 JP4272898B2 (en) 2009-06-03

Family

ID=32903446

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003015798A Expired - Fee Related JP4272898B2 (en) 2003-01-24 2003-01-24 Semiconductor test circuit and test method thereof

Country Status (1)

Country Link
JP (1) JP4272898B2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200003166A (en) * 2017-05-08 2020-01-08 자일링크스 인코포레이티드 Dynamic Scan Chain Reconstruction in Integrated Circuits

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200003166A (en) * 2017-05-08 2020-01-08 자일링크스 인코포레이티드 Dynamic Scan Chain Reconstruction in Integrated Circuits
JP2020518826A (en) * 2017-05-08 2020-06-25 ザイリンクス インコーポレイテッドXilinx Incorporated Dynamic scan chain reconstruction in integrated circuits
JP7179765B2 (en) 2017-05-08 2022-11-29 ザイリンクス インコーポレイテッド Dynamic scan chain reconfiguration in integrated circuits
KR102577213B1 (en) 2017-05-08 2023-09-08 자일링크스 인코포레이티드 Dynamic scan chain reconfiguration in integrated circuits

Also Published As

Publication number Publication date
JP4272898B2 (en) 2009-06-03

Similar Documents

Publication Publication Date Title
US7404126B2 (en) Scan tests tolerant to indeterminate states when employing signature analysis to analyze test outputs
Li et al. Test set embedding for deterministic BIST using a reconfigurable interconnection network
US20080005634A1 (en) Scan chain circuitry that enables scan testing at functional clock speed
JP2003332443A (en) Semiconductor integrated circuit and design supporting device as well as test method therefor
JP2013092517A (en) Dynamic clock area bypass for scan chain
Xu et al. Resource-constrained system-on-a-chip test: a survey
US5729553A (en) Semiconductor integrated circuit with a testable block
US5077740A (en) Logic circuit having normal input/output data paths disabled when test data is transferred during macrocell testing
JPH04233635A (en) Built-in self-inspecting device in sequential digital logic circuit
US6556037B2 (en) Semiconductor integrated circuit and test board
JP7204697B2 (en) semiconductor integrated circuit
US20060041806A1 (en) Testing method for semiconductor device and testing circuit for semiconductor device
KR100735585B1 (en) Semiconductor circuit apparatus and scan test method for semiconductor circuit
JPH11352188A (en) Semiconductor device
EP0699920A2 (en) Semiconductor integrated circuit with a testable block
US9599673B2 (en) Structural testing of integrated circuits
CN113609804B (en) Case generation method and device, test method and testability design method
JP2009122009A (en) Test circuit
JP2003121497A (en) Scan path circuit for logic circuit test and integrated circuit device provided with it
JP4272898B2 (en) Semiconductor test circuit and test method thereof
JPH06201782A (en) Semiconductor integrated circuit
KR100396096B1 (en) Test circuit for semiconductor integrated circuit
JP2723957B2 (en) Semiconductor integrated circuit device
JP3275952B2 (en) Test circuits for digital logic circuits
JPWO2008120389A1 (en) Memory test circuit, semiconductor integrated circuit, and memory test method

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050810

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20081112

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20081118

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090116

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20090203

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20090302

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120306

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120306

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130306

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130306

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140306

Year of fee payment: 5

LAPS Cancellation because of no payment of annual fees