JP2004213634A - プログラム可能なプラットフォーム・デバイス上において変動するメモリ要件を満足するように資源の固定された組を用いてメモリを構成する方法 - Google Patents

プログラム可能なプラットフォーム・デバイス上において変動するメモリ要件を満足するように資源の固定された組を用いてメモリを構成する方法 Download PDF

Info

Publication number
JP2004213634A
JP2004213634A JP2003410384A JP2003410384A JP2004213634A JP 2004213634 A JP2004213634 A JP 2004213634A JP 2003410384 A JP2003410384 A JP 2003410384A JP 2003410384 A JP2003410384 A JP 2003410384A JP 2004213634 A JP2004213634 A JP 2004213634A
Authority
JP
Japan
Prior art keywords
memory
memories
gate array
platform device
programmable platform
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2003410384A
Other languages
English (en)
Other versions
JP4447901B2 (ja
Inventor
Paul G Reuland
ポール・ジー・ルーランド
George W Nation
ジョージ・ダブリュー・ネーション
Jonathan W Byrn
ジョナサン・ダブリュー・バーン
Gary S Delp
ゲーリー・エス・デルプ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
LSI Corp
Original Assignee
LSI Logic Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by LSI Logic Corp filed Critical LSI Logic Corp
Publication of JP2004213634A publication Critical patent/JP2004213634A/ja
Application granted granted Critical
Publication of JP4447901B2 publication Critical patent/JP4447901B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2115/00Details relating to the type of the circuit
    • G06F2115/06Structured ASICs

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Semiconductor Memories (AREA)
  • Logic Circuits (AREA)

Abstract

【課題】 資源の固定されている場合に、変動するメモリ仕様を満足するように回路製造の間に構築されるメモリ構築ブロックの構成を容易にするプロセス及びアーキテクチャを提供すること。
【解決手段】 1又は複数の拡散されたメモリ領域と1又は複数のゲート・アレイ領域とを含むプログラム可能なプラットフォーム・デバイスに関する情報を受け取るステップ(202)と、1又は複数のメモリのための所定の設計情報を受け取るステップ(202)と、(C)1又は複数のメモリ構築ブロックを、(i)前記1又は複数の拡散されたメモリ領域か、(ii)前記1又は複数のゲート・アレイ領域か、又は、(iii)前記拡散されたメモリ領域と前記ゲート・アレイ領域との両方に、前記所定の設計情報と前記プログラム可能なプラットフォーム・デバイスに関する前記情報とに基づいて構成するステップ(203)とを含む方法によって、目的のプロセスは達成される。
【選択図】 図5

Description

本発明は、広くは超LSI(VLSI)の回路設計に関し、より詳しくは、プログラム可能なプラットフォーム・デバイス上に資源の固定された組を用いてメモリを構成し変動するメモリ要件を満たす方法に関する。
超LSI(VLSI)回路設計のためのプログラム可能なプラットフォーム・アーキテクチャは、そのプラットフォームに適用される異なるカスタム・ロジック設計を実装するための資源(リソース)の固定された組(set、集合)を提供する。埋込式メモリは、そのような資源の1つである。同一のプログラム可能なプラットフォーム・デバイスに適用される異なるカスタム・ロジック設計の埋込式メモリの要件は、相当に異なることがありうる。
従来型のソリューションでは、標準サイズの埋込式メモリ・ブロックが、プログラム可能なプラットフォーム・デバイスによって提供される。これらのブロックは組み合わされて、所望のメモリ幅及び深度が作成される。しかし、従来型のソリューションは、柔軟性に欠けるという短所を有する。プログラム可能なプラットフォーム・デバイス上に製造される回路の設計者は、埋込式アレイのカスタマイズされた使用法に関して、非常に限定された自由度しか有していない。チップ設計者は、プラットフォーム設計者によって実装された限定されたモードで提供されている資源だけを用いることができる。すると、チップ設計者がその応用例に最適である編成でメモリを用いる資源を有していない、という状況が生じことがある。
また、従来型のソリューションは、ダイ上の面積を浪費する。予め決まったサイズを有する複数の埋込式メモリ・アレイを組み合わせると、ダイ面積を浪費する可能性がある。例えば、2つの入手可能な256x40のアレイを組み合わせて256x50のアレイを作成する場合には、第2のアレイの75%が無駄になる。更に、従来型のソリューションは、結果的に、タイミング情報のフィードバックが遅れる可能性がある。ランダム・アクセス・メモリのタイミングに対する相互接続遅延の効果は、設計プロセスの中では遅れるのが通常であるフル・チップのタイミング・テストができるようになるまで、発見されることはない。カスタム・ロジック・チップの設計時間の最短化を試みるときには、正確な設計上の拘束条件が設計者に提供されるのがプロセスの中で早ければ早いほど、選択肢の間での設計上の適切なトレードオフをより簡単に行うことが可能となる。プロセスの中で正確な情報が入手できるのが遅いほど、相当な再作業が必要となる可能性があり、それでは、拘束条件に関する新たな情報を用いて設計を初めからやり直すこととほとんど同じであって、従って、不正確な仮定の下でなされた作業の進行が否定されてしまう。
資源の限定された組合せを用いて異なる設計のメモリ・サイズとパフォーマンス仕様とを満足させる埋込式メモリ・ソリューションを提供することが望まれる。
本発明は、プログラム可能なプラットフォーム・デバイスの上にメモリを構成する方法であって、(A)1又は複数の拡散されたメモリ領域と1又は複数のゲート・アレイ領域とを含むプログラム可能なプラットフォーム・デバイスに関する情報を受け取るステップと、(B)1又は複数のメモリのための所定の設計情報を受け取るステップと、(C)1又は複数のメモリ構築ブロックを、(i)前記1又は複数の拡散されたメモリ領域か、(ii)前記1又は複数のゲート・アレイ領域か、又は、(iii)前記拡散されたメモリ領域と前記ゲート・アレイ領域との両方に、前記所定の設計情報と前記プログラム可能なプラットフォーム・デバイスに関する前記情報とに基づいて構成するステップと、を含む方法に関する。
本発明の目的、特徴及び効果は、資源の固定された組を用い、変動するメモリ規準を充足するメモリをプログラム可能なプラットフォーム・デバイスの上に構成して、(i)固定されたブロック拡散されたメモリとゲート・アレイ・メモリ資源との組合せからメモリを構築する能力を提供し、(ii)物理RAMとメモリ構成プロセスにおける論理情報とを含む能力を提供し、(iii)メモリ構成方法を実行する自動化されたツールを提供し、(iv)メモリ組合せのはるかに広範囲で豊富な組をチップ設計者に利用可能とする高い柔軟性を提供し、(v)集積回路メモリ資源のインテリジェントな構成により従来の方法よりも高密度を提供しシリコンの無駄を減らし、(vi)集積回路の物理情報に基づきメモリのタイミング・パフォーマンスに関する早期の見通しを提供することによりパフォーマンス・フィードバックを可能にし、(vii)設計サイクルの遅い時期における高価な再設計を減少させ、及び/又は、(viii)RTLビューの自動生成を提供する、方法を提供することである。
本発明の以上の及びそれ以外の目的、特徴及び効果は、以下の詳細な説明、特許請求の範囲及び添付の図面から明らかである。
図1を参照すると、本発明の好適実施例によるプロセス100の流れ図が示されている。プロセス100は、ステージ102と、ステージ104と、ステージ106と、ステージ108とを含みうる。ステージ102は、資源セレクタ(資源選択手段)として実装されうる。ステージ104は、メモリ・コンポーザ(メモリ構成手段)として実装されうる。ステージ106は、ゲート・アレイ(又は、A−セル)メモリ・コンパイラ(ゲート・アレイ・メモリ・コンパイル手段)として実装されうる。ステージ108は、ラッパ・ジェネレータ(ラッパ生成手段)として実装されうる。
資源セレクタ102は、ある例では、(i)デバイス資源に関する情報(例えば、ブロック110)と、(ii)デバイス資源の現時点での入手可能性に関する情報(例えば、ブロック112)と、(iii)顧客のメモリ仕様(例えば、ブロック116)を備えたプログラム可能なプラットフォーム・デバイスの物理レイアウト・データ(例えば、ブロック114)とを比較するように構成されうる。資源セレクタ102は、プログラム可能なプラットフォーム・デバイスの中のどの資源が顧客によって特定された特定の1又は複数のメモリを構成する役割を有しているのかを決定するのが一般的である。資源セレクタ102は、資源選択(又は、配分)情報をメモリ・コンポーザ104に送るのが一般的である。メモリ・コンポーザ104は、顧客の仕様を満足する様々なメモリ・シェルを生成する(又は、そのようなメモリ・シェルのコンフィギュレーションを管理する)のが一般的である。
資源の比較及び配分は、設計を最適化するのに適用される仕様の組合せに基づいて実行されるのが一般的である。これらの仕様には、例えば、ユーザの好み(例えば、ユーザは、どのメモリ要件のためにどの資源が配分されるべきかに関してツールに命令することを選択できる)、変更の最小化(例えば、ほぼ完成した設計への変更を最小化するような態様で配分を行うことができる)、タイミングの考慮(例えば、利用可能な資源の速度へのタイミング要件と最も密接して一致するように配分を行うことができる)、位置又はルーティングの密集(例えば、メモリから関連するロジックまでの距離を最小化するように配分を行うことができ、それによって、タイミングを改善しルーティングの密集を減少させることができる)、及び、密度(例えば、メモリ密度を生成及び/又は最適化するように配分を行うことができ、それによって、要求されるチップのシリコン面積を最小化することができる)が含まれる。
デバイス資源110は、一般に、例えば、(i)パイプライン化されていない拡散メモリ、(ii)パイプライン化された拡散メモリ、(iii)パイプライン化されていないゲート・アレイ・ベースのメモリ、及び/又は(iv)パイプライン化されたゲート・アレイ・ベースのメモリを含む構成可能なメモリ要素を含む。パイプライン化されていない拡散メモリは、ある例では、ビット・セル・ベースの拡散メモリとして実装されうる。パイプライン化された拡散メモリは、ある例では、メモリ入力及び/又は出力におけるフリップフロップ、レジスタ及び/又はラッチの段を有する拡散メモリとして実装されうる。これらのフリップフロップ、レジスタ及び/又はラッチは、機能又はタイミングの目的のために構築されている。パイプライン化されていないゲート・アレイ・ベースのメモリは、ある例では、プログラム可能なプラットフォーム・デバイスの上のゲート・アレイ要素(例えば、A−セル)の海の上に構築されたメモリとして実装されうる。パイプライン化されたゲート・アレイ・ベースのメモリは、ある例では、メモリ入力及び/又は出力におけるフリップフロップ、レジスタ及び/又はラッチの段を有するゲート・アレイ・ベースのメモリとして実装されうる。これらのフリップフロップ、レジスタ及び/又はラッチは、機能又はタイミングの目的のために構築されている。
メモリ・コンポーザ104は、資源セレクタ102から複数の入力を受け取るように構成されうる。例えば、資源セレクタ102からの複数の入力には、顧客のロジック仕様入力、チップ資源配分、及び/又は、物理配置データが含まれる。しかし、特定の実装の設計基準を満足するように、これ以外の入力を実装することもできる。顧客のロジック仕様入力は、例えば、メモリ・パフォーマンス仕様(例えば、サイクル時間、アクセス時間など)、メモリの寸法(例えば、アレイの幅及び深度)、メモリにおけるポートの数及びタイプ(例えば、シングル・ポート、デュアル・ポートなど)、メモリ・レイテンシ、及び/又はメモリの電力消費仕様を含む。チップ資源配分入力は、例えば、配分される資源のタイプ(例えば、拡散メモリ又はゲート・アレイ・メモリ)、配分される資源の量などを含む。物理配置データ入力は、ある例では、資源の物理的配置と、メモリにアクセスしているロジックの物理的配置とを含む。しかし、特定の実装の設計基準を満足するように、これ以外の資源選択情報(又は、入力)を実装することもできる。
メモリ・コンポーザ104は、複数の出力116を提供するように構築される。出力116は、ある例では、生成された1又は複数のメモリのRTLビュー、生成されたメモリ及び関連するラッパのための合成スクリプト、生成されたメモリ及び関連するラッパのための静的タイミング・スクリプト、及び/又は、メモリ組込み自己テスト(BIST)のテスト・ラッパ(例えば、互換性のあるロジック・ビジョン)を含む。メモリ・コンポーザ104は、基本的な誤り訂正フィードバックを提供する。例えば、メモリ・コンポーザ104は、資源と顧客仕様との間の不一致に関する情報を提供するように構成される(例えば、ブロック118)。例えば、メモリ・コンポーザ104は、相互接続遅延や顧客仕様を不十分にしか満足していないラッパ要素によって挿入される遅延と共に、ランダム・アクセス・メモリ(RAM)のタイミングなどの問題を検出し指示するように構成される。メモリ・コンポーザ104は、チップの物理情報に基づいて、メモリのタイミング・パフォーマンスに関する早期の展望(ビュー)を提供するのが一般的である。タイミング・パフォーマンスに関する早期のビューを提供することにより、本発明は、設計サイクルの遅い段階でのコストのかかる再設計を減少させる又は回避できる。
メモリ・コンポーザ104は、多数のメモリ構成の特徴を提供するのが一般的である。例えば、メモリ構成の特徴には、全体としての(グロスの)メモリ・ソリューション・チェック、多数のシングル・ポート・メモリ構成、多数のマルチ・ポート・メモリ構成が含まれる。全体としてのメモリ・ソリューション・チェックは、ある例では、顧客パフォーマンス仕様と構成されたメモリ・パフォーマンスとの解析が含まれる。そのような解析には、例えば、物理的な配置情報からの相互接続遅延及び/又はラッパ要素(例えば、テスト及び機能ラッパ)によって挿入される追加的遅延が含まれる。
図2Aないし図2Fを参照すると、様々なメモリ構成のブロック図が示されている。メモリ・コンポーザ104は、多数のシングル・ポート・メモリ構成を生成するように構成される。例えば、メモリ・コンポーザ104は、(i)シングル拡散メモリ(例えば、図2A)、(ii)マルチ拡散メモリ(例えば、図2B及び図2C)、(iii)ゲート・アレイ・メモリ(例えば、図2D)、(iv)拡散メモリとゲート・アレイ・メモリとの組合せ(例えば、図2B及び図2C)及び(v)1つのシングル・ポート・メモリからの複数のシングル・ポート・メモリ(例えば、より高速の1つのメモリを時分割多重化して複数のより低速のメモリを提供する)から、シングル・ポート・メモリを提供する。ある例では、追加的(エクストラ)なデータ・ビット及び/又はアドレス・ビットをラッパにおいてタイ・オフすることができる。追加的なデータ・ビット及び/又はアドレス・ビットをタイ・オフすると、テスト・フレンドリな構成が得られるのが一般的である。一般に、メモリ・コンポーザ104は、メモリ入力及び/又は出力において1又は複数のパイプライン段を有するシングル・ポート構成のそれぞれを生成するように構成される(例えば、図2Aないし図2Dのフリップフロップ)。
メモリ・コンポーザ104は、多数のマルチ・ポート・メモリ構成を生成するように構築することができる。例えば、メモリ・コンポーザ104は、(i)シングル・ポート・メモリの2倍幅の組合せ、(ii)シングル・ポート・メモリの2倍クロックの組合せ、(iii)単一の拡散デュアル・ポート・メモリ(例えば、図2F)、(iv)複数の拡散デュアル・ポート・メモリ、(v)ゲート・アレイ・メモリ(例えば、図2D)、(vi)拡散メモリとゲート・アレイ・メモリとの組合せ、及び/又は、(vii)単一の高速メモリを用いて低速のメモリ仕様に時分割多重化することによる単一の2ポート・メモリからの複数の2ポート・メモリから、1つの2ポート・メモリを提供することができる。一般に、メモリ・コンポーザ104は、メモリ入力及び/又は出力上に1又は複数のパイプライン段を備えたマルチ・ポート構成のそれぞれを生成するように構築できる(例えば、図2Fのフリップフロップ)。
図2Aを参照すると、基本的なシングル・ポート拡散メモリ130が示されている。メモリ130は、メモリ・テスト・ラッパ(例えば、BISTカラー)を含む。メモリ130は、パイプライン化されたものとして又はパイプライン化されていないものとして実装されうる。メモリがパイプライン化されて実装されるときには、ラッパは、パイプライン・フリップフロップ132を含むように生成される。メモリがパイプライン・フリップフロップ132なしで用いられる場合には、ラッパは、ポート再指名及び/又はタイオフ・ブロック挿入のために生成される(図2Eとの関係で後述する)。
図2Bを参照すると、メモリの幅を増大させる複数のメモリの組合せの例が示されている。メモリは、それぞれが、拡散メモリ、ゲート・アレイ・メモリ、又は、これら拡散及びゲート・アレイ・メモリの組合せとして実装されている。ある例では、256x140のメモリ133が、2つの256x80のメモリ134a及び134bから構成することができる。構成されたメモリのサイズは特定されたメモリよりも大きいので、多数の入力が、タイオフ・フリップフロップ136を用いてタイオフされる。メモリ134a及び134bがパイプライン化されたメモリとして用いられるときには、パイプライン・フリップフロップ138a及び138bを含むラッパが生成される。論理的には、フリップフロップの組を実装して、このメモリに対するアドレス・ビットを記憶することができる。しかし、メモリ134a及び134bは、ダイの上で近接して位置していない。そのような場合には、フリップフロップの別々のバンクを実装することができる。
図2Cを参照すると、メモリ深度が増大するように構成された複数のメモリの組合せを図解するブロック図が示されている。これらのメモリは、拡散メモリ・ブロック、ゲート・アレイ・メモリ・ブロック、又は、これら拡散及びゲート・アレイ・メモリ・ブロックの組合せから構成されている。一般に、構成された物理メモリが特定の数よりも多い数のローを有すると、ラッパは、アドレスを2つの境界のベキ(power)に分解する。例えば、128x80のメモリが256x80のメモリから構成される場合には、上位アドレス・ビットがタイオフされる(tie off、結合を解かれる)。しかし、200x80のメモリが246x80のメモリから構成される場合には、一般に、ラッパに追加アドレス・ロジックは存在しない(例えば、ユーザは、誤りの指示なしで、意図した範囲を超えたアドレシング能力を有しうる)。
ある例では、512x80のメモリ139を、2つの256x80のメモリ140a及び140bから構成することができる。メモリ140a及び140bは、それぞれが、メモリ・テスト・ラッパ(例えば、BISTカラー)を含む。メモリのためのラッパは、ハイ・アドレス・ビットに基づいてメモリのそれぞれに対するイネーブル信号を生成するロジック(ロジック・ゲート142、144、146)を含む。構成されたメモリがパイプライン化されている場合には、ラッパは、パイプライン・フリップフロップ148a及び148bを含む。
図2Dを参照すると、例示的なゲート・アレイ・メモリを図解するブロック図が示されている。ゲート・アレイ・メモリは、A−セル・ストレージ要素150を用いて実装される。ゲート・アレイ・メモリがパイプライン・メモリとして用いられる場合には、パイプライン・フリップフロップ152をラッパの中に実装することができる。ゲート・アレイ・メモリ・ラッパは、メモリが同期してアクセスされるのか非同期でアクセスされるのかを制御することができるマルチプレクサ154を含みうる。
図2Eを参照すると、メモリの不活性ポートのためのタイオフ(tie off)構造を図解するブロック図が示されている。ある例では、未使用アドレス、データ及びリード/ライト・イネーブル・ビットを不活性に結合することができる。タイオフは、一般に、制御された態様でなされ、タイオフの周囲の製造テスト・ロジックを付勢する。例えば、タイオフ・フリップフロップ160を、既知の信号を不活性ポートに与えるように実装することが可能である。
図2Fを参照すると、デュアル・ポート・メモリを図解するブロック図が示されている。デュアル・ポート・メモリ170は、メモリ・テスト・ラッパ(例えば、BISTカラー(collar))を含むことがありうる。メモリ170がパイプライン化された応用例のために構成されているかパイプライン化されていない応用例のために構成されているかにより、ラッパを、パイプライン・フリップフロップ172を含むように生成することができる。このラッパは、また、タイオフ・ブロック(図示せず)を含むこともある。
図1を再び参照すると、ある例では、ゲート・アレイ・メモリ・コンパイラ106を標準的なメモリ・コンパイラとして実装することができる。ある例では、ゲート・アレイ・メモリ・コンパイラ106は、A−セル・メモリ・コンパイラとして実装することができる。ゲート・アレイ・メモリ・コンパイラ106は、一般に、入力としてロー番号とコラム番号とポート数とを受け取り、ゲート・アレイ・メモリを生成する。メモリ・コンポーザ104は、一般に、資源セレクタ102によって提供された情報を取得して、選択された資源に基づいて要求された動作を実行する能力の基本的チェックを提供し、特定されたメモリ構成を提供する特定のツールを調整する。例えば、メモリ・コンポーザ104は、情報をゲート・アレイ・メモリ・コンパイラ106に送り、特定されたA−セル・ベースのメモリを生成する。A−セル・メモリ・コンパイラ106は、一般に、提供された情報に基づいてメモリを生成する。メモリ・コンパイラ106の出力は、一般に、生成されたメモリの使用のためのすべてのビュー(例えば、rtl、タイミング、物理レイアウトなど)を含む。ゲート・アレイ・メモリ・コンパイラ106の出力は、ある例では、(i)A−セルに基づくシングル・メモリを要求する場合に生成されたものとして、又は、(ii)拡散メモリなど他のメモリのメモリ・ビューと組み合わせて複数のメモリのより複雑な組合せを生じさせるものとして、のいずれかに用いられる。
図3を参照すると、図1のラッパ・ジェネレータ108によって生成される例示的なラッパを図解するブロック図が示されている。ラッパ・ジェネレータ108は、実装されているメモリのタイプに基づいて、パイプライン段のためのRTLコードと、入力及び出力多重化と、タイオフ・ブロックと、メモリ・コンポーザ104によって要求されたテスト構造(図2Aないし図2Fとの関係で既に詳細に説明した)とを生成するように構成することができる。既に述べたように、メモリ・コンポーザ104は、一般に、資源セレクタ102によって提供された情報を取得し、選択された資源に基づいてユーザによって特定された動作を実行する能力の基本的なチェックを提供し、特定されたメモリ構成を提供するのに要求される特定のツールを管理する。生成されたメモリ(例えば、A−セル、拡散メモリ又はこの両者の組合せから)に基づいて、ラッパ・ジェネレータ108は、一般に、生成されたメモリをカプセル化するラッパを提供(又は、構築)する(例えば、拡散メモリ184に対しては論理メモリ・ラッパ180及びメモリ・テスト・ラッパ182、及び/又は、A−セル・メモリに対してはメモリ・ラッパ186)。ラッパ・ジェネレータは、一般に、任意のパイプライン段要求を満足し、適切なユーザ・ビュー(例えば、タイオフ未使用データ及びアドレス・ビット)を提供し、他の可能性のある進んだラッパ機能(例えば、ecc、パリティ・チェック/生成など)を実行する。
プロセス100は、更に、設計認定段120を含む。設計認定手段(クオリファイア)120は、メモリ・コンポーザ104の出力が顧客の使用を満足するかどうかを判断するように構成されている。メモリ・コンポーザ104の出力が(例えば、顧客の所定の規準に基づく)顧客の使用を満足していないときには、設計認定手段は、情報を資源セレクタに送り、その結果、利用可能な資源が新たに配分されることがありうる。
図4を参照すると、本発明の好適実施例によるプログラム可能なプラットフォーム・デバイス(又は、ダイ)190のブロック図が示されている。デバイス190は、一般に、拡散メモリ192の1又は複数の領域と、パイプライン化された拡散メモリ194の1又は複数の領域と、1又は複数の拡散領域とを含む。領域192、194、196は、ダイ190の周囲に分配することができる。拡散領域196は、ある例では、ロジック及び/又はメモリとしてカスタマイズすることができる。例えば、領域196は、ゲート・アレイの海(sea)として実装されうる。ある例では、領域196は、多数のA−セルを用いて実装されうる。ただしここで、A−セルとは、金属層を用いてまだ個別化されていない(又は、構成されていない)1又は複数のトランジスタを含むように設計された(又は、拡散された)シリコンの面積を指している。配線層をA−セルに追加することにより、特定のトランジスタ、ロジック・ゲート及び/又はストレージ要素が作成される。A−セルは、一般に、トランジスタの構成要素とそれ以後の製造ステップにおいて配線(例えば、電源、グランド、入力及び出力への)が追加される接触点とを形成するための1又は複数の拡散を含む。
一般に、A−セルは、ある例では、ロジック及び/又はストレージ要素のための構築ブロックである。例えば、ロジック又はストレージ機能を実行するチップを設計する1つの方法として、ローからロー、コラムからコラムというように、多数のA−セルを配置する方法がある。チップの大きな面積が、A−セルにだけ与えられる場合もありうる。A−セルは、それ以後の製造ステップにおいて(例えば、金属層を積層することによって)特定のロジック機能を提供するように個別化(又は、構成)される。これらのロジック機能は、更にワイヤで結合することも可能である(例えば、ゲート・アレイ設計)。
デバイス190は、1又は複数のハード・マクロ198を含むこともある。ハード・マクロ198は、特定の機能のためにカスタマイズされ最適化された回路設計の拡散されたパターンを含む。ハード・マクロは、一般に、ASIC設計のように作用する。例えば、高速インターフェースをハード・マクロの中にルーティングすることが可能である。ハード・マクロは、インターフェース・プロトコルのレベルに従って、インターフェースを正確に受け取りそのインターフェースにおいて受け取られたすべての誤りを訂正する信号処理を実行するように構成することができる。一般に、ハード・マクロは、デバイス190上で多数の機能を提供するように実装されうる。例えば、ハード・マクロ198は、位相ロック・ループ(PLL)や、プロセッサ、メモリ、入力/出力PHYレベル・マクロの例などを含むことがありうる。
図5を参照すると、メモリ・コンポーザ104の例示的な動作を図解するプロセス200の流れ図が示されている。プロセス200は、プログラム可能なプラットフォーム・デバイスの上に実装されるメモリのための顧客の仕様と、配分され利用可能なデバイス資源と、物理的な配置情報となどを受け取ることによって開始する(例えば、ブロック202)。プロセス200は、一般に、顧客のメモリ仕様を満足するように構築された多数のメモリ構築ブロック(例えば、拡散メモリ・ブロック及び/又はゲート・アレイ・ベースのメモリ・ブロック)を構成するように進行する(例えば、ブロック203)。メモリ仕様を満足するために拡散メモリ・ベースのメモリ・ブロックを含めるべきときには、メモリ・コンポーザ104は、一般に、デバイスの利用可能な資源から1又は複数の拡散メモリ・ブロックを選択するように構成される(例えば、ブロック204及び205)。ゲート・アレイ・ベースのメモリ・ブロックが実装される場合には、それぞれのゲート・アレイ・ベースのメモリ・ブロックのためのパラメータ(例えば、ロー、コラム、ポート数など)が一般にゲート・アレイ(又は、A−セル)メモリ・コンパイラに送られる(例えば、ブロック206及び208)。
構築ブロックが生成されると、プロセスは、顧客仕様の中のメモリのタイプと関連する任意のパイプライン段のためのRTLコード、入力、出力、マルチプレクサ及び/又はテスト構造を生成するように進行する(例えば、ブロック210)。プロセス200は、この構成に対して基本的な誤りチェックを実行する(例えば、ブロック212)。この構成が仕様を満足しない場合には(例えば、ブロック212からのNOの経路)、プロセスは、不一致情報を提供する(例えば、ブロック214)。すべてのメモリが構成され仕様を満足するときには、プロセスは、多数の出力を与える(例えば、ブロック216)。
一般に、本発明は、資源の固定された組に基づいて変動するメモリ仕様を満足するように回路製造の間に構築される(1又は複数の金属ルーティング層を用いてカスタマイズされる)メモリ構築ブロックの構成を容易にするプロセス及びアーキテクチャを提供する。多くの異なる設計に対して資源の固定された組を用いるということは、一般に、有意義である。カスタム化されていないスライスの在庫管理をするという観点から、本発明は、コストを低減させ、スライスの設計時間を短縮させることができる。設計者の観点からは、本発明は、より広範囲なプラットフォームの選択肢を与える。プラットフォームを提供する観点からは、本発明は、より広い対象となる市場を提供する。
テスト自動化とデバッギング・アクセスとを自動化された経路へ組み入れることは、設計者の投資を非常に低くしながらライト・バイ・コンストラクション(right-by-construction)テスト・ラッパを提供するという効果を有する。本発明は、テスト・プログラムの生成がクリティカル・パスの外側で生じることを可能にする通常のテスト構造を提供する(例えば、このテスト・プログラムは、高価なマスク・セットが作られる前に完成させなければならないのではなく、マスク・セット及びシリコンの製造と平行して作ることができる)。
以上で、本発明をその好適実施例を参照して示し説明したが、この技術分野の当業者であれば、本発明の精神及び範囲から逸脱することなく形式及び詳細における様々な変更をなし得ることを理解すべきである。
本発明のプロセスの好適実施例を図解する流れ図である。 例示的なメモリ構成を示す図である。 例示的なメモリ構成を示す図である。 例示的なメモリ構成を示す図である。 例示的なメモリ構成を示す図である。 例示的なメモリ構成を示す図である。 例示的なメモリ構成を示す図である。 例示的なメモリ及びラッパを示す図である。 本発明の好適実施例によるプログラム可能なプラットフォーム・デバイスのブロック図である。 図1のメモリ構成ステージの流れ図である。

Claims (20)

  1. プログラム可能なプラットフォーム・デバイスの上にメモリを構成する方法であって、
    (A)1又は複数の拡散メモリ領域と1又は複数のゲート・アレイ領域とを含むプログラム可能なプラットフォーム・デバイスに関する情報を受け取るステップと、
    (B)1又は複数のメモリのための所定の設計情報を受け取るステップと、
    (C)1又は複数のメモリ構築ブロックを、(i)前記1又は複数の拡散メモリ領域か、(ii)前記1又は複数のゲート・アレイ領域か、又は、(iii)前記拡散メモリ領域と前記ゲート・アレイ領域との両方に、前記所定の設計情報と前記プログラム可能なプラットフォーム・デバイスに関する前記情報とに基づいて構成するステップと、
    を含むことを特徴とする方法。
  2. 請求項1記載の方法において、
    前記所定の設計情報に従って前記メモリ構築ブロックを前記1又は複数のメモリに組み立てるステップを更に含むことを特徴とする方法。
  3. 請求項1記載の方法において、
    前記1又は複数のメモリに対して1又は複数のラッパを生成するステップを更に含むことを特徴とする方法。
  4. 請求項1記載の方法において、ステップ(C)は、
    前記1又は複数のメモリに対して1又は複数のRTLビューを生成するステップを含むことを特徴とする方法。
  5. 請求項1記載の方法において、ステップ(C)は、
    前記1又は複数のメモリに対して1又は複数の合成スクリプトを生成するステップを含むことを特徴とする方法。
  6. 請求項5記載の方法において、ステップ(C)は、
    前記1又は複数のメモリと関連付けられている1又は複数のラッパに対して1又は複数の合成スクリプトを生成するステップを更に含むことを特徴とする方法。
  7. 請求項1記載の方法において、ステップ(C)は、
    前記1又は複数のメモリに対して1又は複数の静的タイミング・スクリプトを生成するステップを含むことを特徴とする方法。
  8. 請求項7記載の方法において、ステップ(C)は、
    前記1又は複数のメモリと関連付けられている1又は複数のラッパに対して1又は複数の合成スクリプトを生成するステップを更に含むことを特徴とする方法。
  9. 請求項1記載の方法において、ステップ(C)は、
    前記1又は複数のメモリに対して1又は複数の組込み自己テスト(BiST)ラッパを生成するステップを含むことを特徴とする方法。
  10. 請求項1記載の方法において、前記プログラム可能なプラットフォーム・デバイスに関する前記情報は利用可能な資源タイプを含むことを特徴とする方法。
  11. 請求項10記載の方法において、前記プログラム可能なプラットフォーム・デバイスに関する前記情報は配分された前記資源タイプの量を更に含むことを特徴とする方法。
  12. 請求項1記載の方法において、前記プログラム可能なプラットフォーム・デバイスに関する前記情報は物理的配置データを含むことを特徴とする方法。
  13. 請求項12記載の方法において、前記物理的配置データは資源の配置を含むことを特徴とする方法。
  14. 請求項13記載の方法において、前記物理的配置データは前記メモリにアクセスするように構築されたロジックの配置を更に含むことを特徴とする方法。
  15. 請求項1記載の方法において、前記所定の設計情報は、メモリ・パフォーマンス・パラメータと、前記1又は複数のメモリの寸法と、前記1又は複数のメモリのそれぞれの上のポート数と、レイテンシ・パラメータと、電源仕様との中の1又は複数を含むことを特徴とする方法。
  16. 請求項1記載の方法において、
    ステップ(A)は、資源タイプと、配分された資源の量と、資源の物理的配置と、前記1又は複数のメモリにアクセスするロジックの物理的配置とに関する情報を受け取るステップを含み、
    ステップ(B)は、1又は複数のメモリ・パフォーマンス・パラメータと、1又は複数のメモリの寸法と、1又は複数のポート数仕様と、1又は複数のレイテンシ・パラメータと、1又は複数の電源仕様とを含み、
    ステップ(C)は、(i)1又は複数の拡散メモリ・ブロックを選択することと1又は複数のゲート・アレイ・メモリ・ブロックをコンパイルすることとのどちらか一方又はこれらの両方を行うステップと、(ii)前記1又は複数のメモリ構築ブロックに対して1又は複数のラッパを生成するステップとを含むことを特徴とする方法。
  17. プログラム可能なプラットフォーム・デバイスの上にメモリを構成する方法であって、
    1又は複数の拡散メモリ領域と1又は複数のゲート・アレイ領域とを含むプログラム可能なプラットフォーム・デバイスに関する情報を受け取る手段と、
    1又は複数のメモリのための所定の設計情報を受け取る手段と、
    1又は複数のメモリ構築ブロックを、(i)前記1又は複数の拡散メモリ領域か、(ii)前記1又は複数のゲート・アレイ領域か、又は、(iii)前記拡散メモリ領域と前記ゲート・アレイ領域との両方に、前記所定の設計情報と前記プログラム可能なプラットフォーム・デバイスに関する前記情報とに基づいて構成する手段と、
    を含むことを特徴とする方法。
  18. プログラム可能なプラットフォーム・デバイスにおいて、
    1又は複数の拡散メモリ領域と1又は複数のゲート・アレイ領域とを備えており、(i)1又は複数のメモリ構築ブロックが、前記1又は複数の拡散メモリ領域と前記1又は複数のゲート・アレイ領域とのどちらか一方又はこれら領域の両方において、1又は複数のメモリのための所定の設計情報を満足するように構成可能であり、(ii)前記1又は複数のメモリ構築ブロックは前記1又は複数のメモリの中に組み立て可能であることを特徴とするプログラム可能なプラットフォーム・デバイス。
  19. 請求項18記載のプログラム可能なプラットフォーム・デバイスにおいて、前記1又は複数のゲート・アレイ領域は複数のA−セルを含むことを特徴とするプログラム可能なプラットフォーム・デバイス。
  20. 請求項18記載のプログラム可能なプラットフォーム・デバイスにおいて、前記1又は複数の拡散メモリ領域はパイプライン化された拡散メモリ領域を含むことを特徴とするプログラム可能なプラットフォーム・デバイス。
JP2003410384A 2002-12-09 2003-12-09 プログラム可能なプラットフォーム・デバイス上において変動するメモリ要件を満足するように資源の固定された組を用いてメモリを構成する方法 Expired - Fee Related JP4447901B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/316,101 US6966044B2 (en) 2002-12-09 2002-12-09 Method for composing memory on programmable platform devices to meet varied memory requirements with a fixed set of resources

Publications (2)

Publication Number Publication Date
JP2004213634A true JP2004213634A (ja) 2004-07-29
JP4447901B2 JP4447901B2 (ja) 2010-04-07

Family

ID=32325910

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003410384A Expired - Fee Related JP4447901B2 (ja) 2002-12-09 2003-12-09 プログラム可能なプラットフォーム・デバイス上において変動するメモリ要件を満足するように資源の固定された組を用いてメモリを構成する方法

Country Status (3)

Country Link
US (1) US6966044B2 (ja)
EP (1) EP1429266A3 (ja)
JP (1) JP4447901B2 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007207042A (ja) * 2006-02-02 2007-08-16 Fujitsu Ltd メモリ構築装置
JP2011158983A (ja) * 2010-01-29 2011-08-18 Fujitsu Ltd ハードウェア記述言語で記載されたコンピュータプログラム

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4471582B2 (ja) * 2003-04-21 2010-06-02 株式会社ルネサステクノロジ 半導体集積回路及び回路設計装置
US7051297B2 (en) * 2003-08-04 2006-05-23 Lsi Logic Corporation Method and apparatus for mapping platform-based design to multiple foundry processes
US6988251B2 (en) * 2003-10-14 2006-01-17 Lsi Logic Corporation Efficient implementation of multiple clock domain accesses to diffused memories in structured ASICs
US7257799B2 (en) * 2003-11-14 2007-08-14 Lsi Corporation Flexible design for memory use in integrated circuits
US20060171200A1 (en) * 2004-02-06 2006-08-03 Unity Semiconductor Corporation Memory using mixed valence conductive oxides
US7620924B2 (en) * 2005-03-14 2009-11-17 Lsi Corporation Base platforms with combined ASIC and FPGA features and process of using the same
US7259586B2 (en) * 2005-04-27 2007-08-21 Lsi Corporation Configurable I/Os for multi-chip modules
US20070068225A1 (en) 2005-09-29 2007-03-29 Brown Gregory C Leak detector for process valve
US7499552B2 (en) * 2006-01-11 2009-03-03 International Business Machines Corporation Cipher method and system for verifying a decryption of an encrypted user data key
KR101297754B1 (ko) * 2006-07-11 2013-08-26 삼성전자주식회사 메모리 컴파일링 시스템 및 컴파일링 방법
US20090071063A1 (en) * 2007-09-17 2009-03-19 Next Energy Systems Inc. Process and system for producing biodiesel fuel
US8122399B2 (en) 2008-08-28 2012-02-21 International Business Machines Corporation Compiler for closed-loop 1×N VLSI design
US8136062B2 (en) * 2008-08-28 2012-03-13 International Business Machines Corporation Hierarchy reassembler for 1×N VLSI design
US8132134B2 (en) * 2008-08-28 2012-03-06 International Business Machines Corporation Closed-loop 1×N VLSI design system
US8141016B2 (en) * 2008-08-29 2012-03-20 International Business Machines Corporation Integrated design for manufacturing for 1×N VLSI design
US7966598B2 (en) * 2008-08-29 2011-06-21 International Business Machines Corporation Top level hierarchy wiring via 1×N compiler
US8156458B2 (en) * 2008-08-29 2012-04-10 International Business Machines Corporation Uniquification and parent-child constructs for 1xN VLSI design
US20100107130A1 (en) * 2008-10-23 2010-04-29 International Business Machines Corporation 1xn block builder for 1xn vlsi design
US9393432B2 (en) 2008-10-31 2016-07-19 Medtronic, Inc. Non-hermetic direct current interconnect
US8397188B1 (en) * 2010-09-21 2013-03-12 Altera Corporation Systems and methods for testing a component by using encapsulation
US8645609B2 (en) * 2010-12-06 2014-02-04 Brocade Communications Systems, Inc. Two-port memory implemented with single-port memory blocks
US9158715B1 (en) * 2012-02-24 2015-10-13 Marvell Israel (M.I.S.L) Ltd. Multi-input memory command prioritization
US9058860B2 (en) * 2012-03-29 2015-06-16 Memoir Systems, Inc. Methods and apparatus for synthesizing multi-port memory circuits
US9852247B2 (en) * 2015-05-15 2017-12-26 Lattice Semiconductor Corporation Area-efficient memory mapping techniques for programmable logic devices
KR102333446B1 (ko) 2015-11-09 2021-11-30 삼성전자주식회사 반도체 장치 및 반도체 시스템

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL8303536A (nl) 1983-10-14 1985-05-01 Philips Nv Geintegreerde schakeling op grote schaal welke verdeeld is in isochrone gebieden, werkwijze voor het machinaal ontwerpen van zo een geintegreerde schakeling, en werkwijze voor het machinaal testen van zo een geintegreerde schakeling.
US5406525A (en) 1994-06-06 1995-04-11 Motorola, Inc. Configurable SRAM and method for providing the same
IL111708A (en) 1994-11-21 1998-03-10 Chip Express Israel Ltd Array mapping goes
US5912850A (en) * 1995-08-03 1999-06-15 Northern Telecom Limited Multi-port RAM with shadow write test enhancement
US5818729A (en) 1996-05-23 1998-10-06 Synopsys, Inc. Method and system for placing cells using quadratic placement and a spanning tree model
US6552410B1 (en) 1999-08-31 2003-04-22 Quicklogic Corporation Programmable antifuse interfacing a programmable logic and a dedicated device
JP2001202397A (ja) 2000-01-20 2001-07-27 Toshiba Corp システム・オン・チップのアーキテクチャ設計支援システム及びアーキテクチャ生成方法
US6400603B1 (en) * 2000-05-03 2002-06-04 Advanced Technology Materials, Inc. Electronically-eraseable programmable read-only memory having reduced-page-size program and erase
US6529040B1 (en) * 2000-05-05 2003-03-04 Xilinx, Inc. FPGA lookup table with speed read decoder
JP2002202886A (ja) 2000-10-27 2002-07-19 Toshiba Corp アプリケーション開発システム、その方法およびアプリケーション開発プログラムおよびアプリケーション生成方法
US6459136B1 (en) 2000-11-07 2002-10-01 Chip Express (Israel) Ltd. Single metal programmability in a customizable integrated circuit device
US6850438B2 (en) * 2002-07-05 2005-02-01 Aplus Flash Technology, Inc. Combination nonvolatile memory using unified technology with byte, page and block write and simultaneous read and write operations

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007207042A (ja) * 2006-02-02 2007-08-16 Fujitsu Ltd メモリ構築装置
JP4606341B2 (ja) * 2006-02-02 2011-01-05 富士通株式会社 メモリ構築装置
JP2011158983A (ja) * 2010-01-29 2011-08-18 Fujitsu Ltd ハードウェア記述言語で記載されたコンピュータプログラム

Also Published As

Publication number Publication date
EP1429266A2 (en) 2004-06-16
US20040111690A1 (en) 2004-06-10
JP4447901B2 (ja) 2010-04-07
EP1429266A3 (en) 2005-03-09
US6966044B2 (en) 2005-11-15

Similar Documents

Publication Publication Date Title
JP4447901B2 (ja) プログラム可能なプラットフォーム・デバイス上において変動するメモリ要件を満足するように資源の固定された組を用いてメモリを構成する方法
US7257799B2 (en) Flexible design for memory use in integrated circuits
US7069523B2 (en) Automated selection and placement of memory during design of an integrated circuit
US6075381A (en) Programmable logic block in an integrated circuit
US6993738B2 (en) Method for allocating spare cells in auto-place-route blocks
US6601228B1 (en) Method for modifying an integrated circuit
US20060242613A1 (en) Automatic floorplanning approach for semiconductor integrated circuit
US8037432B2 (en) Method and apparatus for mapping design memories to integrated circuit layout
JP2004342100A (ja) 集積回路の物理設計用のツールフロープロセス
US7679398B2 (en) Reprogrammable instruction DSP
Soni VLSI Implementation of a Wormhole Runtime Reconfigurable Processor
US7062744B2 (en) Emulation solution for programmable instruction DSP
US9811628B1 (en) Metal configurable register file
US7417918B1 (en) Method and apparatus for configuring the operating speed of a programmable logic device through a self-timed reference circuit
US7797666B1 (en) Systems and methods for mapping arbitrary logic functions into synchronous embedded memories
US7389484B2 (en) Method and apparatus for tiling memories in integrated circuit layout
US7584437B2 (en) Assuring correct data entry to generate shells for a semiconductor platform
Canal et al. Physical Implementation of a 32-bits RISC microprocessor using XFAB 600nm technology
US20160055271A1 (en) Data structure of design data of semiconductor integrated circuit and apparatus and method of designing semiconductor integrated circuit
Nanda et al. Execution of Reconfiguration System on Chip Design for Internet of Things
US7415691B2 (en) Method and system for outputting a sequence of commands and data described by a flowchart
Agrawal et al. A distributed, reconfigurable, and reusable bist infrastructure for 3D-stacked ICs
Steinweg et al. A flexible gate array RAM compiler with full design tool integration
Kongari Cost and performance modeling of the MU-Decoder
Smith et al. PRMC: a multicontext FPGA with partially reconfigurable logic planes

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20061211

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090522

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090821

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090826

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090918

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20090918

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100119

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100121

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130129

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130129

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D02

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees