JP2004119486A - Substrate processor and method for manufacturing semiconductor device - Google Patents

Substrate processor and method for manufacturing semiconductor device Download PDF

Info

Publication number
JP2004119486A
JP2004119486A JP2002277665A JP2002277665A JP2004119486A JP 2004119486 A JP2004119486 A JP 2004119486A JP 2002277665 A JP2002277665 A JP 2002277665A JP 2002277665 A JP2002277665 A JP 2002277665A JP 2004119486 A JP2004119486 A JP 2004119486A
Authority
JP
Japan
Prior art keywords
gas
liquid
raw material
vaporizer
processing chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2002277665A
Other languages
Japanese (ja)
Inventor
Hironobu Miya
宮 博信
Sadayoshi Horii
堀井 貞義
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Kokusai Electric Inc
Original Assignee
Hitachi Kokusai Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Kokusai Electric Inc filed Critical Hitachi Kokusai Electric Inc
Priority to JP2002277665A priority Critical patent/JP2004119486A/en
Publication of JP2004119486A publication Critical patent/JP2004119486A/en
Pending legal-status Critical Current

Links

Images

Landscapes

  • Chemical Vapour Deposition (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To evade troubles in valve mechanism, valveless mechanism, etc. and perform quickly change of two or more kinds of reactant gasses. <P>SOLUTION: A substrate processor is provided with a treatment room 20 for treating a wafer 20, a material gas charging line 21 whose one end is connected with the room 20, a vaporizer 22 which is connected with the other end of the line 21 and evaporates the liquid material, and a liquid material control unit 23 for controlling the amount of supply of liquid material to be supplied to the the vaporizer 22. The control unit 23 is constituted of a screw feeder 50 or a dispenser 70 which spout liquid material to the vaporizer 22. <P>COPYRIGHT: (C)2004,JPO

Description

【0001】
【発明の属する技術分野】
本発明は、半導体装置の製造方法と、その方法の実施に使用する基板処理装置とに関し、特に液体原料を気化させて生成した原料ガスを用いる技術に関する。
【0002】
【従来の技術】
近年、成膜温度の低温化に伴い、熱分解によるCVD膜形成からALD(Atomic Layer Deposition:原子層成膜)のように1層づつ成膜を行う方法が採用されてきた。原子層成膜を行う場合等には、CVD膜を1層形成する毎に反応ガスを切り替える必要がある。
【0003】
反応ガスの切り替えを行うものとして、バルブ機構が知られている。図3は、バルブ機構によって、2種類の反応ガスA,Bを交互に処理室7へ流す例を示す。
〔反応ガスA供給工程〕
反応ガスAは、バルブ1,3が閉、かつバルブ2が開となる条件で処理室7へ流れる。このとき、バルブ4が開、バルブ5が閉とされることにより、反応ガスBはベント側に流れる。更にこのとき、バルブ6が開とされることにより、反応ガスBの供給ラインからは、Nガスが処理室7へ流される。
〔反応ガスB供給工程〕
一方、反応ガスBは、バルブ4,6が閉、かつバルブ5が開となる条件で処理室7へ流れる。このとき、バルブ1が開、バルブ2が閉とされることにより、反応ガスAはベント側に流れる。更にこのとき、バルブ3が開とされることにより、反応ガスAの供給ラインからは、Nガスが処理室7へ流される。尚、反応ガスA,Bの供給量はそれぞれバルブ2,5の開度によって制御することもできる。
【0004】
図4には、反応ガスA,B、及びNガス供給のタイミングチャートを示している。まず反応ガスA供給工程において、反応ガスAが処理室7へ導入される(▲1▼)。次いで、Nガスによって処理室7がパージされる(▲2▼)。次いで、反応ガスB供給工程において反応ガスBが処理室7へ導入される(▲3▼)。次いで、再びNガスによって処理室7がパージされる(▲4▼)。これら▲1▼〜▲4▼の4つの工程を1サイクルとして、各ガスの導入が繰り返される。
【0005】
また、反応ガスの切り替えを行うものとして、バルブレス機構も知られている。これは、各ガスのON/OFFを、バルブを用いずに、Nガスの流量によって行うようにしたものである。一例として、反応ガスAのON/OFFを、バルブレス機構を用いて行うようにしたものを図5に示す。
図5において、第1のNガス導入部8より導入されたNガスは、MFC(マスフローコントローラ)9を介して、ベントライン10及び主供給管11へ流れる。反応ガス導入部12より導入された反応ガスAは、主供給管11を通って処理室7へ流れる。第2のNガス導入部13より導入されたNガスは、MFC14を介して主供給管11へ流れる。但し、該Nガスは、反応ガスAの流れに逆らう方向に主供給管11内へ導入される。
【0006】
そして図5(a)に示すように、反応ガスAを処理室7へ導入したいときには、MFC14における流量を所定以下にする。これにより、反応ガスAが、主供給管11内へ導入されたNガスの流上に打ち勝って、該主供給管11を流下して処理室7へ至る。またこのとき、MFC9における流量を適値とすることにより、主供給管11を流れる反応ガスAがベント10側へ逃げるのを防止する。
一方、図5(b)に示すように、反応ガスAを処理室7へ導入しないときには、MFC14における流量を所定以上にする。これにより、当該MFC14を介して主供給管11内へ導入されるNガスの流上によって、主供給管11内の反応ガスAの流下が妨げられる。従って、該反応ガスAは処理室7へ流れずにベント10側へ逃げる。このとき、MFC9における流量を所定流量以下とすることにより、反応ガスAがベント10側へ流れるのを妨げないようにする。
そこで、図5(a)の状態と、図5(b)の状態とを交互に繰り返すことにより、反応ガスAを間欠的に処理室7へ導入できる。
【0007】
【発明が解決しようとする課題】
従来技術には、次のような問題点があった。即ち、バルブ機構を用いる場合には、バルブ1〜6の各々を開閉する回数は、例えば処理室7内で50nmの成膜処理を行おうとすると、約500回にもなる。従って、バルブ1〜6の各々にかかる負荷は大きく、これらバルブの寿命が数ヶ月で尽きてしまう問題がある。しかも、バルブの交換に要する経費も高く、原子層成膜の大きな障害となっている。
【0008】
一方、バルブレス機構を用いる場合には、バルブに起因する問題点は回避できるものの、反応ガスの供給量の制御は困難であると共に、反応ガスとNガスとの圧力バランスが崩れると、シール機能が失われてしまう問題がある。具体的には、例えば図5(b)において、MFC14における流量が小さすぎると、本来なら処理室7へ流れるべきでない反応ガスAが処理室7へ漏れてしまうことになる。また、バルブレス機構を用いて、複数種の反応ガスの切り替えを行う場合には、該切り替え時に、反応ガスどうしの混合が避けられないという問題がある。
【0009】
また、上記の如く膜を1層形成するごとに反応ガスを切り替える方式においては、その処理効率をできるだけ向上させるために、いかに短時間で反応ガスを切り替えるかが重要な課題となっている。具体的には、例えば原子層成膜を行う場合、図4において、1サイクルあたりの時間(n1+n2+n3+n4)は4秒以下、各工程の時間(n1,n2,n3,n4)は1秒以下であることが要求される。
【0010】
本発明の目的は、上記バルブ機構やバルブレス機構等での問題点を回避すると共に、複数種の反応ガスの切り替えを迅速に行える技術を提供することにある。
【0011】
【課題を解決するための手段】
本発明の第1の態様によれば、基板を処理する処理室と、この処理室に一端が接続された原料ガス供給配管と、この原料ガス供給配管の他端に接続され、液体原料を気化する気化器と、この気化器へ供給する液体原料の供給量を制御する液体原料制御部とを備え、前記液体原料制御部が前記液体原料を前記気化器へ吐出するスクリューフィーダ又はディスペンサを有してなることを特徴とする基板処理装置が提供される。基板としては、シリコンウエハ等の半導体基板やガラス基板等が挙げられる。
【0012】
第1の態様では、液体原料が気化器で気化されることにより原料ガスが生成される。処理室への原料ガスの供給/停止は、スクリューフィーダ又はディスペンサを駆動/停止することで実現できる。原料ガスの供給量は、スクリューフィーダ又はディスペンサの単位時間あたりの駆動率で制御できる。スクリューフィーダ又はディスペンサを停止すれば、液体原料の供給が停止し、原料ガスの生成も停止するから、原料ガスが処理室へ漏れることはなく、シール機能を保ったまま、処理室へ供給するガスをただちに別のガスに切り替えることができる。
【0013】
本発明の第2の態様によれば、基板を処理する処理室と、この処理室に一端が接続された原料ガス供給配管と、この原料ガス供給配管の他端に接続され、液体原料を気化する気化器と、この気化器へ供給する液体原料の供給量を制御する液体原料制御部とを備え、前記液体原料制御部が、前記液体原料を所定量ごとにパルス的に前記気化器へ吐出する吐出手段を有してなることを特徴とする基板処理装置も提供される。前記吐出手段は、スクリューフィーダ又はディスペンサによって構成できる。
【0014】
第2の態様では、原料ガスの処理室への供給量は、吐出手段による1回の吐出あたりの液体原料の供給量と、単位時間あたりの吐出回数とで決定できる。このようにして、バルブの開度によらずに、原料ガスの供給量を調整できる。吐出手段による液体原料の吐出動作を停止すれば、原料ガスの生成も停止するから、原料ガスが処理室へ漏洩することはなく、シール機能を保ったまま、処理室へ供給するガスをただちに別のガスに切り替えることができる。
【0015】
本発明の第3の態様によれば、基板を処理する処理室と、この処理室に一端が接続された原料ガス供給配管と、この原料ガス供給配管の他端に接続され、液体原料を気化する気化器とを備え、前記気化器には、該気化器へ液体原料を供給する液体原料供給部が複数接続されている基板処理装置であって、前記各液体原料供給部を、前記液体原料を前記気化器へ吐出するスクリューフィーダ又はディスペンサを用いて構成したことを特徴とする基板処理装置も提供される。
【0016】
第3の態様では、気化器に供給する液体原料の切り替えを、各液体原料供給部を構成するスクリューフィーダ又はディスペンサを駆動/停止することにより、迅速に行える。この場合において、液体原料を吐出していない液体原料供給部からは、気化器へ不活性ガスを供給するのが好ましい。
【0017】
本発明の第4の態様によれば、スクリューフィーダ又はディスペンサにより液体原料を気化器へ供給する工程と、供給した液体原料を前記気化器で気化することにより、原料ガスを生成する工程と、生成した原料ガスを基板へ供給することにより、該基板を処理する工程とを有することを特徴とする半導体装置の製造方法も提供される。
【0018】
本発明の第5の態様によれば、液体原料を気化器へ供給する液体原料供給工程と、供給した液体原料を前記気化器で気化することにより、原料ガスを生成する原料ガス生成工程と、生成した原料ガスを基板に供給することにより、該基板を処理する処理工程と、を有し、前記液体原料供給工程では、前記液体原料を所定量ごとにパルス的に前記気化器へ供給することを特徴とする半導体装置の製造方法も提供される。
【0019】
【発明の実施の形態】
図1は、実施の形態による基板処理装置を示す。これは、枚葉式のCVD装置である。この基板処理装置は、ウエハWを処理する処理室20と、この処理室20に一端が接続された原料ガス供給配管21と、この原料ガス供給配管21の他端に接続され、液体原料を気化する気化器22と、この気化器22へ供給する液体原料の供給量を制御する液体原料制御部23とを備える。そして、この基板処理装置は、液体原料制御部23が、液体原料を気化器22へ吐出するスクリューフィーダ(図2(a)参照)50を有してなることを最大の特徴としている。
【0020】
処理室20内には、ヒータ24が設けられている。ヒータ24の上には、サセプタ25が配置されており、該サセプタ25上にウエハWが載置される。ウエハWは、ヒータ24によって所定温度に加熱される。処理室20の外には、回転ユニット26が設けられている。回転ユニット26によってヒータ24が回転されることにより、該ヒータ24上のサセプタ25及びウエハWが回転する。
【0021】
処理室20内において、サセプタ25の上方には、多数の孔271,271…を有するシャワーヘッド27が設けられている。シャワーヘッド27は、仕切板28によって、成膜シャワーヘッド部27aと、ラジカルシャワーヘッド部27bとに区画されている。成膜シャワーヘッド部27aには、不活性ガス及び/又は原料ガスを供給する原料ガス供給配管21が接続されている。ラジカルシャワーヘッド部27bには、後述するラジカル供給配管34が接続されている。
一方、処理室20内の所定位置には、該処理室20内を排気する排気口29が形成されている。排気口29には、排気管30が接続され、該排気管30には原料回収トラップ31が設けられている。
【0022】
また処理室20の外には、ラジカルを発生させるラジカル発生ユニット32が設けられている。ラジカル発生ユニット32には、該ラジカル発生ユニット32によって発生したラジカルが通る管が接続されている。その管は、下流に向い途中で二股に分岐しており、分岐した一方の管は、バルブ33を介してラジカルシャワーヘッド部27bに接続されたラジカル供給配管34となっており、他方の管はバルブ35を介して原料回収トラップ31に接続されたバイパス配管36となっている。
【0023】
一端が処理室20の成膜シャワーヘッド部27aに接続された原料ガス供給配管21の他端には、気化器22が接続されている。尚、原料ガス供給配管21には、全長にわたって配管ヒータを敷設することもできる。気化器22は、気化室を構成するチャンバ22aと、該チャンバ22aの内壁を加熱するヒータ22bとを備える。
【0024】
チャンバ22aには、導出口36と導入口37とが形成されている。導出口36には、原料ガス供給配管21が接続されている。一方、導入口37には、液体原料導入管38と、不活性ガス導入管39とが接続されている。これら管38,39の導入口37への接続部分は、不活性ガス導入管39を外管とし、液体原料導入管38を内管とする二重管構造になっている。また、液体原料導入管38の先端は、噴霧ノズル38aとなっている。
【0025】
一端が導入口37に接続された液体原料導入管38の他端には、液体原料制御部23が接続されている。また、一端が導入口37に接続された不活性ガス導入管39の他端側は、バルブ40を介して不活性ガス供給ユニット41に接続されている。不活性ガス供給ユニット41は、不活性ガスとしてのNガスを供給するものである。尚、不活性ガスとしてはAr,He等を用いることもできる。
【0026】
次に、液体原料制御部23の構成について図2を参照して説明する。図2(a)は、液体原料制御部23の要部構成を示す。液体原料制御部23は、スクリューフィーダ50と制御部60とを備える。スクリューフィーダ50は、一端が液体原料の投入部51とされ、他端が液体原料の吐出部52とされた円筒状のケーシング53と、この円筒状ケーシング53内の筒心部に延在する回転軸54と、この回転軸54に螺旋状に装着され、該回転軸54と一体に回転するスクリュー羽55とを備える。スクリュー羽55とケーシング53の内周壁とは、気密に接していて、スクリュー羽55とケーシング53の間に導入された液体原料が、回転軸54の回転に伴って吐出部52側へ順次送出されてゆくようになっている。尚、吐出部52はノズル38aに接続される。
【0027】
制御部60は、回転軸54の回転数によって、液体原料の供給量を調整する。ここで制御部60は、回転軸54の回転数をパルス制御することもできる。この場合、1パルスあたりのスクリュー羽55の回転ピッチ数をnとすると、nピッチにわたるスクリュー羽55とケーシング53との間の空間Sに、1ショット分の液体原料が気密に保持されていることになる。そして、制御部60によるパルス制御によって、液体原料を、所定量(1ショット分の液体原料)ごとに、パルス的に気化器22へ吐出させることができる。従って、この場合、原料ガスの処理室20への供給量は、1ショット分の液体原料の量と、単位時間あたりの吐出回数(回転軸54の回転数)とで決定できる。
【0028】
尚、液体原料制御部23は、図2(b)に示すように、ディスペンサ70と制御部80とによっても構成できる。ディスペンサ70は、液体原料の投入口71と吐出口72とが形成され、1ショット分の液体原料が充填される充填部Sを有するシリンジ73と、このシリンジ73の充填部Sに充填された1ショット分の液体原料を吐出口72から吐出させるピストン74とを備える。尚、吐出部72はノズル38aに接続される。
【0029】
図2(b)は、ピストン74が上死点に位置する状態を示す。ピストン74が下死点に位置したときには、該ピストン74によって投入口71が閉塞される。つまり、ピストン74が上死点に位置するときに、投入口71から液体原料が供給されて、充填部Sに1ショット分の液体原料が充填される。次いで、ピストン74が下死点へ下降することにより、充填部Sに充填されていた液体原料が吐出口72から押し出される。このような動作が繰り返される。
制御部80は、ピストン74の動作回数によって、液体原料の供給量を調整する。
この場合も、制御部80によって、液体原料を、所定量(1ショット分の液体原料)ごとに、パルス的に気化器22へ吐出させることができる。
【0030】
以下、半導体デバイス製造工程の一工程として本基板処理装置を用いて、例えばHfO膜をMOCVD(Metal Organic Chemical Vapor Deposition)により形成するプロセスにつき説明する。
前提として、バルブ33は閉とされ、バルブ35は開とされているものとする。まず、バルブ40を開にして、不活性ガス供給ユニット41からのNガスのみを所定期間、処理室20内に流す。その後、ウエハWをサセプタ25上に載置し、次いで該ウエハWを回転ユニット26で回転させながら、ヒータ24で所定の成膜温度(例えば350〜500℃)に加熱する。
【0031】
〔原料供給工程〕
次いで、バルブ40は開にしままで、液体原料制御部23から液体原料を気化器22へ供給する。具体的には、スクリューフィーダ50又はディスペンサを駆動する。すると、液体原料制御部23から吐出された液体原料は、噴霧ノズル38aから噴霧され、かつ周囲のキャリアガスたる不活性ガス(ここではNガス)で攪拌されて、気化器21内で瞬時に気化する。これにより、原料ガスが生成される。このとき気化器21は、例えば150℃〜250℃に加熱されている。ここでは液体原料として、Hf(OC(CHCHOCHを用いることができる。
【0032】
生成された原料ガスは、成膜シャワーヘッド部27aからウエハW上にシャワー状に供給される。該原料ガスは、例えば0.1g/minの割合で、約10秒間供給する。
尚、この原料供給工程、及び後述するRPO処理工程の間、バルブ40は開けたままにして、Nガスを常に流しておく。
【0033】
〔第1のN供給工程〕
次いで、液体原料制御部23における液体原料の供給を停止する。具体的には、スクリューフィーダ50又はディスペンサの駆動を停止する。これにより、不活性ガス供給ユニット41から供給されたNガスのみが、気化器21及び原料ガス供給配管21を経由して、処理室20へ供給される。これにより、処理室20内がパージされる。
【0034】
〔RPO処理工程〕
次いで、RPO(Remote Plasma Oxidation)処理を行う。RPO処理とは、酸素含有ガス(例えばO,N,O,NO)をプラズマによって分解した酸素ラジカル雰囲気中で、膜を酸化させる処理をいう。具体的には、まずバルブ33を開にする一方、バルブ35を閉にする。これにより、ラジカル発生ユニット32で発生した酸素ラジカルが、ラジカルシャワーヘッド部27bからウエハW上にシャワー状に供給される。酸素ラジカルの供給は、例えば15秒間行う。
【0035】
酸素ラジカルが、ウエハW上に付着している未反応のままの原料ガスに供給されることにより、強制的にHfO膜を形成する反応が起きる。そのため、ウエハW上にHfO膜が数〜数十Åだけ堆積する。
【0036】
〔第2のN供給工程〕
次いで、バルブ33を閉にする一方、バルブ35を開とする。これにより、ラジカル発生ユニット32において発生した酸素ラジカルは、処理室20内を介さずにバイパス配管36を介して原料回収トラップ31へ排気される。このようにして、基板Wの処理中は、ラジカル発生ユニット32におけるラジカルの発生を停止させることなく、常に流し続けることができる。
このとき、不活性ガス供給ユニット41から供給されたNガスのみが、気化器21及び原料ガス供給配管21を経由して、処理室20へ供給される。これにより、処理室20内がパージされる。
【0037】
以上説明した原料供給工程〜第2のN供給工程を繰り返し行うことにより、所望厚みのHfO膜を形成できる。
【0038】
本実施の形態では、液体原料を気化器へ供給する液体原料供給系を有する基板処理装置において、該液体原料の供給をスクリューフィーダ50又はディスペンサ70によって行うようにしたから、次のような効果が得られる。
(1)処理室20への原料ガスの供給/停止は、バルブや不活性ガスの流量制御等によらずに、スクリューフィーダ50又はディスペンサ70を駆動/停止するだけで迅速かつ容易に行える。
(2)原料ガスの供給量は、バルブの開度等によらずに、スクリューフィーダ50又はディスペンサ70の単位時間あたりの駆動率で容易に制御できる。以上(1),(2)のように、バルブ機構やバルブレス機構を用いる必要がないから、これらの問題点を回避できる。
(3)スクリューフィーダ50又はディスペンサ70を停止すれば、液体原料の供給が停止し、原料ガスの生成も停止するから、原料ガスが処理室20へ漏れることはなく、シール機能を保ったまま、処理室20へ供給するガスを酸素ラジカルにただちに切り替えることができる。これにより、成膜処理のスループットを向上できる。
【0039】
以上、本発明の実施の形態について説明したが、本発明はこれに限られない。例えば、2種類の液体原料LA,LBを、それぞれ個別の液体原料供給部A,Bから交互に気化器へ供給する場合にも本発明を適用できる。即ち、この場合も双方の液体原料供給部A,Bをそれぞれスクリューフィーダ50又はディスペンサ70を用いて構成すれば、各液体原料供給部A,Bを構成するスクリューフィーダ又はディスペンサを同期させて駆動/停止することにより、双方の液体原料が気化器で混合されることなくシール機能を良好に保ったまま、該気化器に供給する液体原料の切り替えを迅速に行える。
【0040】
またこの場合において、液体原料を吐出していない方の液体原料供給部からは、気化器へ不活性ガス(パージガス、キャリアガス)を供給するのが好ましい。即ち、一方の液体原料供給部A(B)から反応室へ液体原料が供給されているときは、他方の液体原料供給部B(A)からは、気化器へパージガスを流すのが好ましい。そうすれば、気化器において気化した液体原料LA(LB)のガスが、液体原料供給部B(A)へ流入するのを防止できる。
【0041】
また、液体原料としては、Hf(OC(CH、Hf(O−Si−(CH))、HfOを成膜するためのHf(OC(テトラ・ブトキシ・ハウフニウム)、Taを成膜するためのTa(OC(ペンタ・エトキシ・タンタル)、NbO(五酸化ニオブ)を成膜するためのNb(OCH5)(ペンタ・エトキシ・ニオブ)、TiOx(チタンオキサイド)を成膜するためのTi(OC(テトラ・イソプロピル・チタン)、ZrO(二酸化ジルコニウム)を成膜するためのZr(OC(テトラ・ブトキシ・ジルコニウム)等を用いることもできる。
【0042】
【発明の効果】
本発明によれば、バルブ機構やバルブレス機構等での問題点を回避できると共に、複数種の反応ガスの切り替えを迅速に行えるようになる。
【図面の簡単な説明】
【図1】実施の形態による基板処理装置の構成を示す図である。
【図2】実施の形態における液体原料制御部の要部構成を示す図で、(a)はスクリューフィーダを例示し、(b)はディスペンサを例示したものである。
【図3】従来のバルブ機構を説明するための模式図である。
【図4】従来のバルブ機構における各ガスのタイミングチャートを示した図である。
【図5】従来のバルブレス機構を説明するための模式図である。
【符号の説明】
20…処理室、21…原料ガス供給配管、22…気化器、23…液体原料制御部(液体原料供給部)、50…スクリューフィーダ(吐出手段)、70…ディスペンサ(吐出手段)、W…ウエハ(基板)。
[0001]
TECHNICAL FIELD OF THE INVENTION
The present invention relates to a method for manufacturing a semiconductor device and a substrate processing apparatus used for implementing the method, and more particularly to a technique using a source gas generated by vaporizing a liquid source.
[0002]
[Prior art]
In recent years, with the lowering of the film forming temperature, a method of forming films one by one, such as ALD (Atomic Layer Deposition), from CVD film formation by thermal decomposition has been adopted. In the case of forming an atomic layer, for example, it is necessary to switch the reaction gas every time one CVD film is formed.
[0003]
A valve mechanism is known for switching the reaction gas. FIG. 3 shows an example in which two types of reaction gases A and B are alternately flown into the processing chamber 7 by a valve mechanism.
[Reaction gas A supply step]
The reaction gas A flows into the processing chamber 7 under the condition that the valves 1 and 3 are closed and the valve 2 is opened. At this time, the reaction gas B flows to the vent side by opening the valve 4 and closing the valve 5. Further, at this time, the valve 6 is opened, so that the N 2 gas flows from the supply line of the reaction gas B to the processing chamber 7.
[Reaction gas B supply step]
On the other hand, the reaction gas B flows to the processing chamber 7 under the condition that the valves 4 and 6 are closed and the valve 5 is opened. At this time, the reaction gas A flows to the vent side by opening the valve 1 and closing the valve 2. Further, at this time, by opening the valve 3, the N 2 gas flows from the supply line of the reaction gas A to the processing chamber 7. The supply amounts of the reaction gases A and B can be controlled by the opening degrees of the valves 2 and 5, respectively.
[0004]
4 shows a reaction gas A, shows B, and the timing chart of the N 2 gas supply. First, in the reaction gas A supply step, the reaction gas A is introduced into the processing chamber 7 ((1)). Then, the processing chamber 7 is purged by the N 2 gas (▲ 2 ▼). Next, in the reaction gas B supply step, the reaction gas B is introduced into the processing chamber 7 ((3)). Next, the processing chamber 7 is purged again with N 2 gas ((4)). The introduction of each gas is repeated with these four steps (1) to (4) as one cycle.
[0005]
Further, a valveless mechanism is also known for switching the reaction gas. In this method, ON / OFF of each gas is performed by using a flow rate of N 2 gas without using a valve. As an example, FIG. 5 shows that the reaction gas A is turned on / off using a valveless mechanism.
In FIG. 5, N 2 gas introduced from the first N 2 gas inlet 8, MFC through the (mass flow controller) 9, flows into the vent line 10 and the main supply pipe 11. The reaction gas A introduced from the reaction gas introduction part 12 flows to the processing chamber 7 through the main supply pipe 11. N 2 gas introduced from the second N 2 gas inlet 13, flows through the MFC14 to the main supply pipe 11. However, the N 2 gas is introduced into the main supply pipe 11 in a direction against the flow of the reaction gas A.
[0006]
Then, as shown in FIG. 5A, when it is desired to introduce the reaction gas A into the processing chamber 7, the flow rate in the MFC 14 is set to a predetermined value or less. Thereby, the reaction gas A overcomes the flow of the N 2 gas introduced into the main supply pipe 11 and flows down the main supply pipe 11 to reach the processing chamber 7. At this time, by setting the flow rate in the MFC 9 to an appropriate value, the reaction gas A flowing through the main supply pipe 11 is prevented from escaping to the vent 10 side.
On the other hand, as shown in FIG. 5B, when the reaction gas A is not introduced into the processing chamber 7, the flow rate in the MFC 14 is set to a predetermined value or more. Thus, the flow of the N 2 gas introduced into the main supply pipe 11 through the MFC 14 prevents the flow of the reaction gas A in the main supply pipe 11 from flowing down. Therefore, the reaction gas A does not flow to the processing chamber 7 but escapes to the vent 10 side. At this time, by setting the flow rate in the MFC 9 to a predetermined flow rate or less, it is possible to prevent the reaction gas A from flowing to the vent 10 side.
Therefore, the reaction gas A can be intermittently introduced into the processing chamber 7 by alternately repeating the state of FIG. 5A and the state of FIG. 5B.
[0007]
[Problems to be solved by the invention]
The prior art has the following problems. That is, when the valve mechanism is used, the number of times of opening and closing each of the valves 1 to 6 is about 500 times when, for example, a film formation process of 50 nm is performed in the processing chamber 7. Therefore, the load applied to each of the valves 1 to 6 is large, and there is a problem that the life of these valves is exhausted in several months. In addition, the cost required for replacing the valve is high, which is a major obstacle to atomic layer deposition.
[0008]
On the other hand, in the case of using a valveless mechanism, although the problem caused by the valve can be avoided, it is difficult to control the supply amount of the reaction gas, and when the pressure balance between the reaction gas and the N 2 gas is lost, a sealing function is provided. There is a problem that is lost. Specifically, for example, in FIG. 5B, if the flow rate in the MFC 14 is too small, the reaction gas A that should not normally flow into the processing chamber 7 leaks into the processing chamber 7. Further, in the case where a plurality of types of reaction gases are switched using a valveless mechanism, there is a problem that mixing of the reaction gases cannot be avoided at the time of the switching.
[0009]
In addition, in the method in which the reaction gas is switched every time one film is formed as described above, it is an important issue how to switch the reaction gas in a short time in order to improve the processing efficiency as much as possible. Specifically, for example, when performing atomic layer deposition, the time per cycle (n1 + n2 + n3 + n4) is 4 seconds or less and the time (n1, n2, n3, n4) of each process is 1 second or less in FIG. Is required.
[0010]
An object of the present invention is to provide a technique capable of avoiding the problems in the valve mechanism, the valveless mechanism, and the like, and rapidly switching a plurality of types of reaction gases.
[0011]
[Means for Solving the Problems]
According to the first aspect of the present invention, a processing chamber for processing a substrate, a source gas supply pipe having one end connected to the processing chamber, and a source connected to the other end of the source gas supply pipe for vaporizing the liquid source A vaporizer, and a liquid raw material control unit for controlling a supply amount of a liquid raw material supplied to the vaporizer, wherein the liquid raw material control unit has a screw feeder or a dispenser for discharging the liquid raw material to the vaporizer. A substrate processing apparatus is provided. Examples of the substrate include a semiconductor substrate such as a silicon wafer and a glass substrate.
[0012]
In the first aspect, a raw material gas is generated by vaporizing a liquid raw material in a vaporizer. Supply / stop of the raw material gas to the processing chamber can be realized by driving / stopping a screw feeder or a dispenser. The supply amount of the raw material gas can be controlled by the driving rate per unit time of the screw feeder or the dispenser. If the screw feeder or the dispenser is stopped, the supply of the liquid raw material is stopped, and the generation of the raw material gas is also stopped, so that the raw material gas does not leak to the processing chamber, and the gas supplied to the processing chamber while maintaining the sealing function. Can be immediately switched to another gas.
[0013]
According to the second aspect of the present invention, a processing chamber for processing a substrate, a source gas supply pipe having one end connected to the processing chamber, and a liquid source connected to the other end of the source gas supply pipe to vaporize the liquid source And a liquid material control unit for controlling a supply amount of the liquid material supplied to the vaporizer, wherein the liquid material control unit discharges the liquid material to the vaporizer in a pulsed manner at predetermined intervals. And a substrate processing apparatus characterized by having a discharge means for performing the discharge. The discharge means can be constituted by a screw feeder or a dispenser.
[0014]
In the second aspect, the supply amount of the source gas to the processing chamber can be determined by the supply amount of the liquid source per discharge by the discharge unit and the number of discharges per unit time. In this way, the supply amount of the source gas can be adjusted irrespective of the valve opening. When the discharging operation of the liquid material by the discharging means is stopped, the generation of the raw material gas is also stopped, so that the raw material gas does not leak to the processing chamber, and the gas supplied to the processing chamber is immediately separated while maintaining the sealing function. Gas can be switched.
[0015]
According to the third aspect of the present invention, a processing chamber for processing a substrate, a source gas supply pipe having one end connected to the processing chamber, and a source connected to the other end of the source gas supply pipe for vaporizing the liquid source A vaporizer, wherein a plurality of liquid material supply units for supplying a liquid material to the vaporizer are connected to the vaporizer, wherein each of the liquid material supply units is connected to the liquid material. And a dispenser for discharging the substrate to the vaporizer.
[0016]
In the third aspect, the switching of the liquid source to be supplied to the vaporizer can be quickly performed by driving / stopping the screw feeder or the dispenser constituting each liquid source supply unit. In this case, it is preferable to supply the inert gas to the vaporizer from the liquid material supply unit that does not discharge the liquid material.
[0017]
According to a fourth aspect of the present invention, a step of supplying a liquid raw material to a vaporizer by a screw feeder or a dispenser, a step of generating a raw material gas by vaporizing the supplied liquid raw material by the vaporizer, Supplying the raw material gas to the substrate, thereby processing the substrate.
[0018]
According to the fifth aspect of the present invention, a liquid source supply step of supplying a liquid source to a vaporizer, a source gas generation step of generating a source gas by vaporizing the supplied liquid source with the vaporizer, Supplying the generated raw material gas to the substrate, thereby processing the substrate. In the liquid raw material supplying step, the liquid raw material is supplied to the vaporizer in a pulsed manner at predetermined intervals. There is also provided a method of manufacturing a semiconductor device characterized by the following.
[0019]
BEST MODE FOR CARRYING OUT THE INVENTION
FIG. 1 shows a substrate processing apparatus according to an embodiment. This is a single wafer type CVD apparatus. The substrate processing apparatus includes a processing chamber 20 for processing a wafer W, a source gas supply pipe 21 having one end connected to the processing chamber 20, and a source gas supply pipe 21 connected to the other end of the source gas supply pipe 21 for vaporizing a liquid source. And a liquid source control unit 23 that controls the supply amount of the liquid source supplied to the vaporizer 22. The greatest feature of the substrate processing apparatus is that the liquid material control unit 23 includes a screw feeder (see FIG. 2A) 50 for discharging the liquid material to the vaporizer 22.
[0020]
A heater 24 is provided in the processing chamber 20. A susceptor 25 is arranged on the heater 24, and the wafer W is mounted on the susceptor 25. The wafer W is heated to a predetermined temperature by the heater 24. Outside the processing chamber 20, a rotation unit 26 is provided. When the heater 24 is rotated by the rotation unit 26, the susceptor 25 and the wafer W on the heater 24 are rotated.
[0021]
In the processing chamber 20, a shower head 27 having a large number of holes 271, 271 is provided above the susceptor 25. The shower head 27 is partitioned by a partition plate 28 into a film-forming shower head 27a and a radical shower head 27b. A source gas supply pipe 21 for supplying an inert gas and / or a source gas is connected to the film forming shower head 27a. A radical supply pipe 34 described later is connected to the radical shower head 27b.
On the other hand, an exhaust port 29 for exhausting the inside of the processing chamber 20 is formed at a predetermined position in the processing chamber 20. An exhaust pipe 30 is connected to the exhaust port 29, and a raw material recovery trap 31 is provided in the exhaust pipe 30.
[0022]
Outside the processing chamber 20, a radical generating unit 32 for generating radicals is provided. A tube through which radicals generated by the radical generating unit 32 pass is connected to the radical generating unit 32. The pipe is bifurcated on the way to the downstream, and one of the branched pipes is a radical supply pipe 34 connected to the radical shower head 27b via a valve 33, and the other pipe is a The bypass pipe 36 is connected to the raw material recovery trap 31 via the valve 35.
[0023]
A vaporizer 22 is connected to the other end of the source gas supply pipe 21 whose one end is connected to the film forming shower head 27a of the processing chamber 20. In addition, the raw material gas supply pipe 21 may be provided with a pipe heater over the entire length. The vaporizer 22 includes a chamber 22a that forms a vaporization chamber, and a heater 22b that heats an inner wall of the chamber 22a.
[0024]
An outlet 36 and an inlet 37 are formed in the chamber 22a. The source gas supply pipe 21 is connected to the outlet 36. On the other hand, a liquid material introduction pipe 38 and an inert gas introduction pipe 39 are connected to the introduction port 37. The connecting portions of these pipes 38 and 39 to the inlet 37 have a double pipe structure in which the inert gas introducing pipe 39 is an outer pipe and the liquid raw material introducing pipe 38 is an inner pipe. Further, the tip of the liquid material introduction pipe 38 is a spray nozzle 38a.
[0025]
The liquid material control section 23 is connected to the other end of the liquid material introduction pipe 38 whose one end is connected to the introduction port 37. The other end of the inert gas introduction pipe 39 whose one end is connected to the introduction port 37 is connected to an inert gas supply unit 41 via a valve 40. The inert gas supply unit 41 supplies N 2 gas as an inert gas. Note that Ar, He, or the like can be used as the inert gas.
[0026]
Next, the configuration of the liquid material control unit 23 will be described with reference to FIG. FIG. 2A shows a main configuration of the liquid raw material control unit 23. The liquid raw material control unit 23 includes a screw feeder 50 and a control unit 60. The screw feeder 50 has a cylindrical casing 53 having one end serving as a liquid raw material charging section 51 and the other end serving as a liquid raw material discharging section 52, and a rotation extending to a cylinder core in the cylindrical casing 53. A shaft 54 and a screw blade 55 that is spirally mounted on the rotating shaft 54 and rotates integrally with the rotating shaft 54 are provided. The screw blade 55 and the inner peripheral wall of the casing 53 are in airtight contact with each other, and the liquid raw material introduced between the screw blade 55 and the casing 53 is sequentially sent to the discharge unit 52 side with the rotation of the rotating shaft 54. It is going to go. Note that the discharge unit 52 is connected to the nozzle 38a.
[0027]
The controller 60 adjusts the supply amount of the liquid raw material according to the number of rotations of the rotating shaft 54. Here, the control unit 60 can also perform pulse control of the rotation speed of the rotation shaft 54. In this case, assuming that the number of rotation pitches of the screw blade 55 per pulse is n, the liquid raw material for one shot is kept airtight in the space S between the screw blade 55 and the casing 53 over n pitches. become. Then, by the pulse control by the control unit 60, the liquid raw material can be discharged to the vaporizer 22 in a pulsed manner for each predetermined amount (one shot of the liquid raw material). Therefore, in this case, the supply amount of the raw material gas to the processing chamber 20 can be determined by the amount of the liquid raw material for one shot and the number of discharges per unit time (the number of rotations of the rotating shaft 54).
[0028]
Note that the liquid material control unit 23 can also be configured by a dispenser 70 and a control unit 80, as shown in FIG. The dispenser 70 includes a syringe 73 having a filling portion S in which a liquid material input port 71 and a discharge port 72 are formed and filled with one shot of the liquid material, and a filling device S filled in the filling portion S of the syringe 73. A piston 74 for discharging a shot of liquid material from the discharge port 72; Note that the discharge unit 72 is connected to the nozzle 38a.
[0029]
FIG. 2B shows a state where the piston 74 is located at the top dead center. When the piston 74 is located at the bottom dead center, the piston 74 closes the inlet 71. That is, when the piston 74 is located at the top dead center, the liquid material is supplied from the charging port 71, and the filling section S is filled with the liquid material for one shot. Next, when the piston 74 descends to the bottom dead center, the liquid material filled in the filling section S is pushed out from the discharge port 72. Such an operation is repeated.
The control unit 80 adjusts the supply amount of the liquid raw material according to the number of operations of the piston 74.
Also in this case, the control unit 80 can discharge the liquid raw material to the vaporizer 22 in a pulsed manner for each predetermined amount (one shot of the liquid raw material).
[0030]
Hereinafter, a process of forming, for example, an HfO 2 film by MOCVD (Metal Organic Chemical Vapor Deposition) using the present substrate processing apparatus as one step of a semiconductor device manufacturing process will be described.
It is assumed that the valve 33 is closed and the valve 35 is open. First, the valve 40 is opened, and only the N 2 gas from the inert gas supply unit 41 flows into the processing chamber 20 for a predetermined period. Thereafter, the wafer W is placed on the susceptor 25, and then heated to a predetermined film forming temperature (for example, 350 to 500 ° C.) by the heater 24 while rotating the wafer W by the rotation unit 26.
[0031]
[Raw material supply process]
Next, the liquid raw material controller 23 supplies the liquid raw material to the vaporizer 22 until the valve 40 is opened. Specifically, it drives the screw feeder 50 or the dispenser. Then, the liquid raw material discharged from the liquid raw material control unit 23 is sprayed from the spray nozzle 38a, and is stirred by an inert gas (here, N 2 gas) as a surrounding carrier gas, and instantaneously in the vaporizer 21. Vaporize. As a result, a source gas is generated. At this time, the vaporizer 21 is heated to, for example, 150C to 250C. Here, Hf (OC (CH 3 ) 2 CH 2 OCH 3 ) 4 can be used as the liquid raw material.
[0032]
The generated source gas is supplied from the film-forming shower head 27a onto the wafer W in a shower shape. The source gas is supplied at a rate of, for example, 0.1 g / min for about 10 seconds.
During this raw material supply step and the RPO processing step to be described later, the valve 40 is kept open, and N 2 gas is always flowed.
[0033]
[First N 2 supply step]
Next, the supply of the liquid material in the liquid material control unit 23 is stopped. Specifically, the driving of the screw feeder 50 or the dispenser is stopped. Thereby, only the N 2 gas supplied from the inert gas supply unit 41 is supplied to the processing chamber 20 via the vaporizer 21 and the raw material gas supply pipe 21. Thereby, the inside of the processing chamber 20 is purged.
[0034]
[RPO processing step]
Next, an RPO (Remote Plasma Oxidation) process is performed. The RPO process refers to a process of oxidizing a film in an oxygen radical atmosphere in which an oxygen-containing gas (for example, O 2 , N 2 , O, NO) is decomposed by plasma. Specifically, first, the valve 33 is opened, while the valve 35 is closed. Thereby, oxygen radicals generated in the radical generating unit 32 are supplied from the radical shower head 27b onto the wafer W in a shower shape. The supply of oxygen radicals is performed, for example, for 15 seconds.
[0035]
When the oxygen radicals are supplied to the unreacted source gas adhering to the wafer W, a reaction for forcibly forming the HfO 2 film occurs. Therefore, the HfO 2 film is deposited on the wafer W by several to several tens of degrees.
[0036]
[Second N 2 supply step]
Next, the valve 33 is closed while the valve 35 is opened. Thereby, oxygen radicals generated in the radical generating unit 32 are exhausted to the raw material recovery trap 31 via the bypass pipe 36 without passing through the inside of the processing chamber 20. In this manner, during the processing of the substrate W, the flow of the radicals in the radical generating unit 32 can be constantly stopped without stopping.
At this time, only the N 2 gas supplied from the inert gas supply unit 41 is supplied to the processing chamber 20 via the vaporizer 21 and the source gas supply pipe 21. Thereby, the inside of the processing chamber 20 is purged.
[0037]
By repeatedly performing the above-described raw material supply step to the second N 2 supply step, an HfO 2 film having a desired thickness can be formed.
[0038]
In the present embodiment, in the substrate processing apparatus having the liquid source supply system for supplying the liquid source to the vaporizer, the supply of the liquid source is performed by the screw feeder 50 or the dispenser 70. can get.
(1) The supply / stop of the raw material gas to / from the processing chamber 20 can be quickly and easily performed only by driving / stopping the screw feeder 50 or the dispenser 70 without using a valve or controlling the flow rate of an inert gas.
(2) The supply amount of the raw material gas can be easily controlled by the driving rate per unit time of the screw feeder 50 or the dispenser 70 without depending on the opening degree of the valve. Since there is no need to use a valve mechanism or a valveless mechanism as described in (1) and (2) above, these problems can be avoided.
(3) When the screw feeder 50 or the dispenser 70 is stopped, the supply of the liquid raw material is stopped, and the generation of the raw material gas is also stopped. Therefore, the raw material gas does not leak to the processing chamber 20 and the sealing function is maintained. The gas supplied to the processing chamber 20 can be immediately switched to oxygen radicals. Thereby, the throughput of the film forming process can be improved.
[0039]
The embodiment of the present invention has been described above, but the present invention is not limited to this. For example, the present invention can be applied to a case where two types of liquid raw materials LA and LB are alternately supplied to the vaporizer from individual liquid raw material supply units A and B, respectively. That is, also in this case, if both the liquid material supply units A and B are configured using the screw feeder 50 or the dispenser 70, respectively, the screw feeders or dispensers constituting the liquid material supply units A and B are driven / synchronized. By stopping the operation, the liquid materials to be supplied to the vaporizer can be quickly switched while the sealing function is maintained well without mixing the two liquid materials in the vaporizer.
[0040]
Further, in this case, it is preferable to supply an inert gas (purge gas, carrier gas) to the vaporizer from the liquid material supply unit that does not discharge the liquid material. That is, when the liquid raw material is supplied from one liquid raw material supply section A (B) to the reaction chamber, it is preferable to flow the purge gas from the other liquid raw material supply section B (A) to the vaporizer. Then, the gas of the liquid material LA (LB) vaporized in the vaporizer can be prevented from flowing into the liquid material supply unit B (A).
[0041]
As the liquid material, Hf (OC (CH 3) 3) 4, Hf (O-Si- (CH 3)) 4, Hf for forming a HfO 2 (OC 4 H 9) 4 ( Tetra butoxy Haufuniumu), Ta for forming the Ta 2 O 5 (OC 2 H 5) 5 ( penta-ethoxy-tantalum), Nb for forming a NbO 5 (niobium pentoxide) (OC 2 H5) 5 (pentaethoxyniobium), Ti (OC 3 H 7 ) 4 (tetra-isopropyl-titanium) for forming TiOx (titanium oxide), and Zr (for forming ZrO 2 (zirconium dioxide). OC 4 H 9 ) 4 (tetra-butoxy-zirconium) or the like can also be used.
[0042]
【The invention's effect】
ADVANTAGE OF THE INVENTION According to this invention, while being able to avoid the problem in a valve mechanism, a valveless mechanism, etc., switching of several types of reaction gases can be performed quickly.
[Brief description of the drawings]
FIG. 1 is a diagram showing a configuration of a substrate processing apparatus according to an embodiment.
FIGS. 2A and 2B are diagrams illustrating a main configuration of a liquid material control unit according to the embodiment, in which FIG. 2A illustrates a screw feeder, and FIG. 2B illustrates a dispenser.
FIG. 3 is a schematic diagram for explaining a conventional valve mechanism.
FIG. 4 is a diagram showing a timing chart of each gas in a conventional valve mechanism.
FIG. 5 is a schematic diagram for explaining a conventional valveless mechanism.
[Explanation of symbols]
Reference numeral 20: processing chamber, 21: source gas supply pipe, 22: vaporizer, 23: liquid source control unit (liquid source supply unit), 50: screw feeder (discharge unit), 70: dispenser (discharge unit), W: wafer (substrate).

Claims (2)

基板を処理する処理室と、
この処理室に一端が接続された原料ガス供給配管と、
この原料ガス供給配管の他端に接続され、液体原料を気化する気化器と、
この気化器へ供給する液体原料の供給量を制御する液体原料制御部と、を備え、
前記液体原料制御部が、前記液体原料を前記気化器へ吐出するスクリューフィーダ又はディスペンサを有してなることを特徴とする基板処理装置。
A processing chamber for processing substrates,
A source gas supply pipe having one end connected to the processing chamber,
A vaporizer connected to the other end of the raw material gas supply pipe and vaporizing the liquid raw material;
A liquid material control unit that controls the supply amount of the liquid material supplied to the vaporizer,
The substrate processing apparatus, wherein the liquid material control unit includes a screw feeder or a dispenser that discharges the liquid material to the vaporizer.
スクリューフィーダ又はディスペンサにより液体原料を気化器へ供給する工程と、
供給した液体原料を前記気化器で気化することにより、原料ガスを生成する工程と、
生成した原料ガスを基板へ供給することにより、該基板を処理する工程と
を有することを特徴とする半導体装置の製造方法。
A step of supplying a liquid raw material to a vaporizer by a screw feeder or a dispenser,
Vaporizing the supplied liquid raw material with the vaporizer to generate a raw material gas;
Supplying the generated source gas to the substrate to process the substrate.
JP2002277665A 2002-09-24 2002-09-24 Substrate processor and method for manufacturing semiconductor device Pending JP2004119486A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2002277665A JP2004119486A (en) 2002-09-24 2002-09-24 Substrate processor and method for manufacturing semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2002277665A JP2004119486A (en) 2002-09-24 2002-09-24 Substrate processor and method for manufacturing semiconductor device

Publications (1)

Publication Number Publication Date
JP2004119486A true JP2004119486A (en) 2004-04-15

Family

ID=32273203

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002277665A Pending JP2004119486A (en) 2002-09-24 2002-09-24 Substrate processor and method for manufacturing semiconductor device

Country Status (1)

Country Link
JP (1) JP2004119486A (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005259723A (en) * 2004-02-13 2005-09-22 Utec:Kk Raw material solution discharge device, vaporizer for cvd, solution vaporizing cvd device, flow control method, and thin film forming method
JP2012087328A (en) * 2010-10-15 2012-05-10 Ulvac Japan Ltd Film deposition device and film deposition method
US8755679B2 (en) 2006-04-05 2014-06-17 Horiba Stec, Co., Ltd. Liquid material vaporizer

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005259723A (en) * 2004-02-13 2005-09-22 Utec:Kk Raw material solution discharge device, vaporizer for cvd, solution vaporizing cvd device, flow control method, and thin film forming method
JP4590881B2 (en) * 2004-02-13 2010-12-01 株式会社ユーテック Vaporizer for CVD, solution vaporization type CVD equipment
US8755679B2 (en) 2006-04-05 2014-06-17 Horiba Stec, Co., Ltd. Liquid material vaporizer
JP2012087328A (en) * 2010-10-15 2012-05-10 Ulvac Japan Ltd Film deposition device and film deposition method

Similar Documents

Publication Publication Date Title
US11479856B2 (en) Multi-cycle ALD process for film uniformity and thickness profile modulation
US10043656B1 (en) Selective growth of silicon oxide or silicon nitride on silicon surfaces in the presence of silicon oxide
JP5616591B2 (en) Semiconductor device manufacturing method and substrate processing apparatus
KR101701024B1 (en) High growth rate process for conformal aluminum nitride
JP6195671B2 (en) Improved plasma enhanced ALD system
US9496134B2 (en) Substrate processing apparatus, method of manufacturing semiconductor device and semiconductor device
US10366898B2 (en) Techniques and systems for continuous-flow plasma enhanced atomic layer deposition (PEALD)
TWI409897B (en) A substrate processing apparatus, and a method of manufacturing the semiconductor device
US20050223982A1 (en) Apparatus and method for depositing thin film on wafer using remote plasma
US20150221529A1 (en) Gas supply method and thermal treatment method
TW201617473A (en) Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ald system
WO2007114427A1 (en) Exhaust structure of film-forming apparatus, film-forming apparatus, and method for processing exhaust gas
US20030198754A1 (en) Aluminum oxide chamber and process
JP2003502501A (en) Chemical vapor deposition reactor and thin film forming method using the same
JP2003521579A (en) Thin film formation method
JPWO2005098922A1 (en) Manufacturing method of semiconductor device
JP5305328B2 (en) Substrate processing equipment
TWI798371B (en) Selective deposition using hydrolysis
WO2005017987A1 (en) Substrate treatment appratus and method of manufacturing semiconductor device
JP4356943B2 (en) Substrate processing apparatus and semiconductor device manufacturing method
JP2004119486A (en) Substrate processor and method for manufacturing semiconductor device
JP2008160081A (en) Substrate processing apparatus and substrate processing method
JP2002208564A (en) Substrate processing equipment and method of manufacturing semiconductor device
JP2007227471A (en) Substrate processing apparatus
KR20040035906A (en) ALD thin film deposition apparatus and method for depositing thin film