JP2004056123A - Manufacturing method of semiconductor device - Google Patents

Manufacturing method of semiconductor device Download PDF

Info

Publication number
JP2004056123A
JP2004056123A JP2003169121A JP2003169121A JP2004056123A JP 2004056123 A JP2004056123 A JP 2004056123A JP 2003169121 A JP2003169121 A JP 2003169121A JP 2003169121 A JP2003169121 A JP 2003169121A JP 2004056123 A JP2004056123 A JP 2004056123A
Authority
JP
Japan
Prior art keywords
silicon oxide
film
wiring groove
insulating film
oxide layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2003169121A
Other languages
Japanese (ja)
Inventor
Hiroshi Yuasa
湯淺 寛
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Holdings Corp
Original Assignee
Matsushita Electric Industrial Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Matsushita Electric Industrial Co Ltd filed Critical Matsushita Electric Industrial Co Ltd
Priority to JP2003169121A priority Critical patent/JP2004056123A/en
Publication of JP2004056123A publication Critical patent/JP2004056123A/en
Pending legal-status Critical Current

Links

Images

Landscapes

  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To surely reduce parasitic capacitance between metal wires in a semiconductor device having metal wires embedded in a insulating film made of silicon oxide containing carbon. <P>SOLUTION: After forming a second insulating film 33 made of carbon containing silicon oxide just on a first insulating film 32 on a silicon substrate 31, the second insulating film 33 is etched with a mask of resist pattern 34 to form a wiring groove 35 in the film 33 and then a resist film 36 is embedded in the groove 35. In the next step, after removing the resist film 36 outside the wiring groove 35 by means of dry-etching method, the resist film 36 inside the groove is removed by means of wet-etching method. Then a metal film is embedded into the groove 35 to form a metal wiring. <P>COPYRIGHT: (C)2004,JPO

Description

【0001】
【発明の属する技術分野】
本発明は、低い比誘電率を有する絶縁膜(以下、低誘電率膜と称する)に金属配線が埋め込まれてなる半導体装置及びその製造方法に関する。
【0002】
【従来の技術】
以下、図9を参照しながら、低誘電率膜に金属配線が埋め込まれてなる半導体装置の構造について説明する。
【0003】
図9に示すように、半導体基板100の上に形成された第1の絶縁膜101の上に例えばシリコン酸化膜からなる第2の絶縁膜102が形成されており、該第2の絶縁膜102には、例えばタンタルナイトライドからなるバリアメタル層105aと例えば銅膜からなる主配線層105bとから構成される金属配線105が埋め込まれている。
【0004】
ところで、前述の半導体装置においては、金属配線105同士の間に介在する第2の絶縁膜102がシリコン酸化膜(比誘電率は3.9〜4.2程度である)からなるため、金属配線105同士の間に発生する寄生容量が大きくなるので、半導体装置の高速動作が妨げられるという問題がある。
【0005】
そこで、第2の絶縁膜102として、比誘電率が低い炭素含有シリコン酸化膜(比誘電率は2.5程度である)を用いることが考慮される。
【0006】
以下、図10(a)〜(e)を参照しながら、炭素含有シリコン酸化膜からなる絶縁膜に金属配線が埋め込まれてなる半導体装置の製造方法について説明する。
【0007】
まず、図10(a)に示すように、半導体基板100の上に形成された第1の絶縁膜101の上に、炭素含有シリコン酸化膜からなる第2の絶縁膜110を形成した後、図10(b)に示すように、第2の絶縁膜110の上に、配線溝形成用開口部を有するレジストパターン111を形成する。
【0008】
次に、図10(c)に示すように、第2の絶縁膜110に対して、レジストパターン111をマスクにして、フッ素及び炭素を主成分とするエッチングガスを用いるプラズマエッチングを行なって、第2の絶縁膜110に配線溝112を形成する。このようにすると、レジストパターン111の表面には、プラズマエッチングされる前のレジスト材料に比べて結合状態が変化し、フッ素及び炭素を主成分とするポリマーからなり50nm程度の厚さを持つ硬化層111aが形成される。硬化層111aは、ウェットエッチングによっては除去することができず、酸素ガスを用いるプラズマエッチングにより除去することができる。
【0009】
そこで、図10(d)に示すように、酸素プラズマを用いるアッシングによりレジストパターン111を除去する。この場合のアッシングは、例えば、267〜400Pa程度の真空度で、150〜250℃程度の比較的高い基板温度でダウンフロー方式(基板にバイアス電圧を印加しない方式)により行なう。このようにすると、表面に硬化層111aが形成されているレジストパターン111を確実に除去することができると共に、炭素含有シリコン酸化膜からなる第2の絶縁膜110の表面に例えば200nmの厚さを有するシリコン酸化膜113が形成される。
【0010】
ここで、酸素プラズマを用いるアッシング工程において、第2の絶縁膜110を構成する炭素含有酸化シリコンから炭素成分が取り除かれて酸化シリコンが形成されるメカニズムについて、図11及び図12を参照しながら説明する。
【0011】
図11は、炭素含有酸化シリコンの化学式の一例を示しており、該化学式を持つ炭素含有酸化シリコンに酸素が結合すると、
2CH+7O→2CO↑+3HO↑の化学反応が起こって、Siに結合していたCH3 は消滅し、CH3 が消滅した後のSiには新たなOが結合するので、図12に示すような化学式を有する酸化シリコンが形成される。
【0012】
次に、第2の絶縁膜110の上つまりシリコン酸化膜113の上に、スパッタリング法によりタンタルナイトライド膜を堆積した後、該タンタルナイトライド膜の上に電解めっき法により銅膜を堆積し、その後、CMP法により、銅膜及びタンタルナイトライド膜における第2の絶縁膜110の上に存在する部分を除去して、図12(e)に示すように、タンタルナイトライド膜からなるなるバリアメタル層114aと銅膜からなる主配線層114bとから構成される金属配線114を形成する。
【0013】
【発明が解決しようとする課題】
しかしながら、前述の方法により得られる半導体装置においては、以下に説明するような問題がある。
【0014】
まず、レジストパターン111を酸素プラズマにより除去する工程において、炭素含有シリコン酸化膜からなる第2の絶縁膜110の表面に例えば200nmの厚さを有し比誘電率の高いシリコン酸化膜113が形成されてしまうので、第2の絶縁膜110として炭素含有シリコン酸化膜を用いたにもかかわらず、金属配線114間の寄生容量を十分に低減することができないという問題がある。
【0015】
また、シリコン酸化膜113の密度は、1.7〜1.8g/cm3 であって例えばプラズマCVD法により形成されたシリコン酸化膜に比べて低いので、後工程(例えば、金属配線114の上にヴィアホールを形成するためのレジストパターン又はヴィアホールの上に形成される上層の金属配線用の配線溝を形成するためのレジストパターンをアッシングにより除去する工程)において酸素プラズマが供給されると、酸素イオンがシリコン酸化膜113を透過して、その外側の炭素含有シリコン膜に到達し、炭素含有シリコン膜を酸化させるので、シリコン酸化膜113の膜厚が拡大してしまうという問題がある。
【0016】
以上のように、炭素含有シリコン酸化膜からなる絶縁膜に埋め込まれた金属配線を有する従来の半導体装置においては、絶縁膜における金属配線に接する領域に膜厚の大きいシリコン酸化膜が形成されてしまうために、金属配線間の寄生容量が増大してしまうという問題がある。
【0017】
前記に鑑み、本発明は、炭素含有シリコン酸化膜からなる絶縁膜に埋め込まれた金属配線を有する半導体装置において、金属配線間の寄生容量を確実に低減できるようにすることを目的とする。
【0018】
【課題を解決するための手段】
前記の目的を達成するため、本発明に係る第1の半導体装置は、基板上に形成された炭素含有シリコン膜からなる絶縁膜と、絶縁膜に形成された配線溝と、配線溝の壁部及び底部に形成されており、酸素を殆ど透過させないような高い密度を持つシリコン酸化層と、配線溝の内部におけるシリコン酸化層の内側に形成された金属配線とを備えている。
【0019】
本発明に係る第1の半導体装置によると、配線溝の壁部及び底部に、酸素を殆ど透過させないような高い密度を持つシリコン酸化層が形成されているため、後工程において、酸素プラズマが供給されても、酸素イオンはシリコン酸化層を透過できないので、シリコン酸化層の外側の炭素含有シリコン膜は酸化されない。このため、配線溝の壁部及び底部に形成されているシリコン酸化層の厚さが増大しないので、金属配線間の寄生容量を確実に低減することができる。
【0020】
第1の半導体装置において、シリコン酸化層の密度は、2.0g/cm3 以上であることが好ましい。
【0021】
このようにすると、シリコン酸化層は酸素イオンの透過を確実に阻止するため、配線溝の壁部及び底部に形成されているシリコン酸化層の厚さが増大を確実に防止することができる。
【0022】
本発明に係る第2の半導体装置は、基板上に形成された炭素含有シリコン膜からなる絶縁膜と、絶縁膜に形成された配線溝と、配線溝の壁部及び底部に形成されており、均一で且つ小さい膜厚を有するシリコン酸化層と、配線溝の内部におけるシリコン酸化層の内側に形成された金属配線とを備えている。
【0023】
本発明に係る第2の半導体装置によると、配線溝の壁部及び底部に、均一で且つ小さい膜厚を有するシリコン酸化層が形成されているため、つまり、金属配線間に介在する比誘電率の高いシリコン酸化層は均一で且つ小さい膜厚を有しているため、金属配線間の寄生容量を確実に低減することができる。
【0024】
第2の半導体装置において、シリコン酸化層の厚さは20nm以下であることが好ましい。
【0025】
このようにすると、金属配線間の寄生容量をより一層低減することができる。
【0026】
本発明に係る第1の半導体装置の製造方法は、基板上に、炭素含有シリコン酸化膜からなる絶縁膜を形成する工程と、絶縁膜に対してレジストパターンをマスクにエッチングを行なって、絶縁膜に配線溝を形成する工程と、酸素を含むエッチングガスを用いるドライエッチングにより、絶縁膜に対するエッチング工程によりレジストパターンの表面に形成された硬化層を除去すると共に配線溝の壁部及び底部にシリコン酸化層を形成する工程と、ウェットエッチングにより、レジストパターンを除去する工程と、配線溝に金属膜を埋め込んで金属配線を形成する工程とを備えている。
【0027】
本発明に係る第1の半導体装置の製造方法によると、酸素を含むエッチングガスを用いるドライエッチングにより、絶縁膜に対するエッチング工程によりレジストパターンの表面に形成された硬化層を除去すると共に配線溝の壁部及び底部にシリコン酸化層を形成するため、配線溝の壁部及び底部は、酸素を含むエッチングガスに短い時間しか曝されないので、配線溝の壁部及び底部には、均一で且つ小さい膜厚を有するシリコン酸化層が形成される。また、硬化層が除去されたレジストパターンはウェットエッチングにより除去されるため、レジストパターンを除去する工程において、配線溝の壁部及び底部は酸素プラズマに曝されないので、シリコン酸化層の厚さは拡大しない。従って、金属配線間の寄生容量を確実に低減することができる。
【0028】
第1の半導体装置の製造方法において、酸素を含むエッチングガスを用いるドライエッチングは、13.3Pa以下の圧力下のプラズマ雰囲気中で行なうことが好ましい。
【0029】
このようにすると、配線溝の壁部及び底部に20nm程度以下の厚さを持つシリコン酸化層を形成することができるので、金属配線間の寄生容量をより一層低減することができる。
【0030】
この場合、酸素を含むエッチングガスを用いるドライエッチングは、異方性RIEであることが特に好ましい。
【0031】
このようにすると、配線溝の壁部及び底部に、20nm程度以下の厚さを有すると共に酸素を殆ど透過させないような高い密度を持つシリコン酸化層を形成することができるため、後工程において、酸素プラズマが供給されても、酸素イオンはシリコン酸化層を透過できないので、シリコン酸化層の外側の炭素含有シリコン膜は酸化されない。このため、配線溝の壁部及び底部に形成されているシリコン酸化層の厚さが増大しないので、金属配線間の寄生容量を確実に低減することができる。
【0032】
第1の半導体装置の製造方法は、ウェットエッチングにより、配線溝の壁部及び底部に形成されているシリコン酸化層を除去する工程をさらに備えていることが好ましい。
【0033】
このようにすると、金属配線間には、比誘電率の高いシリコン酸化膜が介在しないので、金属配線間の寄生容量をより一層低減することができる。
【0034】
本発明に係る第2の半導体装置の製造方法は、基板上に、炭素含有シリコン酸化膜からなる絶縁膜を形成する工程と、絶縁膜に対してレジストパターンをマスクにエッチングを行なって、絶縁膜に配線溝を形成する工程と、配線溝にレジスト膜を埋め込む工程と、酸素を含むエッチングガスを用いるドライエッチングにより、レジスト膜における配線溝の外側に存在する部分と、絶縁膜に対するエッチング工程により表面に硬化層が形成されているレジストパターンとを除去する工程と、ウェットエッチングにより、レジスト膜における配線溝の内部に存在する部分を除去する工程と、配線溝に金属膜を埋め込んで金属配線を形成する工程とを備えている。
【0035】
本発明に係る第2の半導体装置の製造方法によると、配線溝にレジスト膜を埋め込んでおいてから、酸素を含むエッチングガスを用いるドライエッチングにより、レジストパターンの表面に形成されている硬化層を除去するため、配線溝の壁部及び底部は、酸素を含むエッチングガスに曝されないので、配線溝の壁部及び底部にはシリコン酸化膜が形成されない。また、硬化層が除去されたレジストパターンはウェットエッチングにより除去されるため、レジストパターンを除去する工程において、配線溝の壁部及び底部は酸素プラズマに曝されないので、配線溝の壁部及び底部にはシリコン酸化膜が形成されない。従って、金属配線間の寄生容量を確実に低減することができる。
【0036】
第2の半導体装置の製造方法は、レジスト膜における配線溝の内部に存在する部分を除去する工程と、配線溝に金属膜を埋め込んで金属配線を形成する工程との間に、13.3Pa以下の圧力下で且つ酸素を含むプラズマ雰囲気中において異方性RIEを行なうことにより、配線溝の壁部及び底部にシリコン酸化層を形成する工程をさらに備えていることが好ましい。
【0037】
このようにすると、配線溝の壁部及び底部に、20nm程度以下の厚さを有すると共に酸素を殆ど透過させないような高い密度を持つシリコン酸化層を形成することができるため、後工程において、酸素プラズマが供給されても、酸素イオンはシリコン酸化層を透過できないので、シリコン酸化層の外側の炭素含有シリコン膜は酸化されない。このため、金属配線間の寄生容量を確実に低減することができる。
【0038】
【発明の実施の形態】
(第1の実施形態)
以下、本発明の第1の実施形態に係る半導体装置について、図1を参照しながら説明する。
【0039】
図1は第1の実施形態に係る半導体装置の断面構造を示しており、シリコン基板1の上に形成されたシリコン酸化膜からなる第1の絶縁膜2の上に、例えばプラズマCVD法又はSOG法により形成され、1000nmの厚さを有する炭素含有シリコン酸化膜からなる第2の絶縁膜3が形成されている。
【0040】
第2の絶縁膜3には配線溝5が形成されており、該配線溝5の壁部及び底部には、20nm程度以下、好ましくは10〜15nm程度の均一な厚さを有すると共に2.0〜2.1g/cm3 程度の高い密度を有するシリコン酸化層6が形成されている。
【0041】
配線溝5のシリコン酸化層6の内側には、タンタルナイトライド膜からなるなるバリアメタル層7aと銅膜からなる主配線層7bとから構成される金属配線7が埋め込まれている。
【0042】
第1の実施形態に係る半導体装置によると、配線溝5の壁部及び底部には、20nm程度以下の均一な厚さを有するシリコン酸化層6が形成されているため、金属配線7同士の間に発生する寄生容量は大きく低減する。また、シリコン酸化層6は、炭素含有シリコン酸化膜からなる第2の絶縁膜3と金属配線7との密着性を向上させる機能を有するため、金属配線7の配線溝5に対する密着性が向上する。
【0043】
また、配線溝5の壁部及び底部に2.0〜2.1g/cm3 程度の高い密度を有するシリコン酸化層6が形成されており、該シリコン酸化層6は酸素を殆ど透過させないため、後工程(例えば、金属配線7の上にヴィアホールを形成するためのレジストパターン又はヴィアホールの上に形成される上層の金属配線用の配線溝を形成するためのレジストパターンをアッシングにより除去する工程)において、酸素プラズマが供給されても、酸素イオンはシリコン酸化層6を透過できない。このため、シリコン酸化層6の外側の炭素含有シリコン膜は酸化されず、配線溝5の壁部及び底部に形成されているシリコン酸化層6の厚さが増大しないので、金属配線間の寄生容量を確実に低減することができる。
【0044】
(第2の実施形態)
以下、本発明の第2の実施形態に係る半導体装置の製造方法について、図2(a)〜(c)及び図3(a)〜(c)を参照しながら説明する。
【0045】
まず、図2(a)に示すように、シリコン基板11の上に形成されたシリコン酸化膜からなる第1の絶縁膜12の上に、例えばプラズマCVD法又はSOG法により、1000nmの厚さを有する炭素含有シリコン酸化膜からなる第2の絶縁膜13を形成する。
【0046】
次に、第2の絶縁膜13の上にレジスト膜を塗布した後、該レジスト膜に対してKrFエキシマレーザを照射してパターン露光を行ない、その後、パターン露光されたレジスト膜を現像することにより、図2(b)に示すように、配線溝形成用の開口部を有するレジストパターン14を形成する。
【0047】
次に、第2の絶縁膜13に対して、炭素及びフッ素を主成分とするエッチングガス、例えば、CF4 ガス及びCHF3 ガスのうちの少なくとも1つを含むガスに、アルゴンガス又は酸素ガスが添加されてなるエッチングガスからなるプラズマを用いてドライエッチングを行なって、図2(c)に示すように、第2の絶縁膜13に500nm程度の深さを持つ配線溝15を形成する。このようにすると、レジストパターン14の表面には、プラズマエッチングされる前のレジスト材料に比べて結合状態が変化し、炭素及びフッ素を主成分とするポリマーからなり50nm程度の厚さを持つ硬化層14aが形成される。
【0048】
次に、図3(a)に示すように、酸素ガスを用いるプラズマエッチングにより硬化層14aを除去する。この際、硬化層14aの下側のレジストパターン14も若干除去されるが差し支えはない。また、このようにすると、第2の絶縁膜13における配線溝15の表面も酸素プラズマに曝されるので、配線溝15の壁部及び底部にはシリコン酸化層16が形成されるが、酸素ガスを用いるプラズマエッチングは、硬化層14aのみを除去することを目的として行なわれるので、プラズマエッチングの時間は、レジストパターン14の全体を除去する場合に比べて著しく減少する。このため、プラズマ中の酸素イオンは、炭素含有シリコン酸化膜からなる第2の絶縁膜13において表面から内部方向に深く侵入しないので、配線溝15の壁部及び底部には厚さの小さいシリコン酸化層16が形成される。
【0049】
ここで、酸素ガスを用いるプラズマエッチングの条件について説明する。
【0050】
第1のエッチング方法としては、13.3Pa以下の真空度でダウンフロー方式のエッチングを短時間行なって、レジストパターン14を大部分残した状態で硬化層14aを除去する方法が挙げられる。このようにすると、プラズマ中の酸素イオンは、炭素含有シリコン酸化膜からなる第2の絶縁膜13において表面から内部方向に深く侵入しないので、配線溝15の壁部及び底部には、20nm程度以下の厚さを持つシリコン酸化層16が形成される。
【0051】
第2のエッチング方法としては、シリコン基板11にバイアス電圧を印加する異方性RIE(Reactive Ion Etching)を行なって、レジストパターン14を大部分残した状態で硬化層14aを除去する方法が挙げられる。このようにすると、配線溝15の壁部及び底部には、2.0〜2.1g/cm3 程度の高い密度を持つと共に20nm程度以下の厚さを持つシリコン酸化層16が形成される。この場合、13.3Pa以下の真空度で酸素プラズマを用いる異方性RIEを行なうと、2.0〜2.1g/cm3 程度の高い密度を持ち且つ10〜15nm程度の厚さを持つシリコン酸化層16を形成することができる。
【0052】
次に、レジスト溶解性を有する薬液、例えばアミン系薬液を用いるウェットエッチングにより、図3(b)に示すように、残存しているレジストパターン14を除去する。
【0053】
次に、配線溝15の内部を含む第2の絶縁膜13の上つまりシリコン酸化層16の上に、スパッタリング法によりタンタルナイトライド膜を堆積した後、該タンタルナイトライド膜の上に電解めっき法により銅膜を堆積し、その後、CMP法により、銅膜及びタンタルナイトライド膜における第2の絶縁膜13の上に存在する部分を除去して、図3(c)に示すように、配線溝15の内部に、タンタルナイトライド膜からなるなるバリアメタル層17aと銅膜からなる主配線層17bとから構成される金属配線17を形成する。
【0054】
第2の実施形態において、酸素ガスを用いるプラズマエッチングにより硬化層14aを除去する工程に、前述の第1のエッチング方法を用いると、配線溝15の壁部及び底部に、20nm程度以下の厚さを持つシリコン酸化層16を形成できるため、比誘電率の高いシリコン酸化層16の厚さを小さくできるので、金属配線17間の寄生容量を確実に低減することができる。
【0055】
また、第2の実施形態において、酸素ガスを用いるプラズマエッチングにより硬化層14aを除去する工程に、前述の第2のエッチング方法を用いると、配線溝15の壁部及び底部に、2.0〜2.1g/cm3 程度の高い密度を持つと共に20nm程度以下の厚さを持つシリコン酸化層16を形成できる。この場合、13.3Pa以下の真空度で酸素プラズマを用いる異方性RIEを行なうと、2.0〜2.1g/cm3 程度の高い密度を持ち且つ10〜15nm程度の厚さを持つシリコン酸化層16を形成することができる。
【0056】
第2のエッチング方法によると、従来から行なわれている酸素プラズマを用いるアッシングに比べて、真空度が高く(圧力が低く)且つ基板温度が低い一方、酸素イオンのエネルギーが高いので、2.0g/cm3 以上の高い密度を有するシリコン酸化層16を形成することができるため、後工程において、酸素プラズマが供給されても、酸素イオンはシリコン酸化層16を透過できず、シリコン酸化層6の厚さが増大しないので、金属配線17間の寄生容量を確実に低減することができる。
【0057】
(第3の実施形態)
以下、本発明の第3の実施形態に係る半導体装置の製造方法について、図4(a)〜(c)及び図5(a)〜(c)を参照しながら説明する。
【0058】
まず、図4(a)に示すように、シリコン基板21の上に形成されたシリコン酸化膜からなる第1の絶縁膜22の上に、例えばプラズマCVD法又はSOG法により、1000nmの厚さを有する炭素含有シリコン酸化膜からなる第2の絶縁膜23を形成した後、図4(b)に示すように、第2の絶縁膜23の上に、配線溝形成用の開口部を有するレジストパターン24を形成する。
【0059】
次に、第2の絶縁膜23に対して、炭素及びフッ素を主成分とするエッチングガス、例えば、CF4 ガス及びCHF3 ガスのうちの少なくとも1つのガスに、アルゴンガス又は酸素ガスが添加されてなるエッチングガスからなるプラズマを用いてドライエッチングを行なって、図4(c)に示すように、第2の絶縁膜23に500nm程度の深さを持つ配線溝25を形成する。このようにすると、レジストパターン24の表面には、炭素及びフッ素を主成分とするポリマーからなり50nm程度の厚さを持つ硬化層24aが形成される。
【0060】
次に、図5(a)に示すように、酸素ガスを用いるプラズマエッチングにより硬化層24aを除去する。この際、硬化層24aの下側のレジストパターン24も若干除去されるが差し支えはない。また、第2の絶縁膜23における配線溝25の壁部及び底部には厚さの小さいシリコン酸化層26が形成される。酸素ガスを用いるプラズマエッチングの条件については、第2の実施形態と同様であるから、ここでは説明を省略する。
【0061】
次に、レジスト溶解性を有する薬液、例えばアミンを含む薬液を用いるウェットエッチングにより、図5(b)に示すように、残存しているレジストパターン24を除去した後、酸化膜除去性を有する薬液、例えばフッ化アンモンを含む薬液を用いるウェットエッチングにより、配線溝25の壁部及び底部に形成されているシリコン酸化層26を除去する。
【0062】
次に、配線溝25の内部を含む第2の絶縁膜23の上に、スパッタリング法によりタンタルナイトライド膜を堆積した後、該タンタルナイトライド膜の上に電解めっき法により銅膜を堆積し、その後、CMP法により、銅膜及びタンタルナイトライド膜における第2の絶縁膜23の上に存在する部分を除去して、図5(c)に示すように、配線溝25の内部に、タンタルナイトライド膜からなるなるバリアメタル層27aと銅膜からなる主配線層27bとから構成される金属配線27を形成する。
【0063】
第3の実施形態によると、配線溝25の壁部に形成されているシリコン酸化層26を除去した後に、配線溝25の内部に金属配線27を形成するため、金属配線27同士の間にはシリコン酸化層26が全く介在していないので、金属配線27間の寄生容量は一層大きく低減する。
【0064】
(第4の実施形態)
以下、本発明の第4の実施形態に係る半導体装置の製造方法について、図6(a)〜(d)及び図7(a)〜(c)を参照しながら説明する。
【0065】
まず、図6(a)に示すように、シリコン基板31の上に形成されたシリコン酸化膜からなる第1の絶縁膜32の上に、例えばプラズマCVD法又はSOG法により、1000nmの厚さを有する炭素含有シリコン酸化膜からなる第2の絶縁膜33を形成した後、図6(b)に示すように、第2の絶縁膜33の上に、配線溝形成用の開口部を有するレジストパターン34を形成する。
【0066】
次に、第2の絶縁膜33に対して、炭素及びフッ素を主成分とするエッチングガス、例えば、CF4 ガス及びCHF3 ガスのうちを少なくとも1つを含むガスに、アルゴンガス又は酸素ガスが添加されてなるエッチングガスからなるプラズマを用いてドライエッチングを行なって、図6(c)に示すように、第2の絶縁膜33に500nm程度の深さを持つ配線溝35を形成する。このようにすると、レジストパターン34の表面には、炭素及びフッ素を主成分とするポリマーからなり50nm程度の厚さを持つ硬化層34aが形成される。
【0067】
次に、図6(d)に示すように、配線溝35の内部が埋まるようにレジストパターン34の上に全面に亘ってレジスト膜36を形成する。
【0068】
次に、図7(a)に示すように、酸素プラズマを用いるアッシングにより、レジスト膜36における第2の絶縁膜33の上に存在する部分と、硬化層34aが形成されているレジストパターン34の全てを除去する。ここで行なう酸素ガスを用いるプラズマエッチングとしては、13.3Pa以下の真空度でダウンフロー方式のエッチングを第2の実施形態よりも長い時間行なう。このようにすると、レジスト膜36及びレジストパターン34はエッチバックされると共に、炭素含有シリコン酸化膜からなる第2の絶縁膜33の上面(配線溝35の側面及び底部を除く面)は、レジストパターン34が除去された後に、短時間ではあるが酸素プラズマに曝されるので、第2の絶縁膜33の上面に、厚さの小さいシリコン酸化層37が形成される。一方、配線溝35の内部にはレジスト膜36が埋め込まれているため、配線溝35の側面及び底部にはシリコン酸化層は形成されない。
【0069】
次に、図7(b)に示すように、レジスト溶解性の有る薬液、例えばアミンを含む薬液を用いるウェットエッチ法により、配線溝35の内部に埋め込まれているレジスト膜36を除去する。
【0070】
次に、配線溝35の内部を含む第2の絶縁膜33の上に、スパッタリング法によりタンタルナイトライド膜を堆積した後、該タンタルナイトライド膜の上に電解めっき法により銅膜を堆積し、その後、CMP法により、銅膜及びタンタルナイトライド膜における第2の絶縁膜33の上に存在する部分を除去して、図7(c)に示すように、配線溝35の内部に、タンタルナイトライド膜からなるなるバリアメタル層38aと銅膜からなる主配線層38bとから構成される金属配線38を形成する。この際、CMP法のオーバー研磨を行なうことにより、第2の絶縁膜33の上面に形成されているシリコン酸化層37を除去する。
【0071】
第4の実施形態によると、レジストパターン35を酸素プラズマにより除去する工程において、配線溝35の内部にはレジスト膜36が埋め込まれているため、配線溝35の側面及び底部にシリコン酸化層が形成されないため、金属配線38間の寄生容量を低減することができる。
【0072】
(第4の実施形態の変形例)
以下、第4の実施形態の変形例に係る半導体装置の製造方法について、図8(a)〜(d)を参照しながら説明する。
【0073】
第4の実施形態と同様のプロセスを経た後、酸素プラズマを用いるアッシングを行なって、図8(a)に示すように、レジスト膜36における第2の絶縁膜33の上に存在する部分と、硬化層34aが形成されているレジストパターン34の全てを除去する。ここで行なう酸素ガスを用いるプラズマエッチングとしては、13.3Pa以下の真空度でダウンフロー方式のエッチングを第2の実施形態よりも長い時間行なう。このようにすると、第4の実施形態と同様、第2の絶縁膜33の上面には、厚さの小さい第1のシリコン酸化層37が形成される一方、配線溝35の側面及び底部にはシリコン酸化層は形成されない。
【0074】
次に、図8(b)に示すように、レジスト溶解性の有る薬液、例えばアミンを含む薬液を用いるウェットエッチ法により、配線溝35の内部に埋め込まれているレジスト膜36を除去する。
【0075】
次に、シリコン基板31にバイアス電圧を印加する異方性RIEを行なって、配線溝35の壁部及び底部に、2.0〜2.1g/cm3 程度の高い密度を持つと共に20nm程度以下の厚さを持つ第2のシリコン酸化層39を形成する。この場合、13.3Pa以下の真空度で異方性RIEを行なうと、2.0〜2.1g/cm3 程度の高い密度を持ち且つ10〜15nm程度の厚さを持つ第2のシリコン酸化層39を形成することができる。尚、この異方性RIEにより、第2の絶縁膜33の上面に形成されている第1のシリコン酸化層37は、高密度化されると共に厚さは増大するが、後に行なわれるCMP法により除去されるので、、特に問題にはならない。
【0076】
次に、図8(d)に示すように、第2のシリコン酸化層39が形成されている配線溝35の内部を含む第2の絶縁膜33の上に、スパッタリング法によりタンタルナイトライド膜を堆積した後、該タンタルナイトライド膜の上に電解めっき法により銅膜を堆積し、その後、CMP法により、銅膜及びタンタルナイトライド膜における第2の絶縁膜33の上に存在する部分を除去して、図7(c)に示すように、第2のシリコン酸化層39が形成されている配線溝35の内部に、タンタルナイトライド膜からなるなるバリアメタル層38aと銅膜からなる主配線層38bとから構成される金属配線38を形成する。この際、CMP法のオーバー研磨を行なうことにより、第2の絶縁膜33の上面に形成されている第1のシリコン酸化層37を除去する。
【0077】
第4の実施形態の変形例によると、配線溝35の壁部及び底部に、2.0〜2.1g/cm3 程度の高い密度を持つと共に20nm程度以下の厚さを持つ第2のシリコン酸化層39を形成することができるので、後工程において、酸素プラズマが供給されても、酸素イオンは第2のシリコン酸化層39を透過できず、第2のシリコン酸化層39の厚さが増大しないので、金属配線38間の寄生容量を確実に低減することができる。
【0078】
【発明の効果】
本発明に係る第1の半導体装置によると、後工程において、酸素プラズマが供給されても、配線溝の壁部及び底部に形成されているシリコン酸化層の厚さが増大しないので、金属配線間の寄生容量を確実に低減することができる。
【0079】
本発明に係る第2の半導体装置によると、金属配線間に介在する比誘電率の高いシリコン酸化層は均一で且つ小さい膜厚を有しているため、金属配線間の寄生容量を確実に低減することができる。
【0080】
本発明に係る第1の半導体装置の製造方法によると、酸素を含むエッチングガスを用いるドライエッチングにより、レジストパターン表面の硬化層を除去した後、ウェットエッチングによりレジストパターンを除去するため、レジストパターンを除去する工程において、配線溝の壁部及び底部は酸素プラズマに曝されないので、金属配線間の寄生容量を確実に低減することができる。
【0081】
本発明に係る第2の半導体装置の製造方法によると、配線溝にレジスト膜を埋め込んでおいてから、酸素を含むエッチングガスを用いるドライエッチングにより、レジストパターン表面の硬化層を除去した後、ウェットエッチングによりレジストパターンを除去するため、レジストパターンを除去する工程において、配線溝の壁部及び底部は酸素プラズマに曝されないので、金属配線間の寄生容量を確実に低減することができる。
【図面の簡単な説明】
【図1】第1の実施形態に係る半導体装置の断面図である。
【図2】(a)〜(c)は、第2の実施形態に係る半導体装置の製造方法の各工程を示す断面図である。
【図3】(a)〜(c)は、第2の実施形態に係る半導体装置の製造方法の各工程を示す断面図である。
【図4】(a)〜(c)は、第3の実施形態に係る半導体装置の製造方法の各工程を示す断面図である。
【図5】(a)〜(c)は、第3の実施形態に係る半導体装置の製造方法の各工程を示す断面図である。
【図6】(a)〜(d)は、第4の実施形態に係る半導体装置の製造方法の各工程を示す断面図である。
【図7】(a)〜(c)は、第4の実施形態に係る半導体装置の製造方法の各工程を示す断面図である。
【図8】(a)〜(d)は、第4の実施形態の変形例に係る半導体装置の製造方法の各工程を示す断面図である。
【図9】従来の半導体装置の断面図である。
【図10】従来の半導体装置の製造方法の各工程を示す断面図である。
【図11】炭素含有酸化シリコンの化学式の一例を示す図である。
【図12】炭素含有酸化シリコンに酸素が結合することにより得られる酸化シリコンの化学式を示す図である。
【符号の説明】
1 シリコン基板
2 第1の絶縁膜
3 第2の絶縁膜
5 配線溝
6 シリコン酸化層
7 金属配線
7a バリアメタル層
7b 主配線層
11 シリコン基板
12 第1の絶縁膜
13 第2の絶縁膜
14 レジストパターン
14a 硬化層
15 配線溝
16 シリコン酸化層
17 金属配線
17a バリアメタル層
17b 主配線層
21 シリコン基板
22 第1の絶縁膜
23 第2の絶縁膜
24 レジストパターン
24a 硬化層
25 配線溝
26 シリコン酸化層
27 金属配線
27a バリアメタル層
27b 主配線層
31 シリコン基板
32 第1の絶縁膜
33 第2の絶縁膜
34 レジストパターン
34a 硬化層
35 配線溝
36 レジスト膜
37 シリコン酸化層(第1のシリコン酸化層)
38 金属配線
38a バリアメタル層
38b 主配線層
39 第2のシリコン酸化層
[0001]
TECHNICAL FIELD OF THE INVENTION
The present invention relates to a semiconductor device in which a metal wiring is embedded in an insulating film having a low relative dielectric constant (hereinafter, referred to as a low dielectric constant film) and a method for manufacturing the same.
[0002]
[Prior art]
Hereinafter, the structure of a semiconductor device in which a metal wiring is embedded in a low dielectric constant film will be described with reference to FIG.
[0003]
As shown in FIG. 9, a second insulating film 102 made of, for example, a silicon oxide film is formed on a first insulating film 101 formed on a semiconductor substrate 100. Embedded therein is a metal wiring 105 composed of a barrier metal layer 105a made of, for example, tantalum nitride and a main wiring layer 105b made of, for example, a copper film.
[0004]
By the way, in the above-described semiconductor device, the second insulating film 102 interposed between the metal wirings 105 is made of a silicon oxide film (having a relative dielectric constant of about 3.9 to 4.2). There is a problem that high-speed operation of the semiconductor device is hindered because the parasitic capacitance generated between the semiconductor devices 105 increases.
[0005]
Therefore, it is considered that a carbon-containing silicon oxide film having a low relative dielectric constant (the relative dielectric constant is about 2.5) is used as the second insulating film 102.
[0006]
Hereinafter, with reference to FIGS. 10A to 10E, a method of manufacturing a semiconductor device in which metal wiring is embedded in an insulating film made of a carbon-containing silicon oxide film will be described.
[0007]
First, as shown in FIG. 10A, a second insulating film 110 made of a carbon-containing silicon oxide film is formed on a first insulating film 101 formed on a semiconductor substrate 100. As shown in FIG. 10B, a resist pattern 111 having an opening for forming a wiring groove is formed on the second insulating film 110.
[0008]
Next, as shown in FIG. 10C, the second insulating film 110 is subjected to plasma etching using an etching gas containing fluorine and carbon as main components, using the resist pattern 111 as a mask. A wiring groove 112 is formed in the second insulating film 110. In this way, the bonding state changes on the surface of the resist pattern 111 as compared with the resist material before plasma etching, and a cured layer made of a polymer containing fluorine and carbon as main components and having a thickness of about 50 nm is formed. 111a is formed. The hardened layer 111a cannot be removed by wet etching, but can be removed by plasma etching using oxygen gas.
[0009]
Therefore, as shown in FIG. 10D, the resist pattern 111 is removed by ashing using oxygen plasma. Ashing in this case is performed by a downflow method (a method in which a bias voltage is not applied to the substrate) at a relatively high substrate temperature of approximately 150 to 250 ° C. at a degree of vacuum of approximately 267 to 400 Pa. In this manner, the resist pattern 111 having the cured layer 111a formed on the surface can be reliably removed, and a thickness of, for example, 200 nm is formed on the surface of the second insulating film 110 made of the carbon-containing silicon oxide film. A silicon oxide film 113 is formed.
[0010]
Here, in the ashing step using oxygen plasma, a mechanism in which a carbon component is removed from the carbon-containing silicon oxide included in the second insulating film 110 to form silicon oxide will be described with reference to FIGS. I do.
[0011]
FIG. 11 shows an example of a chemical formula of carbon-containing silicon oxide. When oxygen is bonded to carbon-containing silicon oxide having the chemical formula,
2CH 3 + 7O → 2CO 2 ↑ + 3H 2 O ↑ chemical reaction occurs, and CH bonded to Si 3 Disappears and CH 3 Since new O bonds to Si after disappearing, silicon oxide having a chemical formula as shown in FIG. 12 is formed.
[0012]
Next, a tantalum nitride film is deposited on the second insulating film 110, that is, on the silicon oxide film 113 by a sputtering method, and then a copper film is deposited on the tantalum nitride film by an electrolytic plating method. Thereafter, portions of the copper film and the tantalum nitride film existing on the second insulating film 110 are removed by a CMP method, and as shown in FIG. 12E, a barrier metal made of a tantalum nitride film is formed. A metal wiring 114 composed of a layer 114a and a main wiring layer 114b made of a copper film is formed.
[0013]
[Problems to be solved by the invention]
However, the semiconductor device obtained by the above-described method has the following problems.
[0014]
First, in the step of removing the resist pattern 111 by oxygen plasma, a silicon oxide film 113 having a thickness of, for example, 200 nm and a high relative dielectric constant is formed on the surface of the second insulating film 110 made of a carbon-containing silicon oxide film. Therefore, there is a problem that the parasitic capacitance between the metal wires 114 cannot be sufficiently reduced even though the carbon-containing silicon oxide film is used as the second insulating film 110.
[0015]
The density of the silicon oxide film 113 is 1.7 to 1.8 g / cm. 3 Since it is lower than a silicon oxide film formed by, for example, a plasma CVD method, a post-process (for example, a resist pattern for forming a via hole on the metal wiring 114 or an upper layer formed on the via hole) When oxygen plasma is supplied in the step of removing the resist pattern for forming the wiring groove for the metal wiring by ashing), oxygen ions pass through the silicon oxide film 113 and pass through the carbon-containing silicon film outside thereof. As a result, since the carbon-containing silicon film is oxidized, the thickness of the silicon oxide film 113 increases.
[0016]
As described above, in a conventional semiconductor device having a metal wiring embedded in an insulating film made of a carbon-containing silicon oxide film, a silicon oxide film having a large thickness is formed in a region of the insulating film in contact with the metal wiring. Therefore, there is a problem that the parasitic capacitance between the metal wirings increases.
[0017]
In view of the foregoing, it is an object of the present invention to provide a semiconductor device having a metal wiring embedded in an insulating film made of a carbon-containing silicon oxide film, which can reliably reduce the parasitic capacitance between the metal wirings.
[0018]
[Means for Solving the Problems]
In order to achieve the above object, a first semiconductor device according to the present invention includes an insulating film made of a carbon-containing silicon film formed on a substrate, a wiring groove formed in the insulating film, and a wall of the wiring groove. And a silicon oxide layer formed at the bottom and having a high density that hardly transmits oxygen, and a metal wiring formed inside the silicon oxide layer inside the wiring groove.
[0019]
According to the first semiconductor device of the present invention, since the silicon oxide layer having a high density that hardly transmits oxygen is formed on the wall and the bottom of the wiring groove, oxygen plasma is supplied in a later step. However, since the oxygen ions cannot pass through the silicon oxide layer, the carbon-containing silicon film outside the silicon oxide layer is not oxidized. Therefore, the thickness of the silicon oxide layer formed on the wall and the bottom of the wiring groove does not increase, so that the parasitic capacitance between the metal wirings can be reliably reduced.
[0020]
In the first semiconductor device, the density of the silicon oxide layer is 2.0 g / cm 3 It is preferable that it is above.
[0021]
By doing so, the silicon oxide layer reliably prevents oxygen ions from permeating, so that the thickness of the silicon oxide layer formed on the wall and bottom of the wiring groove can be reliably prevented from increasing.
[0022]
A second semiconductor device according to the present invention is formed on an insulating film made of a carbon-containing silicon film formed on a substrate, a wiring groove formed on the insulating film, and a wall and a bottom of the wiring groove. The semiconductor device includes a silicon oxide layer having a uniform and small thickness, and a metal wiring formed inside the silicon oxide layer inside the wiring groove.
[0023]
According to the second semiconductor device of the present invention, the silicon oxide layer having a uniform and small film thickness is formed on the walls and the bottom of the wiring groove, that is, the relative dielectric constant interposed between the metal wirings. Since the high silicon oxide layer has a uniform and small thickness, the parasitic capacitance between metal wirings can be reliably reduced.
[0024]
In the second semiconductor device, the thickness of the silicon oxide layer is preferably equal to or less than 20 nm.
[0025]
In this case, the parasitic capacitance between the metal wirings can be further reduced.
[0026]
A first method for manufacturing a semiconductor device according to the present invention comprises the steps of: forming an insulating film made of a carbon-containing silicon oxide film on a substrate; and etching the insulating film using a resist pattern as a mask. Forming a wiring groove on the substrate and dry etching using an etching gas containing oxygen to remove the hardened layer formed on the surface of the resist pattern by the etching step on the insulating film and to form silicon oxide on the wall and bottom of the wiring groove. The method includes a step of forming a layer, a step of removing a resist pattern by wet etching, and a step of forming a metal wiring by embedding a metal film in a wiring groove.
[0027]
According to the first method of manufacturing a semiconductor device according to the present invention, the hardened layer formed on the surface of the resist pattern in the etching step for the insulating film is removed by dry etching using an etching gas containing oxygen, and the wall of the wiring groove is removed. Since the silicon oxide layer is formed on the portion and the bottom, the wall and the bottom of the wiring groove are exposed to the etching gas containing oxygen only for a short time, so that the wall and the bottom of the wiring groove have a uniform and small film thickness. Is formed. In addition, since the resist pattern from which the cured layer has been removed is removed by wet etching, the thickness and thickness of the silicon oxide layer are increased because the wall and bottom of the wiring groove are not exposed to oxygen plasma in the step of removing the resist pattern. do not do. Therefore, the parasitic capacitance between the metal wires can be reliably reduced.
[0028]
In the first method for manufacturing a semiconductor device, dry etching using an etching gas containing oxygen is preferably performed in a plasma atmosphere under a pressure of 13.3 Pa or less.
[0029]
With this configuration, a silicon oxide layer having a thickness of about 20 nm or less can be formed on the wall and bottom of the wiring groove, so that the parasitic capacitance between metal wirings can be further reduced.
[0030]
In this case, dry etching using an etching gas containing oxygen is particularly preferably anisotropic RIE.
[0031]
By doing so, a silicon oxide layer having a thickness of about 20 nm or less and having a high density that hardly transmits oxygen can be formed on the wall and the bottom of the wiring groove. Even when plasma is supplied, oxygen ions cannot pass through the silicon oxide layer, so that the carbon-containing silicon film outside the silicon oxide layer is not oxidized. Therefore, the thickness of the silicon oxide layer formed on the wall and the bottom of the wiring groove does not increase, so that the parasitic capacitance between the metal wirings can be reliably reduced.
[0032]
It is preferable that the first method for manufacturing a semiconductor device further includes a step of removing a silicon oxide layer formed on a wall portion and a bottom portion of the wiring groove by wet etching.
[0033]
In this case, since a silicon oxide film having a high relative dielectric constant does not intervene between the metal wires, the parasitic capacitance between the metal wires can be further reduced.
[0034]
A second method for manufacturing a semiconductor device according to the present invention comprises the steps of: forming an insulating film made of a carbon-containing silicon oxide film on a substrate; etching the insulating film using a resist pattern as a mask; A step of forming a wiring groove in the wiring groove, a step of embedding a resist film in the wiring groove, and a dry etching using an etching gas containing oxygen. Removing a resist pattern having a cured layer formed thereon, removing a portion of the resist film existing inside the wiring groove by wet etching, and forming a metal wiring by embedding a metal film in the wiring groove. And a step of performing
[0035]
According to the second method of manufacturing a semiconductor device according to the present invention, after the resist film is embedded in the wiring groove, the cured layer formed on the surface of the resist pattern is subjected to dry etching using an etching gas containing oxygen. For removal, the walls and bottom of the wiring groove are not exposed to the etching gas containing oxygen, so that no silicon oxide film is formed on the walls and bottom of the wiring groove. In addition, since the resist pattern from which the cured layer has been removed is removed by wet etching, in the step of removing the resist pattern, the walls and the bottom of the wiring groove are not exposed to oxygen plasma. No silicon oxide film is formed. Therefore, the parasitic capacitance between the metal wires can be reliably reduced.
[0036]
The second method for manufacturing a semiconductor device includes a step of removing a portion existing inside a wiring groove in a resist film and a step of forming a metal wiring by embedding a metal film in the wiring groove, at 13.3 Pa or less. It is preferable to further include a step of forming a silicon oxide layer on the wall and bottom of the wiring groove by performing anisotropic RIE under a pressure of and in a plasma atmosphere containing oxygen.
[0037]
By doing so, a silicon oxide layer having a thickness of about 20 nm or less and having a high density that hardly transmits oxygen can be formed on the wall and the bottom of the wiring groove. Even when plasma is supplied, oxygen ions cannot pass through the silicon oxide layer, so that the carbon-containing silicon film outside the silicon oxide layer is not oxidized. Therefore, the parasitic capacitance between the metal wires can be reliably reduced.
[0038]
BEST MODE FOR CARRYING OUT THE INVENTION
(1st Embodiment)
Hereinafter, a semiconductor device according to a first embodiment of the present invention will be described with reference to FIG.
[0039]
FIG. 1 shows a cross-sectional structure of a semiconductor device according to the first embodiment. For example, a plasma CVD method or SOG is formed on a first insulating film 2 made of a silicon oxide film formed on a silicon substrate 1. A second insulating film 3 made of a carbon-containing silicon oxide film having a thickness of 1000 nm is formed by a method.
[0040]
A wiring groove 5 is formed in the second insulating film 3. The wall and bottom of the wiring groove 5 have a uniform thickness of about 20 nm or less, preferably about 10 to 15 nm, and a thickness of 2.0 mm. ~ 2.1 g / cm 3 A silicon oxide layer 6 having a high density is formed.
[0041]
A metal wiring 7 composed of a barrier metal layer 7a made of a tantalum nitride film and a main wiring layer 7b made of a copper film is embedded inside the silicon oxide layer 6 in the wiring groove 5.
[0042]
According to the semiconductor device according to the first embodiment, since the silicon oxide layer 6 having a uniform thickness of about 20 nm or less is formed on the wall and bottom of the wiring groove 5, the space between the metal wirings 7 is formed. Is greatly reduced. Further, since the silicon oxide layer 6 has a function of improving the adhesion between the second insulating film 3 made of a carbon-containing silicon oxide film and the metal wiring 7, the adhesion of the metal wiring 7 to the wiring groove 5 is improved. .
[0043]
Also, 2.0 to 2.1 g / cm is applied to the wall and the bottom of the wiring groove 5. 3 Since the silicon oxide layer 6 having a high density is formed, and the silicon oxide layer 6 hardly transmits oxygen, the silicon oxide layer 6 is formed in a later step (for example, a resist pattern or a resist pattern for forming a via hole on the metal wiring 7). In the step of removing by ashing the resist pattern for forming the wiring groove for the upper metal wiring formed on the via hole by ashing, oxygen ions cannot pass through the silicon oxide layer 6 even if oxygen plasma is supplied. . Therefore, the carbon-containing silicon film outside the silicon oxide layer 6 is not oxidized, and the thickness of the silicon oxide layer 6 formed on the wall and bottom of the wiring groove 5 does not increase. Can be reliably reduced.
[0044]
(Second embodiment)
Hereinafter, a method for manufacturing a semiconductor device according to the second embodiment of the present invention will be described with reference to FIGS. 2 (a) to 2 (c) and 3 (a) to 3 (c).
[0045]
First, as shown in FIG. 2A, a 1000 nm-thick film is formed on a first insulating film 12 made of a silicon oxide film formed on a silicon substrate 11 by, for example, a plasma CVD method or an SOG method. A second insulating film 13 made of a carbon-containing silicon oxide film is formed.
[0046]
Next, after a resist film is applied on the second insulating film 13, the resist film is irradiated with a KrF excimer laser to perform pattern exposure, and thereafter, the pattern-exposed resist film is developed. Then, as shown in FIG. 2B, a resist pattern 14 having an opening for forming a wiring groove is formed.
[0047]
Next, an etching gas containing carbon and fluorine as main components, for example, CF is applied to the second insulating film 13. 4 Gas and CHF 3 Dry etching is performed using a plasma containing an etching gas in which an argon gas or an oxygen gas is added to a gas containing at least one of the gases, and as shown in FIG. A wiring groove 15 having a depth of about 500 nm is formed in the film 13. In this manner, the bonding state changes on the surface of the resist pattern 14 as compared with the resist material before plasma etching, and a cured layer made of a polymer containing carbon and fluorine as main components and having a thickness of about 50 nm. 14a are formed.
[0048]
Next, as shown in FIG. 3A, the hardened layer 14a is removed by plasma etching using oxygen gas. At this time, the resist pattern 14 on the lower side of the hardened layer 14a is also slightly removed, but this is not a problem. In addition, since the surface of the wiring groove 15 in the second insulating film 13 is also exposed to the oxygen plasma, the silicon oxide layer 16 is formed on the wall and the bottom of the wiring groove 15. Is performed for the purpose of removing only the hardened layer 14a, so that the plasma etching time is significantly reduced as compared with the case where the entire resist pattern 14 is removed. Therefore, oxygen ions in the plasma do not penetrate deeply from the surface to the inside in the second insulating film 13 made of the carbon-containing silicon oxide film. Layer 16 is formed.
[0049]
Here, conditions for plasma etching using oxygen gas will be described.
[0050]
As a first etching method, there is a method in which a downflow type etching is performed for a short time at a degree of vacuum of 13.3 Pa or less, and the hardened layer 14a is removed while the resist pattern 14 is largely left. In this case, oxygen ions in the plasma do not penetrate deeply inward from the surface in the second insulating film 13 made of the carbon-containing silicon oxide film, so that the wall and bottom of the wiring groove 15 are not more than about 20 nm. Is formed.
[0051]
As a second etching method, there is a method of performing anisotropic RIE (Reactive Ion Etching) in which a bias voltage is applied to the silicon substrate 11 to remove the hardened layer 14a with the resist pattern 14 largely left. . In this case, the wall and the bottom of the wiring groove 15 have a thickness of 2.0 to 2.1 g / cm. 3 A silicon oxide layer 16 having a high density of about 20 nm and a thickness of about 20 nm or less is formed. In this case, when performing anisotropic RIE using oxygen plasma at a degree of vacuum of 13.3 Pa or less, 2.0 to 2.1 g / cm 3 The silicon oxide layer 16 having a high density and a thickness of about 10 to 15 nm can be formed.
[0052]
Next, as shown in FIG. 3B, the remaining resist pattern 14 is removed by wet etching using a chemical solution having resist solubility, for example, an amine-based chemical solution.
[0053]
Next, a tantalum nitride film is deposited on the second insulating film 13 including the inside of the wiring groove 15, that is, on the silicon oxide layer 16 by a sputtering method. Then, a portion of the copper film and the tantalum nitride film existing on the second insulating film 13 is removed by a CMP method, and the wiring groove is formed as shown in FIG. The metal wiring 17 is formed inside the metal wiring 15 by a barrier metal layer 17a made of a tantalum nitride film and a main wiring layer 17b made of a copper film.
[0054]
In the second embodiment, when the above-described first etching method is used in the step of removing the hardened layer 14a by plasma etching using oxygen gas, the thickness of about 20 nm or less is formed on the wall and bottom of the wiring groove 15. Since the silicon oxide layer 16 having a high dielectric constant can be formed, the thickness of the silicon oxide layer 16 having a high relative dielectric constant can be reduced, so that the parasitic capacitance between the metal wires 17 can be reliably reduced.
[0055]
In the second embodiment, when the above-described second etching method is used in the step of removing the hardened layer 14a by plasma etching using an oxygen gas, the wall and bottom of the wiring groove 15 have a thickness of 2.0 to 2.0 mm. 2.1 g / cm 3 A silicon oxide layer 16 having a high density of about 20 nm and a thickness of about 20 nm or less can be formed. In this case, when performing anisotropic RIE using oxygen plasma at a degree of vacuum of 13.3 Pa or less, 2.0 to 2.1 g / cm 3 The silicon oxide layer 16 having a high density and a thickness of about 10 to 15 nm can be formed.
[0056]
According to the second etching method, the degree of vacuum is high (low pressure) and the substrate temperature is low, and the energy of oxygen ions is high. / Cm 3 Since the silicon oxide layer 16 having the above high density can be formed, even if oxygen plasma is supplied in a later step, oxygen ions cannot pass through the silicon oxide layer 16 and the thickness of the silicon oxide layer 6 is reduced. Since it does not increase, the parasitic capacitance between the metal wires 17 can be reliably reduced.
[0057]
(Third embodiment)
Hereinafter, a method for manufacturing a semiconductor device according to the third embodiment of the present invention will be described with reference to FIGS. 4 (a) to 4 (c) and FIGS. 5 (a) to 5 (c).
[0058]
First, as shown in FIG. 4A, a thickness of 1000 nm is formed on a first insulating film 22 made of a silicon oxide film formed on a silicon substrate 21 by, for example, a plasma CVD method or an SOG method. After forming a second insulating film 23 made of a carbon-containing silicon oxide film having the same, as shown in FIG. 4B, a resist pattern having an opening for forming a wiring groove is formed on the second insulating film 23. 24 are formed.
[0059]
Next, an etching gas containing carbon and fluorine as main components, for example, CF is applied to the second insulating film 23. 4 Gas and CHF 3 As shown in FIG. 4C, dry etching is performed using a plasma made of an etching gas in which an argon gas or an oxygen gas is added to at least one of the gases, and as shown in FIG. Then, a wiring groove 25 having a depth of about 500 nm is formed. In this way, a cured layer 24a made of a polymer containing carbon and fluorine as main components and having a thickness of about 50 nm is formed on the surface of the resist pattern 24.
[0060]
Next, as shown in FIG. 5A, the hardened layer 24a is removed by plasma etching using oxygen gas. At this time, the resist pattern 24 on the lower side of the hardened layer 24a is also slightly removed, but this is not a problem. Further, a silicon oxide layer 26 having a small thickness is formed on the wall and the bottom of the wiring groove 25 in the second insulating film 23. The conditions for the plasma etching using oxygen gas are the same as those in the second embodiment, and the description is omitted here.
[0061]
Next, as shown in FIG. 5B, the remaining resist pattern 24 is removed by wet etching using a chemical solution having resist solubility, for example, a chemical solution containing an amine, and then a chemical solution having an oxide film removing property. For example, the silicon oxide layer 26 formed on the wall and bottom of the wiring groove 25 is removed by wet etching using a chemical solution containing ammonium fluoride.
[0062]
Next, after depositing a tantalum nitride film on the second insulating film 23 including the inside of the wiring groove 25 by a sputtering method, a copper film is deposited on the tantalum nitride film by an electrolytic plating method, Thereafter, portions of the copper film and the tantalum nitride film existing on the second insulating film 23 are removed by a CMP method, and as shown in FIG. A metal wiring 27 including a barrier metal layer 27a made of a nitride film and a main wiring layer 27b made of a copper film is formed.
[0063]
According to the third embodiment, after removing the silicon oxide layer 26 formed on the wall portion of the wiring groove 25, the metal wiring 27 is formed inside the wiring groove 25. Since the silicon oxide layer 26 is not interposed at all, the parasitic capacitance between the metal wires 27 is further reduced.
[0064]
(Fourth embodiment)
Hereinafter, a method for manufacturing a semiconductor device according to the fourth embodiment of the present invention will be described with reference to FIGS. 6A to 6D and FIGS. 7A to 7C.
[0065]
First, as shown in FIG. 6A, a thickness of 1000 nm is formed on a first insulating film 32 made of a silicon oxide film formed on a silicon substrate 31 by, for example, a plasma CVD method or an SOG method. After forming a second insulating film 33 made of a carbon-containing silicon oxide film having the same, as shown in FIG. 6B, a resist pattern having an opening for forming a wiring groove is formed on the second insulating film 33. 34 are formed.
[0066]
Next, an etching gas containing carbon and fluorine as main components, for example, CF is applied to the second insulating film 33. 4 Gas and CHF 3 As shown in FIG. 6C, dry etching is performed using a plasma containing an etching gas obtained by adding an argon gas or an oxygen gas to a gas containing at least one of the gases. A wiring groove 35 having a depth of about 500 nm is formed in the film 33. In this manner, a cured layer 34a made of a polymer containing carbon and fluorine as main components and having a thickness of about 50 nm is formed on the surface of the resist pattern 34.
[0067]
Next, as shown in FIG. 6D, a resist film 36 is formed over the entire surface of the resist pattern 34 so as to fill the inside of the wiring groove 35.
[0068]
Next, as shown in FIG. 7A, by ashing using oxygen plasma, a portion of the resist film 36 existing on the second insulating film 33 and a portion of the resist pattern 34 on which the cured layer 34a is formed are formed. Remove everything. As the plasma etching using an oxygen gas, the down-flow etching is performed at a degree of vacuum of 13.3 Pa or less for a longer time than in the second embodiment. By doing so, the resist film 36 and the resist pattern 34 are etched back, and the upper surface (the surface excluding the side surface and the bottom of the wiring groove 35) of the second insulating film 33 made of a carbon-containing silicon oxide film is Since the silicon oxide layer 37 is exposed to oxygen plasma for a short time after the removal, the silicon oxide layer 37 having a small thickness is formed on the upper surface of the second insulating film 33. On the other hand, since the resist film 36 is buried inside the wiring groove 35, no silicon oxide layer is formed on the side and bottom of the wiring groove 35.
[0069]
Next, as shown in FIG. 7B, the resist film 36 embedded in the wiring groove 35 is removed by a wet etching method using a chemical solution having resist solubility, for example, a chemical solution containing amine.
[0070]
Next, a tantalum nitride film is deposited on the second insulating film 33 including the inside of the wiring groove 35 by a sputtering method, and then a copper film is deposited on the tantalum nitride film by an electrolytic plating method. Thereafter, portions of the copper film and the tantalum nitride film existing on the second insulating film 33 are removed by a CMP method, and as shown in FIG. A metal wiring 38 composed of a barrier metal layer 38a made of a nitride film and a main wiring layer 38b made of a copper film is formed. At this time, the silicon oxide layer 37 formed on the upper surface of the second insulating film 33 is removed by performing over polishing by the CMP method.
[0071]
According to the fourth embodiment, in the step of removing the resist pattern 35 by oxygen plasma, since the resist film 36 is buried inside the wiring groove 35, a silicon oxide layer is formed on the side and bottom of the wiring groove 35. Therefore, the parasitic capacitance between the metal wires 38 can be reduced.
[0072]
(Modification of Fourth Embodiment)
Hereinafter, a method for manufacturing a semiconductor device according to a modification of the fourth embodiment will be described with reference to FIGS.
[0073]
After a process similar to that of the fourth embodiment, ashing using oxygen plasma is performed, and as shown in FIG. 8A, a portion of the resist film 36 existing on the second insulating film 33, The entire resist pattern 34 on which the cured layer 34a is formed is removed. As the plasma etching using an oxygen gas, the down-flow etching is performed at a degree of vacuum of 13.3 Pa or less for a longer time than in the second embodiment. In this manner, similarly to the fourth embodiment, the first silicon oxide layer 37 having a small thickness is formed on the upper surface of the second insulating film 33, while the side and bottom of the wiring groove 35 are formed on the upper surface of the second insulating film 33. No silicon oxide layer is formed.
[0074]
Next, as shown in FIG. 8B, the resist film 36 embedded in the wiring groove 35 is removed by a wet etching method using a chemical solution having resist solubility, for example, a chemical solution containing amine.
[0075]
Next, anisotropic RIE for applying a bias voltage to the silicon substrate 31 is performed to apply 2.0 to 2.1 g / cm to the wall and bottom of the wiring groove 35. 3 A second silicon oxide layer 39 having a high density of about 20 nm and a thickness of about 20 nm or less is formed. In this case, when anisotropic RIE is performed at a degree of vacuum of 13.3 Pa or less, 2.0 to 2.1 g / cm 3 The second silicon oxide layer 39 having a high density and a thickness of about 10 to 15 nm can be formed. Incidentally, the first silicon oxide layer 37 formed on the upper surface of the second insulating film 33 is increased in density and increased in thickness by this anisotropic RIE. Since it is removed, there is no particular problem.
[0076]
Next, as shown in FIG. 8D, a tantalum nitride film is formed by a sputtering method on the second insulating film 33 including the inside of the wiring groove 35 where the second silicon oxide layer 39 is formed. After the deposition, a copper film is deposited on the tantalum nitride film by an electrolytic plating method, and thereafter, a portion of the copper film and the tantalum nitride film existing on the second insulating film 33 is removed by a CMP method. Then, as shown in FIG. 7C, inside the wiring groove 35 in which the second silicon oxide layer 39 is formed, a barrier metal layer 38a made of a tantalum nitride film and a main wiring made of a copper film are formed. A metal wiring 38 including the layer 38b is formed. At this time, the first silicon oxide layer 37 formed on the upper surface of the second insulating film 33 is removed by performing over polishing by the CMP method.
[0077]
According to the modification of the fourth embodiment, the wall and the bottom of the wiring groove 35 have a thickness of 2.0 to 2.1 g / cm. 3 Since the second silicon oxide layer 39 having a high density of about 20 nm and a thickness of about 20 nm or less can be formed, even if oxygen plasma is supplied in a later step, oxygen ions are not removed from the second silicon oxide layer 39. Since the layer 39 cannot pass through and the thickness of the second silicon oxide layer 39 does not increase, the parasitic capacitance between the metal wires 38 can be reliably reduced.
[0078]
【The invention's effect】
According to the first semiconductor device of the present invention, the thickness of the silicon oxide layer formed on the wall and the bottom of the wiring groove does not increase even if oxygen plasma is supplied in a later step. Can be reliably reduced.
[0079]
According to the second semiconductor device of the present invention, the silicon oxide layer having a high relative dielectric constant between the metal wirings has a uniform and small thickness, so that the parasitic capacitance between the metal wirings is surely reduced. can do.
[0080]
According to the first method for manufacturing a semiconductor device according to the present invention, the resist pattern is removed by wet etching after removing the hardened layer on the surface of the resist pattern by dry etching using an etching gas containing oxygen. In the removing step, the wall and bottom of the wiring groove are not exposed to oxygen plasma, so that the parasitic capacitance between the metal wirings can be reliably reduced.
[0081]
According to the second method for manufacturing a semiconductor device of the present invention, after the resist film is embedded in the wiring groove, the hardened layer on the surface of the resist pattern is removed by dry etching using an etching gas containing oxygen. Since the resist pattern is removed by etching, in the step of removing the resist pattern, the walls and the bottom of the wiring groove are not exposed to oxygen plasma, so that the parasitic capacitance between the metal wirings can be reliably reduced.
[Brief description of the drawings]
FIG. 1 is a sectional view of a semiconductor device according to a first embodiment.
FIGS. 2A to 2C are cross-sectional views illustrating respective steps of a method for manufacturing a semiconductor device according to a second embodiment.
FIGS. 3A to 3C are cross-sectional views illustrating respective steps of a method for manufacturing a semiconductor device according to a second embodiment.
FIGS. 4A to 4C are cross-sectional views illustrating respective steps of a method for manufacturing a semiconductor device according to a third embodiment.
FIGS. 5A to 5C are cross-sectional views illustrating respective steps of a method for manufacturing a semiconductor device according to a third embodiment.
FIGS. 6A to 6D are cross-sectional views illustrating respective steps of a method for manufacturing a semiconductor device according to a fourth embodiment.
FIGS. 7A to 7C are cross-sectional views illustrating respective steps of a method for manufacturing a semiconductor device according to a fourth embodiment.
FIGS. 8A to 8D are cross-sectional views illustrating respective steps of a method for manufacturing a semiconductor device according to a modification of the fourth embodiment.
FIG. 9 is a sectional view of a conventional semiconductor device.
FIG. 10 is a sectional view showing each step of a conventional method for manufacturing a semiconductor device.
FIG. 11 is a diagram showing an example of a chemical formula of carbon-containing silicon oxide.
FIG. 12 is a diagram showing a chemical formula of silicon oxide obtained by bonding oxygen to carbon-containing silicon oxide.
[Explanation of symbols]
1 Silicon substrate
2 First insulating film
3 Second insulating film
5 Wiring groove
6 Silicon oxide layer
7 Metal wiring
7a barrier metal layer
7b Main wiring layer
11 Silicon substrate
12 First insulating film
13 Second insulating film
14 Resist pattern
14a Hardened layer
15 Wiring groove
16 Silicon oxide layer
17 metal wiring
17a barrier metal layer
17b Main wiring layer
21 Silicon substrate
22 First insulating film
23 Second insulating film
24 resist pattern
24a hardened layer
25 Wiring groove
26 Silicon oxide layer
27 metal wiring
27a barrier metal layer
27b Main wiring layer
31 Silicon substrate
32 First insulating film
33 Second insulating film
34 Resist pattern
34a hardened layer
35 Wiring groove
36 Resist film
37 silicon oxide layer (first silicon oxide layer)
38 metal wiring
38a barrier metal layer
38b Main wiring layer
39 Second silicon oxide layer

Claims (5)

基板上に炭素含有シリコン酸化膜からなる絶縁膜を形成する工程と、
前記絶縁膜に対してレジストパターンをマスクにエッチングを行なって、前記絶縁膜に配線溝を形成する工程と、
レジスト膜を前記配線溝が埋まるように形成する工程と、
ドライエッチングにより、前記レジスト膜における前記配線溝の外側に存在する部分を除去する工程と、
ウェットエッチングにより、前記レジスト膜における前記配線溝の内部に存在する部分を除去する工程と、
前記配線溝に金属膜を埋め込んで金属配線を形成する工程とを備えていることを特徴とする半導体装置の製造方法。
Forming an insulating film made of a carbon-containing silicon oxide film on the substrate,
Etching the insulating film using a resist pattern as a mask to form a wiring groove in the insulating film;
Forming a resist film so that the wiring groove is filled;
Removing the portion of the resist film existing outside the wiring groove by dry etching;
Removing a portion of the resist film present inside the wiring groove by wet etching;
Forming a metal wiring by embedding a metal film in the wiring groove.
前記レジスト膜における前記配線溝の内部に存在する部分を除去する工程と、前記配線溝に金属膜を埋め込む工程との間に、前記配線溝の内部にプラズマを供給する工程を備えることを特徴とする請求項1に記載の半導体装置の製造方法。A step of supplying a plasma to the inside of the wiring groove, between the step of removing a portion of the resist film existing inside the wiring groove and the step of embedding a metal film in the wiring groove. The method for manufacturing a semiconductor device according to claim 1. 前記プラズマは、酸素を含んでいると共に13.3Pa以下の圧力下で供給され、
前記プラズマを供給した後に、前記配線溝の壁部又は底部にシリコン酸化層が形成されることを特徴とする請求項2に記載の半導体装置の製造方法。
The plasma contains oxygen and is supplied under a pressure of 13.3 Pa or less;
3. The method according to claim 2, wherein a silicon oxide layer is formed on a wall or a bottom of the wiring groove after supplying the plasma.
前記プラズマの供給は、異方性RIEにより行なわれることを特徴とする請求項2に記載の半導体装置の製造方法。3. The method according to claim 2, wherein the supply of the plasma is performed by anisotropic RIE. 前記シリコン酸化層の密度は2.0g/cm3 以上であり、前記シリコン酸化層の厚さは20nm以下であることを特徴とする請求項3に記載の半導体装置の製造方法。4. The method according to claim 3, wherein the density of the silicon oxide layer is 2.0 g / cm 3 or more, and the thickness of the silicon oxide layer is 20 nm or less. 5.
JP2003169121A 2000-02-23 2003-06-13 Manufacturing method of semiconductor device Pending JP2004056123A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2003169121A JP2004056123A (en) 2000-02-23 2003-06-13 Manufacturing method of semiconductor device

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2000045504 2000-02-23
JP2003169121A JP2004056123A (en) 2000-02-23 2003-06-13 Manufacturing method of semiconductor device

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2000338744A Division JP2001313333A (en) 2000-02-23 2000-11-07 Semiconductor device and its manufacturing method

Publications (1)

Publication Number Publication Date
JP2004056123A true JP2004056123A (en) 2004-02-19

Family

ID=31948896

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003169121A Pending JP2004056123A (en) 2000-02-23 2003-06-13 Manufacturing method of semiconductor device

Country Status (1)

Country Link
JP (1) JP2004056123A (en)

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01100946A (en) * 1987-10-13 1989-04-19 Nec Corp Manufacture of semiconductor device
JPH01319942A (en) * 1988-06-21 1989-12-26 Hitachi Ltd Forming method for insulating film
JPH03183756A (en) * 1989-12-13 1991-08-09 Sumitomo Chem Co Ltd Formation of multilayered wiring
JPH08293490A (en) * 1995-04-25 1996-11-05 Sumitomo Metal Ind Ltd Method of forming via hole of semiconductor device
JPH1022473A (en) * 1996-07-04 1998-01-23 Nec Corp Manufacture of semiconductor device
JPH1187503A (en) * 1997-09-10 1999-03-30 Hitachi Ltd Semiconductor integrated circuit device and manufacture thereof
JP2000058642A (en) * 1998-08-07 2000-02-25 Toshiba Corp Manufacture of semiconductor device

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01100946A (en) * 1987-10-13 1989-04-19 Nec Corp Manufacture of semiconductor device
JPH01319942A (en) * 1988-06-21 1989-12-26 Hitachi Ltd Forming method for insulating film
JPH03183756A (en) * 1989-12-13 1991-08-09 Sumitomo Chem Co Ltd Formation of multilayered wiring
JPH08293490A (en) * 1995-04-25 1996-11-05 Sumitomo Metal Ind Ltd Method of forming via hole of semiconductor device
JPH1022473A (en) * 1996-07-04 1998-01-23 Nec Corp Manufacture of semiconductor device
JPH1187503A (en) * 1997-09-10 1999-03-30 Hitachi Ltd Semiconductor integrated circuit device and manufacture thereof
JP2000058642A (en) * 1998-08-07 2000-02-25 Toshiba Corp Manufacture of semiconductor device

Similar Documents

Publication Publication Date Title
JP5251033B2 (en) Manufacturing method of semiconductor device
JP4492947B2 (en) Manufacturing method of semiconductor device
JP3330554B2 (en) Etching method
JPH05283362A (en) Method of forming multilayer wiring
KR100310496B1 (en) PROCESS OF FABRICATING SEMICONDUCTOR DEVICE HAVING ASHING STEP FOR PHOTO-RESIST MASK IN PLASMA PRODUCED FROM Nx Hy GAS
US20060128141A1 (en) Semiconductor device and method for fabricating the same
JP2008218999A (en) Method of manufacturing semiconductor device
JP3775354B2 (en) Semiconductor device and manufacturing method thereof
JP2004119950A (en) Method of manufacturing semiconductor device
US20040188842A1 (en) Interconnect structure
JPH11260921A (en) Method for forming via hole for multilayer wiring of semiconductor element
KR100299379B1 (en) Method for forming metal wiring in semiconductor device
JP2004056123A (en) Manufacturing method of semiconductor device
JP2001313333A (en) Semiconductor device and its manufacturing method
KR100680948B1 (en) Method for manufacturing storage node contact of semiconductor device
JP2000164569A (en) Manufacture of semiconductor device
KR100587602B1 (en) Method for forming MIM capacitor of semiconductor device
KR19990055156A (en) Method of forming device isolation film in semiconductor device
US7205243B2 (en) Process for producing a mask on a substrate
KR100313785B1 (en) Method for forming interlayer dielectric of semiconductor device
US20010029091A1 (en) Method for manufacturing an electronic device comprising an organic- containing material
JP2003258082A (en) Manufacturing method for semiconductor device
JP3958071B2 (en) Semiconductor device manufacturing method
JP4023191B2 (en) Ferroelectric memory and manufacturing method thereof
US20060124589A1 (en) Apparatus and method for removing photoresist in a semiconductor device

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20070215

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070227

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20070703