JP2003224174A - Semiconductor manufacturing apparatus and control method - Google Patents

Semiconductor manufacturing apparatus and control method

Info

Publication number
JP2003224174A
JP2003224174A JP2002021734A JP2002021734A JP2003224174A JP 2003224174 A JP2003224174 A JP 2003224174A JP 2002021734 A JP2002021734 A JP 2002021734A JP 2002021734 A JP2002021734 A JP 2002021734A JP 2003224174 A JP2003224174 A JP 2003224174A
Authority
JP
Japan
Prior art keywords
chamber
semiconductor
semiconductor wafer
wafer
transfer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2002021734A
Other languages
Japanese (ja)
Inventor
裕明 ▲高▼田
Hiroaki Takada
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Seiko Epson Corp
Original Assignee
Seiko Epson Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Seiko Epson Corp filed Critical Seiko Epson Corp
Priority to JP2002021734A priority Critical patent/JP2003224174A/en
Publication of JP2003224174A publication Critical patent/JP2003224174A/en
Withdrawn legal-status Critical Current

Links

Landscapes

  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To provide a semiconductor manufacturing apparatus and its control method which prevent a semiconductor wafer from being cooled rapidly and eliminate an unnecessary carrying time. <P>SOLUTION: A plasma device 10 is characterized in that raw material gas from a gas supply box 12 is introduced in process chambers 11 and made into plasma to form or etch a film on a semiconductor wafer Waf. A carrying chamber 13 includes a robot arm 131. Further, load lock chambers 15 and 16 wherein an alignment unit 14 and wafer cassettes 17 and 18 are arranged are arranged. The load lock chamber 16 functions as a cleaning chamber. Semiconductor wafers Waf having been processed in the process chambers 11 are carried in one after another and put back into an atmosphere for the first time after a specified cooling time has elapsed after a final wafer is carried in. <P>COPYRIGHT: (C)2003,JPO

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【発明の属する技術分野】本発明は、半導体装置の製造
に係り、特に原料ガスをプロセスチャンバー内に導入し
プラズマ化することにより半導体ウェハに対する成膜ま
たはエッチングを達成する半導体製造装置及びウェハ搬
送制御方法に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to semiconductor device manufacturing, and more particularly, to a semiconductor manufacturing apparatus and wafer transfer control for achieving film formation or etching on a semiconductor wafer by introducing a source gas into a process chamber and converting it into plasma. Regarding the method.

【0002】[0002]

【従来の技術】半導体製造装置は、半導体製造、特にU
LSI製造に不可欠である。例えばプラズマCVD(Ch
emical Vapor Deposition )は、減圧した状態で高周波
(RF)印加による高周波プラズマ放電を行って原料ガ
スを化学的に活性化し、化学反応を促進させて成膜して
いく。この方法によると、常圧や減圧CVDのような熱
による反応と比較して低い温度で成膜できるという特長
を持っている。また、ドライエッチング装置において
も、今日ではプロセスチャンバー内に供給されたエッチ
ング用の原料ガスをプラズマ化し、プラズマエッチング
を利用する技術が一般化されている。
2. Description of the Related Art Semiconductor manufacturing equipment is used for semiconductor manufacturing, especially U
Indispensable for LSI manufacturing. For example, plasma CVD (Ch
In the case of emical vapor deposition, a high-frequency plasma discharge is applied by applying a high-frequency (RF) in a depressurized state to chemically activate a raw material gas and accelerate a chemical reaction to form a film. According to this method, it is possible to form a film at a lower temperature than a reaction by heat such as atmospheric pressure or low pressure CVD. Further, also in the dry etching apparatus, a technique in which the raw material gas for etching supplied into the process chamber is made into plasma and the plasma etching is used is generalized today.

【0003】上記のようなプラズマCVD装置やドライ
エッチング装置においては、枚葉式であり、ロードロッ
ク室から1枚のウェハがロボットアームを利用してプロ
セスチャンバー内に搬送され、成膜処理やエッチング処
理され、再びプロセスチャンバーから送出される。この
処理はウェハ枚数分順次繰り返される。
The plasma CVD apparatus and the dry etching apparatus as described above are of a single-wafer type, and one wafer is transferred from the load lock chamber into the process chamber by using a robot arm to perform film formation processing and etching. It is processed and delivered again from the process chamber. This process is sequentially repeated for the number of wafers.

【0004】処理後のウェハは高温(例えば400℃付
近)に加熱されている。このため、急激に冷却すること
は避けなければならない。熱ストレスによる割れを引起
こす原因となるからである。
The processed wafer is heated to a high temperature (for example, near 400 ° C.). For this reason, rapid cooling must be avoided. This is because it causes cracking due to heat stress.

【0005】そこで、従来ではクーリングチャンバーと
呼ばれる予備室を設け、処理後のウェハを順次搬入し、
所定時間(例えば3〜4分)は保持する形態をとってい
る。その後、ウェハは順次ロードロック室に搬送され、
ロードロック室にて大気に戻される。これにより、ウェ
ハの急速冷却を防止していた。
Therefore, conventionally, a preliminary chamber called a cooling chamber is provided, and the processed wafers are sequentially loaded,
It is held for a predetermined time (for example, 3 to 4 minutes). After that, the wafers are sequentially transferred to the load lock chamber,
Returned to the atmosphere in the load lock chamber. This prevents rapid cooling of the wafer.

【0006】急速冷却が問題になるのは最後に処理され
たウェハに対してである。すなわち、全てのウェハが所
定時間はクーリングチャンバーに一旦保持されるので、
最後に処理されたウェハが急速冷却とならないよう前記
所定時間は取られる。
Rapid cooling is an issue only for the last processed wafer. That is, since all the wafers are once held in the cooling chamber for a predetermined time,
The predetermined time is taken so that the last processed wafer is not cooled rapidly.

【0007】[0007]

【発明が解決しようとする課題】このように、ウェハに
おけるプロセスチャンバーでの処理→クーリングチャン
バーでの保持→ロードロック室への収容というように相
当の搬送時間が費やされる。上記構成ではスループット
を高くしたい装置としては不利であり、処理効率は上記
搬送時間で律速されてしまう。
As described above, a considerable transfer time is spent such as processing in a process chamber on a wafer → holding in a cooling chamber → accommodation in a load lock chamber. The above configuration is disadvantageous as an apparatus for which the throughput is desired to be high, and the processing efficiency is rate-controlled by the transport time.

【0008】本発明は上記のような事情を考慮してなさ
れたもので、半導体ウェハへの急速冷却を防ぎかつ搬送
時間の無駄を省く半導体製造装置及びその制御方法を提
供しようとするものである。
The present invention has been made in view of the above circumstances, and it is an object of the present invention to provide a semiconductor manufacturing apparatus and a control method thereof that prevent rapid cooling of a semiconductor wafer and reduce waste of transfer time. .

【0009】[0009]

【課題を解決するための手段】本発明に係る[請求項
1]の半導体製造装置は、大気中に比べて高温を伴う半
導体ウェハのプロセスチャンバーと、前記プロセスチャ
ンバーに併設され半導体ウェハの搬送制御機構を有する
搬送室と、前記搬送室に併設され前記搬送制御機構によ
り少なくとも前記プロセスチャンバーにおける処理後の
半導体ウェハが順次搬入され最後の1枚の搬入完了から
所定の冷却時間経過後に大気に戻すよう制御されるロー
ドロック室と、を具備したことを特徴とする。
A semiconductor manufacturing apparatus according to [Claim 1] of the present invention is a semiconductor wafer process chamber that is higher in temperature than atmospheric air, and a semiconductor wafer transfer control provided in the process chamber. A transfer chamber having a mechanism, and a transfer control mechanism which is provided adjacent to the transfer chamber, sequentially transfers at least the processed semiconductor wafers in the process chamber and returns them to the atmosphere after a lapse of a predetermined cooling time from the completion of the transfer of the last one. And a controlled load lock chamber.

【0010】上記本発明に係る半導体製造装置によれ
ば、ロードロック室はプロセスチャンバーにおける処理
後の半導体ウェハを冷却するクーリングチャンバーを兼
ねる形態となる。そのため、ロードロック室に順次搬入
される半導体ウェハのうち、最後の1枚の搬入完了から
所定の冷却時間を経過するまでは大気に戻されない。
According to the semiconductor manufacturing apparatus of the present invention, the load lock chamber also serves as a cooling chamber for cooling the processed semiconductor wafer in the process chamber. Therefore, of the semiconductor wafers sequentially loaded into the load lock chamber, the semiconductor wafers are not returned to the atmosphere until the predetermined cooling time elapses from the loading of the last one.

【0011】本発明に係る[請求項2]の半導体製造装
置は、[請求項1]に係り、前記プロセスチャンバー
は、導入される原料ガスがプラズマ化され前記半導体ウ
ェハに対し所望の成膜処理またはエッチング処理が施さ
れることを特徴とする。ウェハが高温になるので本発明
が適用されることにより処理効率の向上が期待できる。
According to a second aspect of the present invention, there is provided a semiconductor manufacturing apparatus according to the first aspect, wherein a raw material gas introduced into the process chamber is turned into plasma and a desired film forming process is performed on the semiconductor wafer. Alternatively, an etching treatment is performed. Since the temperature of the wafer becomes high, improvement of processing efficiency can be expected by applying the present invention.

【0012】本発明に係る[請求項3]の半導体製造装
置は、[請求項1]または[請求項2]に係り、新たに
処理すべき半導体ウェハが搬入されるロードロック室を
別途具備していることを特徴とする。これにより、スル
ープット向上に寄与する。
The semiconductor manufacturing apparatus according to [Claim 3] according to the present invention relates to [Claim 1] or [Claim 2], and is additionally provided with a load lock chamber into which a semiconductor wafer to be newly processed is loaded. It is characterized by This contributes to improvement in throughput.

【0013】本発明に係る[請求項4]のより好ましい
実施態様としての半導体製造装置は、導入される原料ガ
スがプラズマ化され半導体ウェハに対し所望の成膜また
はエッチング処理が施される複数のプロセスチャンバー
と、前記複数のプロセスチャンバーに共有される半導体
ウェハの搬送制御機構を有する搬送室と、前記搬送室に
併設され、成膜またはエッチング処理前の半導体ウェハ
を収容する第1ロードロック室と、前記搬送室に併設さ
れ、前記搬送制御機構を利用して前記プロセスチャンバ
ーにおける処理後の半導体ウェハが収容される第2ロー
ドロック室と、を具備し、前記第2ロードロック室は少
なくとも前記搬送制御機構により順次搬入されてくる半
導体ウェハの最後の1枚の搬入完了から所定の冷却時間
経過後に大気に戻すよう制御されることを特徴とする。
In a semiconductor manufacturing apparatus as a more preferred embodiment of [Claim 4] according to the present invention, a plurality of raw material gases introduced are converted into plasma and a desired film formation or etching treatment is performed on a semiconductor wafer. A process chamber, a transfer chamber having a transfer control mechanism for semiconductor wafers shared by the plurality of process chambers, and a first load-lock chamber provided side by side with the transfer chamber for accommodating semiconductor wafers before film formation or etching. A second load-lock chamber that is provided adjacent to the transfer chamber and that stores the semiconductor wafer after processing in the process chamber by using the transfer control mechanism, wherein the second load-lock chamber is at least the transfer unit. The control mechanism returns the atmosphere to the atmosphere after a lapse of a predetermined cooling time from the completion of the loading of the last semiconductor wafer. Characterized in that it is controlled.

【0014】上記本発明に係る半導体製造装置によれ
ば、第2ロードロック室はプロセスチャンバーから処理
された半導体ウェハが搬送室を介して搬送されてくる所
で、しかもクーリングチャンバーを兼ねる形態となる。
そのため、第2ロードロック室に順次搬入される半導体
ウェハのうち、最後の1枚の搬入完了から所定の冷却時
間を経過するまでは大気に戻されない。
According to the above semiconductor manufacturing apparatus of the present invention, the second load lock chamber is a place where the semiconductor wafer processed from the process chamber is transferred through the transfer chamber, and also serves as a cooling chamber. .
Therefore, of the semiconductor wafers sequentially loaded into the second load lock chamber, they are not returned to the atmosphere until the predetermined cooling time elapses from the completion of the loading of the last one.

【0015】本発明に係る[請求項5]の半導体製造装
置の制御方法は、大気中に比べて高温を伴う半導体ウェ
ハのプロセスチャンバーと、前記プロセスチャンバーに
併設され半導体ウェハの搬送制御機構を有する搬送室
と、前記搬送室に併設されるロードロック室とを具備
し、前記プロセスチャンバーにおける所定処理を経た半
導体ウェハは前記搬送制御機構により順次前記ロードロ
ック室に搬入され最後の1枚が所定の冷却時間を経過す
るまで前記ロードロック室の雰囲気を保持することを特
徴とする。
A semiconductor manufacturing apparatus control method according to a fifth aspect of the present invention includes a semiconductor wafer process chamber that is higher in temperature than in the atmosphere, and a semiconductor wafer transfer control mechanism provided in the process chamber. A semiconductor wafer that has a transfer chamber and a load lock chamber that is juxtaposed with the transfer chamber, and has undergone a predetermined process in the process chamber is sequentially loaded into the load lock chamber by the transfer control mechanism and the last one wafer is transferred to a predetermined one. The atmosphere in the load lock chamber is maintained until the cooling time elapses.

【0016】上記本発明に係る半導体製造装置の制御方
法によれば、ロードロック室はプロセスチャンバーにお
ける処理後の半導体ウェハが搬送室を介して搬送されて
くる所であり、クーリングチャンバーを兼ね、搬送の無
駄を省く形態が実現できる。そのため、上記ロードロッ
ク室に順次搬入される半導体ウェハのうち、最後の1枚
の搬入完了から所定の冷却時間を経過するまでは大気に
戻さずにおかれる。
According to the method for controlling a semiconductor manufacturing apparatus of the present invention, the load-lock chamber is a place where the semiconductor wafer after processing in the process chamber is transferred through the transfer chamber, and also functions as the cooling chamber. It is possible to realize a form in which waste of Therefore, of the semiconductor wafers sequentially loaded into the load lock chamber, they are not returned to the atmosphere until a predetermined cooling time elapses from the completion of the loading of the last one.

【0017】本発明に係る[請求項6]の半導体製造装
置の制御方法は、[請求項5]に係り、前記搬送室にお
いて前記半導体ウェハが所定範囲の温度下がるように搬
送制御機構による動作が制御されることを特徴とする。
これにより、ロードロック室に入るまでに半導体ウェハ
がある程度降温され、ロードロック室内のカセット等の
変形を防止する。
The method for controlling a semiconductor manufacturing apparatus according to [Claim 6] according to the present invention relates to [Claim 5], and the operation by the transfer control mechanism is performed so that the temperature of the semiconductor wafer in the transfer chamber falls within a predetermined range. It is characterized by being controlled.
As a result, the temperature of the semiconductor wafer is lowered to some extent before entering the load lock chamber, and deformation of the cassette and the like in the load lock chamber is prevented.

【0018】[0018]

【発明の実施の形態】図1は、本発明の第1実施形態に
係る半導体製造装置の要部であり、枚葉処理式のプラズ
マ装置を示す概観図である。プラズマ装置10におい
て、プロセスチャンバー11(11a,11b)は、大
気中に比べて高温を伴う半導体ウェハの処理がなされる
部所である。ガス供給ボックス12(12a,12b)
から原料ガスをプロセスチャンバー11内に導入しプラ
ズマ化することにより半導体ウェハWaf(うち、Wa
f1,Waf2を図示)に対する成膜またはエッチング
を達成する。このようなプラズマ装置10は、プラズマ
CVD装置、またはドライエッチング装置が考えられ
る。
1 is a schematic view showing a main part of a semiconductor manufacturing apparatus according to a first embodiment of the present invention, which is a single-wafer processing type plasma apparatus. In the plasma apparatus 10, the process chamber 11 (11a, 11b) is a part where a semiconductor wafer that is higher in temperature than in the atmosphere is processed. Gas supply box 12 (12a, 12b)
A raw material gas is introduced into the process chamber 11 to turn it into plasma, so that the semiconductor wafer Waf (of which Waf
Deposition or etching is achieved for f1 and Waf2). As such a plasma apparatus 10, a plasma CVD apparatus or a dry etching apparatus can be considered.

【0019】プロセスチャンバー11(11a,11
b)には搬送室13が併設されている。搬送室13は、
通常は例えばN2の所定圧力(減圧状態)雰囲気でウェ
ハWafの搬送制御をするロボットアーム131を配備
している。また、アライメントユニット14はウェハW
afのアライメントを達成する部所である。
Process chamber 11 (11a, 11
In b), a transfer chamber 13 is attached. The transfer chamber 13 is
Usually, a robot arm 131 for controlling the transfer of the wafer Waf is arranged in a predetermined pressure (reduced pressure) atmosphere of N 2 , for example. Further, the alignment unit 14 is the wafer W
This is the part that achieves af alignment.

【0020】ロードロック室15,16は搬送室13に
併設されている。ロードロック室15,16内にはそれ
ぞれウェハカセット17,18が配備され、例えばN2
の所定圧力(減圧状態)雰囲気で複数の半導体ウェハを
収容する。
The load lock chambers 15 and 16 are attached to the transfer chamber 13. The load lock chamber 15 is wafer cassette 17 and 18 deployment, for example, N 2
A plurality of semiconductor wafers are housed in a predetermined pressure (reduced pressure) atmosphere.

【0021】例えばロードロック室15は、プロセスチ
ャンバー11における成膜またはエッチング処理前のウ
ェハを収容する。一方、ロードロック室16は、プロセ
スチャンバー11から、ロボットアーム131を利用し
て成膜またはエッチング処理後のウェハが収容される。
For example, the load lock chamber 15 accommodates the wafer before the film formation or etching process in the process chamber 11. On the other hand, the load lock chamber 16 accommodates the wafer, which has been subjected to the film formation or the etching process, from the process chamber 11 using the robot arm 131.

【0022】このような実施形態では、従来技術におい
て別途設けていたクーリングチャンバーをなくしてい
る。その代り、ロードロック室16にクーリングチャン
バーとしての機能が備わるよう構成されている。ロード
ロック室16は、少なくともプロセスチャンバー11に
おける処理後の半導体ウェハWafが順次搬入され、最
後の1枚の搬入完了から所定の冷却時間経過後にはじめ
てN2をベントし、大気に戻すよう制御される。
In such an embodiment, the cooling chamber which is separately provided in the prior art is eliminated. Instead, the load lock chamber 16 has a function as a cooling chamber. The load lock chamber 16 is controlled so that at least the semiconductor wafers Waf after processing in the process chamber 11 are sequentially loaded, and N 2 is vented and returned to the atmosphere only after a lapse of a predetermined cooling time from the completion of loading of the last one. .

【0023】上記実施形態によれば、ロードロック室1
6はクーリングチャンバーを兼ね、処理後にロードロッ
ク室に順次搬入される半導体ウェハのうち、最後の1枚
の搬入完了から所定の冷却時間を経過するまでは大気に
戻されない。これにより、プロセスチャンバー11での
処理→ロードロック室16への収容というように相当の
搬送時間が節約できる。これにより、スループットを高
くしたい装置としては有利になり、搬送時間の無駄をな
くした処理能力の高いCVD装置あるいはドライエッチ
ング装置が実現できる。
According to the above embodiment, the load lock chamber 1
6 also serves as a cooling chamber and is not returned to the atmosphere until a predetermined cooling time elapses from the completion of the loading of the last one of the semiconductor wafers sequentially loaded into the load lock chamber after processing. As a result, a considerable transfer time can be saved, such as processing in the process chamber 11 and accommodation in the load lock chamber 16. As a result, it is advantageous as an apparatus for which the throughput is desired to be high, and it is possible to realize a CVD apparatus or a dry etching apparatus which has a high processing capacity without wasting transport time.

【0024】なお、プロセスチャンバー11での処理→
ロードロック室16への収容というような搬送処理にお
いて、比較的高温のウェハをウェハカセット18に収容
することになる。ウェハカセット18は熱変形し難いも
のを選択するべきである。ウェハカセット18の熱変形
が懸念される場合はロボットアーム131の保持時間内
に早く降温させるようアームの動きが工夫されるように
してもよい。また、ロボットアーム131のピックアッ
プアーム部を2口のジャイロ型とし、長時間保持する手
法も考えられる。
Processing in the process chamber 11 →
In a transfer process such as accommodation in the load lock chamber 16, wafers of relatively high temperature are accommodated in the wafer cassette 18. The wafer cassette 18 should be selected so as not to be easily thermally deformed. If the wafer cassette 18 is likely to be thermally deformed, the movement of the arm may be devised so that the temperature is quickly lowered within the holding time of the robot arm 131. It is also possible to use a two-arm gyro type as the pickup arm of the robot arm 131 and hold it for a long time.

【0025】図2は、処理対象の半導体ウェハWafの
装置内での移動と温度の推移を示す特性図である。図
1、図2を参照しながら被処理ウェハの流れを説明す
る。ロードロック室15に搬入されてきたウェハカセッ
ト17からロボットアーム131を利用して1枚のウェ
ハWaf1が真空搬送され、アライメントユニット14
でのアライメントを経てプロセスチャンバー11aに入
り、ウェハは昇温される。さらに、もう1枚のウェハW
af2が同様に搬送されてプロセスチャンバー11bに
入り、ウェハは昇温(例えば約400℃)される。
FIG. 2 is a characteristic diagram showing movement of the semiconductor wafer Waf to be processed in the apparatus and changes in temperature. The flow of the wafer to be processed will be described with reference to FIGS. One wafer Waf1 is vacuum-transferred from the wafer cassette 17 carried into the load lock chamber 15 using the robot arm 131, and the alignment unit 14
After entering the process chamber 11a, the temperature of the wafer is raised. In addition, another wafer W
Similarly, af2 is conveyed and enters the process chamber 11b, and the temperature of the wafer is raised (for example, about 400 ° C.).

【0026】プロセスチャンバー11aでの処理が終了
すると、ロボットアーム131を利用しウェハWaf1
はロードロック室16内におけるウェハカセット18の
所定位置に収容される。同様にプロセスチャンバー11
bでの処理が終了すれば、ウェハWaf2はロードロッ
ク室16内におけるウェハカセット18の所定位置に収
容される。
When the processing in the process chamber 11a is completed, the wafer Waf1 is used by using the robot arm 131.
Is stored in a predetermined position of the wafer cassette 18 in the load lock chamber 16. Similarly, the process chamber 11
When the processing in b is completed, the wafer Waf2 is stored in the wafer cassette 18 at a predetermined position in the load lock chamber 16.

【0027】以上、一連の動きが繰り返し行われ、最後
のウェハ1枚がウェハカセット18の所定位置に収容さ
れると、この最後に収容されたウェハに対する所定の冷
却時間を経過させる。全てのウェハが例えば100℃以
下になった時点においてロードロック室16でははじめ
てN2がベントされ、大気に戻すよう制御される。
As described above, when a series of movements are repeated and the last one wafer is stored in the wafer cassette 18 at a predetermined position, a predetermined cooling time for the last stored wafer is passed. At the time when all the wafers reach 100 ° C. or lower, for example, N 2 is vented in the load lock chamber 16 for the first time, and the load lock chamber 16 is controlled to return to the atmosphere.

【0028】[0028]

【発明の効果】以上説明したように、本発明によれば、
ロードロック室をクーリングチャンバーとして機能させ
る。すなわち、処理後にロードロック室に順次搬入され
る半導体ウェハのうち、最後の1枚の搬入完了から所定
の冷却時間を経過するまでは大気に戻されない。これに
より、相当の搬送時間が節約でき、スループットを高く
したい装置としては有利になる。この結果、半導体ウェ
ハへの急速冷却を防ぎかつ搬送時間の無駄を省く半導体
製造装置及びその制御方法を提供することができる。
As described above, according to the present invention,
Function the load lock chamber as a cooling chamber. That is, of the semiconductor wafers sequentially loaded into the load lock chamber after processing, they are not returned to the atmosphere until the predetermined cooling time elapses from the completion of loading of the last one. As a result, it is possible to save a considerable amount of transport time, which is advantageous as an apparatus for which high throughput is desired. As a result, it is possible to provide a semiconductor manufacturing apparatus and its control method that prevent rapid cooling of a semiconductor wafer and reduce waste of transfer time.

【図面の簡単な説明】[Brief description of drawings]

【図1】 本発明の第1実施形態に係る半導体製造装置
の要部であり、枚葉処理式のプラズマ装置を示す概観図
である。
FIG. 1 is a schematic view showing a main part of a semiconductor manufacturing apparatus according to a first embodiment of the present invention and showing a single-wafer processing type plasma apparatus.

【図2】 処理対象の半導体ウェハの装置内での移動と
温度の推移を示す特性図である。
FIG. 2 is a characteristic diagram showing movement of a semiconductor wafer to be processed in a device and changes in temperature.

【符号の説明】[Explanation of symbols]

11(11a,11b)…プロセスチャンバー 12(12a,12b)…ガス供給ボックス 13…搬送室 131…ロボットアーム 14…アライメントユニット 15,16…ロードロック室 17,18…ウェハカセット Waf(Waf1,Waf2)…半導体ウェハ 11 (11a, 11b) ... Process chamber 12 (12a, 12b) ... Gas supply box 13 ... Transport room 131 ... Robot arm 14 ... Alignment unit 15, 16 ... Road lock room 17, 18 ... Wafer cassette Waf (Waf1, Waf2) ... Semiconductor wafer

Claims (6)

【特許請求の範囲】[Claims] 【請求項1】 大気中に比べて高温を伴う半導体ウェハ
のプロセスチャンバーと、 前記プロセスチャンバーに併設され半導体ウェハの搬送
制御機構を有する搬送室と、 前記搬送室に併設され前記搬送制御機構により少なくと
も前記プロセスチャンバーにおける処理後の半導体ウェ
ハが順次搬入され最後の1枚の搬入完了から所定の冷却
時間経過後に大気に戻すよう制御されるロードロック室
と、を具備したことを特徴とする半導体製造装置。
1. A process chamber for a semiconductor wafer, which has a temperature higher than that in the atmosphere, a transfer chamber provided with the process chamber and having a semiconductor wafer transfer control mechanism, and a transfer chamber provided with the transfer chamber at least by the transfer control mechanism. A semiconductor manufacturing apparatus, comprising: a load-lock chamber in which processed semiconductor wafers are sequentially loaded into the process chamber and controlled to return to the atmosphere after a lapse of a predetermined cooling time from the completion of loading of the last one. .
【請求項2】 前記プロセスチャンバーは、導入される
原料ガスがプラズマ化され前記半導体ウェハに対し所望
の成膜処理またはエッチング処理が施されることを特徴
とする請求項1記載の半導体製造装置。
2. The semiconductor manufacturing apparatus according to claim 1, wherein the raw material gas introduced into the process chamber is turned into plasma and a desired film forming process or etching process is performed on the semiconductor wafer.
【請求項3】 新たに処理すべき半導体ウェハが搬入さ
れるロードロック室を別途具備していることを特徴とす
る請求項1または2記載の半導体製造装置。
3. The semiconductor manufacturing apparatus according to claim 1, further comprising a load lock chamber into which a semiconductor wafer to be newly processed is loaded.
【請求項4】 導入される原料ガスがプラズマ化され半
導体ウェハに対し所望の成膜またはエッチング処理が施
される複数のプロセスチャンバーと、 前記複数のプロセスチャンバーに共有される半導体ウェ
ハの搬送制御機構を有する搬送室と、 前記搬送室に併設され、成膜またはエッチング処理前の
半導体ウェハを収容する第1ロードロック室と、 前記搬送室に併設され、前記搬送制御機構を利用して前
記プロセスチャンバーにおける処理後の半導体ウェハが
収容される第2ロードロック室と、を具備し、 前記第2ロードロック室は少なくとも前記搬送制御機構
により順次搬入されてくる半導体ウェハの最後の1枚の
搬入完了から所定の冷却時間経過後に大気に戻すよう制
御されることを特徴とする半導体製造装置。
4. A plurality of process chambers in which the introduced source gas is turned into plasma and desired film formation or etching processing is performed on the semiconductor wafer, and a semiconductor wafer transfer control mechanism shared by the plurality of process chambers. And a first load lock chamber that is attached to the transfer chamber and accommodates a semiconductor wafer before film formation or etching, and is attached to the transfer chamber and uses the transfer control mechanism to process the process chamber. A second load-lock chamber in which the processed semiconductor wafers are accommodated, the second load-lock chamber being at least from the completion of the loading of the last semiconductor wafer sequentially loaded by the transfer control mechanism. A semiconductor manufacturing apparatus, which is controlled to return to the atmosphere after a predetermined cooling time has elapsed.
【請求項5】 大気中に比べて高温を伴う半導体ウェハ
のプロセスチャンバーと、 前記プロセスチャンバーに併設され半導体ウェハの搬送
制御機構を有する搬送室と、 前記搬送室に併設されるロードロック室とを具備し、 前記プロセスチャンバーにおける所定処理を経た半導体
ウェハは前記搬送制御機構により順次前記ロードロック
室に搬入され最後の1枚が所定の冷却時間を経過するま
で前記ロードロック室の雰囲気を保持することを特徴と
する半導体製造装置の制御方法。
5. A process chamber for a semiconductor wafer, which has a higher temperature than that in the atmosphere, a transfer chamber provided with the process chamber and having a semiconductor wafer transfer control mechanism, and a load lock chamber provided with the transfer chamber. Semiconductor wafers that have been subjected to a predetermined process in the process chamber are sequentially loaded into the load lock chamber by the transfer control mechanism, and the atmosphere of the load lock chamber is maintained until the last one wafer has passed a predetermined cooling time. A method for controlling a semiconductor manufacturing apparatus, comprising:
【請求項6】 前記搬送室において前記半導体ウェハが
所定範囲の温度下がるように搬送制御機構による動作が
制御されることを特徴とする請求項5記載の半導体製造
装置の制御方法。
6. The method of controlling a semiconductor manufacturing apparatus according to claim 5, wherein the operation by the transfer control mechanism is controlled so that the temperature of the semiconductor wafer is lowered within a predetermined range in the transfer chamber.
JP2002021734A 2002-01-30 2002-01-30 Semiconductor manufacturing apparatus and control method Withdrawn JP2003224174A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2002021734A JP2003224174A (en) 2002-01-30 2002-01-30 Semiconductor manufacturing apparatus and control method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2002021734A JP2003224174A (en) 2002-01-30 2002-01-30 Semiconductor manufacturing apparatus and control method

Publications (1)

Publication Number Publication Date
JP2003224174A true JP2003224174A (en) 2003-08-08

Family

ID=27744895

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2002021734A Withdrawn JP2003224174A (en) 2002-01-30 2002-01-30 Semiconductor manufacturing apparatus and control method

Country Status (1)

Country Link
JP (1) JP2003224174A (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100433247C (en) * 2004-07-29 2008-11-12 东京応化工业株式会社 Substrate processing equipment
CN103529652A (en) * 2013-10-23 2014-01-22 深圳市华星光电技术有限公司 Sheet inlet and outlet controlling method and device for cooling and buffering mechanism for precise length measuring machine
CN112106175A (en) * 2018-05-11 2020-12-18 株式会社斯库林集团 Substrate processing method, substrate processing apparatus, and computer program
CN117352440A (en) * 2023-12-05 2024-01-05 青岛育豪微电子设备有限公司 Semiconductor cooling device
CN117766445A (en) * 2024-01-26 2024-03-26 英诺赛科(珠海)科技有限公司 Sample conveying device and sample conveying method for thin film deposition equipment

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100433247C (en) * 2004-07-29 2008-11-12 东京応化工业株式会社 Substrate processing equipment
CN103529652A (en) * 2013-10-23 2014-01-22 深圳市华星光电技术有限公司 Sheet inlet and outlet controlling method and device for cooling and buffering mechanism for precise length measuring machine
CN112106175A (en) * 2018-05-11 2020-12-18 株式会社斯库林集团 Substrate processing method, substrate processing apparatus, and computer program
CN112106175B (en) * 2018-05-11 2024-04-19 株式会社斯库林集团 Substrate processing method, substrate processing apparatus, and recording medium
CN117352440A (en) * 2023-12-05 2024-01-05 青岛育豪微电子设备有限公司 Semiconductor cooling device
CN117352440B (en) * 2023-12-05 2024-04-12 青岛育豪微电子设备有限公司 Semiconductor cooling device
CN117766445A (en) * 2024-01-26 2024-03-26 英诺赛科(珠海)科技有限公司 Sample conveying device and sample conveying method for thin film deposition equipment
CN117766445B (en) * 2024-01-26 2024-05-14 英诺赛科(珠海)科技有限公司 Sample conveying device and sample conveying method for thin film deposition equipment

Similar Documents

Publication Publication Date Title
KR100736959B1 (en) Processed object processing apparatus, processed object processing method, pressure control method, processed object transfer method, and transfer apparatus
US6410889B2 (en) Method and apparatus for reducing contamination in a wafer loadlock of a semiconductor wafer processing system
US20050257890A1 (en) Method of cleaning an interior of a remote plasma generating tube and appartus and method for processing a substrate using the same
JP5809144B2 (en) Substrate processing method and substrate processing apparatus
US20080223399A1 (en) Substrate processing apparatus, substrate processing method and storage medium
US10569310B2 (en) Method for cleaning substrate transfer mechanism and substrate processing system
JP5881612B2 (en) Semiconductor device manufacturing method and manufacturing apparatus
JP4762998B2 (en) Processing method and recording medium
JP2005333110A (en) Thin film deposition apparatus, method for cleaning thin film deposition apparatus, and program
KR101106803B1 (en) Atmospheric robot handling equipment
JP2017188632A (en) Substrate processing method and substrate processing apparatus
US20090229634A1 (en) Substrate processing apparatus
JP2006245312A (en) Manufacturing method of semiconductor device
JP2003224174A (en) Semiconductor manufacturing apparatus and control method
JP2005019739A (en) Conveying method of workpiece
TWI313893B (en)
JP2001250780A (en) Application method of dummy substrate in semiconductor manufacturing device
JP2007073628A (en) Method and device for manufacturing semiconductor
JP6552552B2 (en) Method for etching a film
JP7175151B2 (en) Conveying method
US20050284572A1 (en) Heating system for load-lock chamber
TWI385722B (en) Substrate processing method, cleaning method after chemical mechanical polishing, the method and program for producing electronic device
WO2024116868A1 (en) Processing method and processing system
WO2022065077A1 (en) Conveyance method and processing system
JP2005136021A (en) Substrate-processing equipment

Legal Events

Date Code Title Description
A300 Withdrawal of application because of no request for examination

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20050405

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090917