JP2003174085A - Dual damascene structure and forming method thereof, and semiconductor device and manufacturing method thereof - Google Patents

Dual damascene structure and forming method thereof, and semiconductor device and manufacturing method thereof

Info

Publication number
JP2003174085A
JP2003174085A JP2001370753A JP2001370753A JP2003174085A JP 2003174085 A JP2003174085 A JP 2003174085A JP 2001370753 A JP2001370753 A JP 2001370753A JP 2001370753 A JP2001370753 A JP 2001370753A JP 2003174085 A JP2003174085 A JP 2003174085A
Authority
JP
Japan
Prior art keywords
insulating film
film
wiring
gas
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2001370753A
Other languages
Japanese (ja)
Other versions
JP3774399B2 (en
Inventor
Yasuhiro Morikawa
泰宏 森川
Toshio Hayashi
俊雄 林
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Ulvac Inc
Original Assignee
Ulvac Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ulvac Inc filed Critical Ulvac Inc
Priority to JP2001370753A priority Critical patent/JP3774399B2/en
Publication of JP2003174085A publication Critical patent/JP2003174085A/en
Application granted granted Critical
Publication of JP3774399B2 publication Critical patent/JP3774399B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Abstract

<P>PROBLEM TO BE SOLVED: To provide a dual damascene structure and a forming method thereof wherein no etching stop film is provided, a groove-wiring with a good shape is provided, and no wiring-delay occurs, and to provide a semiconductor device and a manufacturing method thereof. <P>SOLUTION: A first insulating film, an interlayer insulating film consisting of an organic Low-k material, and a second insulating film are formed in this order on a substrate. A via-hole is formed to the upper surface of the substrate by etching and removing these films in one process using halogenated carbon or halogenated hydrocarbon gas. Then, the second insulating film is etched and removed to the upper surface of the interlayer insulating film by using the same halogenated gas, and a wiring-groove is formed by carrying out trench etching of the interlayer insulating film using N<SB>2</SB>, H<SB>2</SB>, NH<SB>3</SB>, or O<SB>2</SB>gas, etc., and a Cu wiring layer is formed to fill this wiring groove. The surface of obtained laminated layers is subjected to surface flattening treatment through CMP to form a Cu wiring film. <P>COPYRIGHT: (C)2003,JPO

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【発明の属する技術分野】本発明は、デュアルダマシン
構造体及びその形成方法、並びに半導体装置(半導体素
子)及びその製造方法に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a dual damascene structure, a method for forming the same, a semiconductor device (semiconductor element) and a method for manufacturing the same.

【0002】[0002]

【従来の技術】近年の半導体産業におけるLSIの高集
積化および高速化により、半導体基板の配線の微細化と
多層化が進むと共に、相互接続部の数も増えている。そ
のために配線ピッチが狭まり、配線間容量や配線遅延に
よるLSIの性能低下が起こる。これを防ぐために、抵
抗率の低い配線材料と誘電率の低い層間絶縁膜を用いる
必要にせまられ、配線材料として、従来のAl合金等の
代わりに抵抗率の低い、かつ、エレクトロマイグレーシ
ョン(EM)耐性の高いCuを使用する動きが活発にな
ってきている。Cu成膜技術としてはスパッタ法、メッ
キ法、CVD法等があり、配線溝、ビアホール、コンタ
クトホール等にCuを堆積させ、埋め込む方法が開発さ
れている。そして、配線溝やホール等を完全に埋め込ん
だ後、CMP処理を行い基板表面を平坦化する方法を繰
り返すダマシンプロセスも開発されている。
2. Description of the Related Art In recent years, due to higher integration and higher speed of LSI in the semiconductor industry, wirings of a semiconductor substrate are becoming finer and multilayered, and the number of interconnections is also increasing. Therefore, the wiring pitch is narrowed, and the performance of the LSI is deteriorated due to the inter-wiring capacitance and the wiring delay. In order to prevent this, it is necessary to use a wiring material having a low resistivity and an interlayer insulating film having a low dielectric constant, and the wiring material has a low resistivity and electromigration (EM) instead of a conventional Al alloy or the like. The use of highly resistant Cu is becoming active. As a Cu film forming technique, there are a sputtering method, a plating method, a CVD method and the like, and a method of depositing and filling Cu in a wiring groove, a via hole, a contact hole and the like has been developed. A damascene process has also been developed in which a wiring groove, a hole, and the like are completely filled, and then a method of performing CMP processing to planarize the substrate surface is repeated.

【0003】また、Cu配線が導入されると共に、配線
構造や層間絶縁膜などについての研究開発が行われてお
り、Cu配線を用いるだけでは配線遅延を効果的に減少
することが困難であるため、半導体プロセスにおいて、
層間絶縁膜として低比誘電率酸化物膜(SiO膜)を
用いている。
Further, with the introduction of Cu wiring, research and development have been conducted on the wiring structure, interlayer insulating film, etc., and it is difficult to effectively reduce the wiring delay only by using Cu wiring. , In the semiconductor process,
A low relative dielectric constant oxide film (SiO 2 film) is used as the interlayer insulating film.

【0004】上記ダマシンプロセスとしては、シングル
ダマシン法、デュアルダマシン法があるが、配線層と下
層配線接続用のビアホールとを一括で形成するデュアル
ダマシン法が製造方法の面から有望である。このデュア
ルダマシン法とは配線を埋め込む配線溝と上下の配線と
を結ぶ接続孔とを形成後、これら両方に配線材料を埋め
込み、CMP処理により配線溝から溢れた余分の配線材
料を削り、配線及び接続孔内に形成される接続孔配線
(いわゆるプラグ)を同時に形成する技術である。この
デュアルダマシン構造の一例に関し、従来の形成方法の
プロセスを工程順に示す図1(A)〜(D)を参照して説明
する。
As the damascene process, there are a single damascene method and a dual damascene method, but a dual damascene method for collectively forming a wiring layer and a via hole for connecting a lower layer wiring is promising in terms of a manufacturing method. According to the dual damascene method, after forming a wiring groove for embedding a wiring and a connection hole connecting the upper and lower wirings, a wiring material is embedded in both of them, and excess wiring material overflowing from the wiring groove is removed by CMP processing to form a wiring and This is a technique of simultaneously forming connection hole wiring (so-called plug) formed in the connection hole. An example of this dual damascene structure will be described with reference to FIGS. 1A to 1D which show a process of a conventional forming method in the order of steps.

【0005】まず、トランジスタ等の素子が形成されて
おりCuの第1配線が形成されてある基板上に、ビア層
間として用いられる第1絶縁膜(酸化シリコン膜)を30
0〜1000nm程度の膜厚で形成する。この第1シリ
コン酸化膜上に低誘電率の有機Low−k材料からなる
第1層間絶縁膜、次いで、溝エッチングの際にエッチン
グストップ膜として機能するSiN膜又はSiON膜を
30〜200nm程度の膜厚で形成する。このストップ
膜の上に、Low−k材料からなる第2層間絶縁膜、及
び配線層間膜として用いられる第2絶縁膜(酸化シリコ
ン膜、膜厚:100〜500nm程度)を順次堆積す
る。次いで、通常の露光法によりフォトレジストパター
ンを形成し、異方性エッチングによりビアホールを形成
する。その後、フォトレジストを剥離・除去し、再度、
通常の露光法によりフォトレジストパターンを形成し、
異方性エッチングにより溝(配線溝)105を形成する
(図1(A))。図1(A)において、101は第1層間絶縁
膜、102はストップ膜、103は第2層間絶縁膜、1
04は第2絶縁膜を示す。エッチングによって溝を形成
する時には、ストップ膜102により、エッチングする
層を所定の位置(図中、ストップ膜102の上面)で確実
に止めるようにしなければならない。溝105を形成し
た後、TiN、TaN、又はWN等のバリアメタルをス
パッタリングにより溝の内壁面及びフィールド部に堆積
し、バリア膜106を形成する(図1(B))。その後、電
気メッキ法等によりこの溝を埋設するようにCu配線層
107を形成する。最後に、積層表面に対してCMPに
より表面平坦化処理を行い、配線溝105に埋め込まれ
ずに配線溝から溢れているフィールド部の余分なCu配
線材料を削り取り、図1(D)に示すようなCuデュアル
ダマシン構造体を形成する。
First, a first insulating film (silicon oxide film) used as a via layer is formed on a substrate on which elements such as transistors are formed and Cu first wirings are formed.
It is formed with a film thickness of about 0 to 1000 nm. A first interlayer insulating film made of an organic Low-k material having a low dielectric constant is formed on the first silicon oxide film, and then a SiN film or a SiON film which functions as an etching stop film at the time of groove etching is formed to have a film thickness of about 30 to 200 nm. Form with a thick thickness. On this stop film, a second interlayer insulating film made of a Low-k material and a second insulating film (silicon oxide film, film thickness: about 100 to 500 nm) used as a wiring interlayer film are sequentially deposited. Then, a photoresist pattern is formed by a normal exposure method, and a via hole is formed by anisotropic etching. After that, the photoresist is peeled and removed, and again,
Form a photoresist pattern by the usual exposure method,
Forming grooves (wiring grooves) 105 by anisotropic etching
(FIG. 1 (A)). In FIG. 1A, 101 is a first interlayer insulating film, 102 is a stop film, 103 is a second interlayer insulating film, 1
Reference numeral 04 represents a second insulating film. When the groove is formed by etching, the layer to be etched must be surely stopped by the stop film 102 at a predetermined position (the upper surface of the stop film 102 in the figure). After forming the groove 105, a barrier metal such as TiN, TaN, or WN is deposited on the inner wall surface and the field portion of the groove by sputtering to form the barrier film 106 (FIG. 1B). Then, a Cu wiring layer 107 is formed by an electroplating method or the like so as to fill this groove. Finally, a surface flattening process is performed on the laminated surface by CMP to scrape off excess Cu wiring material in the field portion which is not embedded in the wiring groove 105 and overflows from the wiring groove, as shown in FIG. A Cu dual damascene structure is formed.

【0006】[0006]

【発明が解決しようとする課題】上記従来技術におい
て、配線遅延の問題を解消するために有機Low−k材
料からなる低誘電率膜を導入する場合、Low−k膜を
エッチングする時にストップ膜としてのSiN膜又はS
iON膜との選択比を大きくとることができれば、あと
はオーバーエッチングすることでウエハー面内でエッチ
ング形状の均一性を得ることができる(図1(A))。しか
し、ストップ膜(中間層)にSiN膜又はSiON膜等を
用いた場合には、非誘電率が高い(SiNの場合:ε=
約7〜8、SiONの場合:ε=約5〜6)ために、特
に微細ピッチのパターンにおいて隣接する配線のへりに
よるフリンジ効果によって配線間容量が増大し、積層方
向に対しての配線遅延問題解消の効果が激減するという
問題がある。たとえ、比誘電率の低いHSQや有機含有
シリコン酸化膜等をストップ膜として適用しても信号の
伝播遅延の大きな原因になりやすいという問題がある。
そこで、図2に示されるようなストップ膜なしのデュア
ルダマシン構造が提案されている。図2において、20
1は有機Low−k膜、202は酸化シリコン膜、Aは
エッチング停止点を示す。しかし、この場合には、エッ
チングを途中(図2におけるA点)で止めなければならな
いために、ウエハー面内均一形状化にオーバーエッチン
グ法を用いることができないので、新たな非常に高度な
均一エッチングをしなければならず、技術的にも難しい
という問題がある。
In the above prior art, when a low dielectric constant film made of an organic Low-k material is introduced in order to solve the problem of wiring delay, it is used as a stop film when etching the Low-k film. SiN film or S
If a large selection ratio with respect to the iON film can be obtained, the etching shape can be made uniform within the wafer surface by performing overetching after that (FIG. 1A). However, when a SiN film or a SiON film is used as the stop film (intermediate layer), the non-dielectric constant is high (for SiN: ε =
Approximately 7-8, in the case of SiON: ε = approximately 5-6), the inter-wiring capacitance increases due to the fringe effect due to the edge of the adjacent wiring in the fine pitch pattern, and the wiring delay problem in the stacking direction There is a problem that the effect of elimination is drastically reduced. Even if HSQ or an organic-containing silicon oxide film having a low relative dielectric constant is applied as a stop film, there is a problem that it is likely to cause a large signal propagation delay.
Therefore, a dual damascene structure without a stop film as shown in FIG. 2 has been proposed. In FIG. 2, 20
Reference numeral 1 indicates an organic Low-k film, 202 indicates a silicon oxide film, and A indicates an etching stop point. However, in this case, since the etching must be stopped in the middle (point A in FIG. 2), the over-etching method cannot be used for uniform formation in the wafer surface. There is a problem that it is technically difficult.

【0007】また、ストップ膜なしの構造では、理想的
にはマイクロトレンチなしの形状が望ましいが、通常、
マイクロトレンチが非常に発生しやすく(図3)、高い面
内均一形状化が得られず、さらに、エッチング表面にお
ける荒れや、エッチング残さが発生するという問題があ
る。図3において、301は有機Low−k膜、302
は酸化シリコン膜、303は発生するマイクロトレン
チ、304はエッチング残さを示し、305はマイクロ
トレンチがない状態を示す。本発明の課題は、上記従来
技術の問題を解消することにあり、エッチングストップ
膜なしの、形状の良い溝配線を有し、配線遅延の起こら
ないデュアルダマシン構造体及びその形成方法、並びに
このデュアルダマシン構造体を持つ半導体装置及びその
製造方法を提供することにある。
Further, in a structure without a stop film, a shape without a micro trench is ideally desirable, but
Micro-trench is very likely to occur (FIG. 3), a high in-plane uniform shape cannot be obtained, and further, there is a problem in that the etching surface is roughened and etching residue is generated. In FIG. 3, reference numeral 301 denotes an organic Low-k film, 302
Is a silicon oxide film, 303 is a generated micro trench, 304 is an etching residue, and 305 is a state without a micro trench. An object of the present invention is to solve the above-mentioned problems of the prior art. A dual damascene structure having a grooved wiring having a good shape without an etching stop film and preventing wiring delay, a method for forming the dual damascene structure, and the dual structure are provided. A semiconductor device having a damascene structure and a method for manufacturing the same are provided.

【0008】[0008]

【課題を解決するための手段】本発明者らは、エッチン
グストップ膜なしのデュアルダマシン構造を得るため
に、各種材料、エッチング条件等について鋭意検討して
きたが、その結果、有機Low−k材料からなる層間絶
縁膜と酸化シリコン膜等との組み合わせにより、また、
エッチング条件を選択することにより、中間層のストッ
プ膜のないデュアルダマシン構造体を形成しうることを
見出し、本発明を完成するに至った。
Means for Solving the Problems The present inventors have earnestly studied various materials, etching conditions and the like in order to obtain a dual damascene structure without an etching stop film, and as a result, from organic Low-k materials. By the combination of the interlayer insulating film and the silicon oxide film, etc.
The inventors have found that a dual damascene structure without an intermediate stop film can be formed by selecting etching conditions, and completed the present invention.

【0009】本発明のCuデュアルダマシン構造体は、
基板に設けられた配線層上に、第1絶縁膜として有機ケ
イ素化合物膜又は多孔質酸化シリコン膜、及び有機Lo
w−k材料からなる層間絶縁膜を順に設けてなり、さら
に配線溝内にCu配線膜が埋設されていることからな
る。本発明の半導体装置は、上記Cuデュアルダマシン
構造体を持つものである。
The Cu dual damascene structure of the present invention is
On the wiring layer provided on the substrate, an organic silicon compound film or a porous silicon oxide film as a first insulating film, and an organic Lo
An interlayer insulating film made of a wk material is sequentially provided, and a Cu wiring film is embedded in the wiring groove. A semiconductor device of the present invention has the Cu dual damascene structure described above.

【0010】本発明のCuデュアルダマシン構造体の形
成方法は、基板に設けられた配線層上に、第1絶縁膜、
有機Low−k材料からなる層間絶縁膜、及び第2絶縁
膜をこの順に形成する工程、該第2絶縁膜上にフォトレ
ジストパターンを形成して、ハロゲン化炭素ガス又はハ
ロゲン化炭化水素ガスを用いて、基板に設けられた配線
層上面まで一括してエッチング除去してビアホールを形
成する工程、該フォトレジスト層を剥離・除去し、配線
溝の一部を構成する第2絶縁膜上にフォトレジストパタ
ーンを形成して、ハロゲン化炭素ガス又はハロゲン化炭
化水素ガスを用いて、該層間絶縁膜の上面まで第2絶縁
膜をエッチング除去する工程、このフォトレジスト層を
剥離・除去した後、N、H、NH、O、N
O、NO、CO、若しくはCOのガスを主体とした
ガス、又はこれらの少なくとも2種の混合ガスを用い
て、層間絶縁膜トレンチエッチングを行い、配線溝を形
成する工程、その後、この配線溝を埋設するようにCu
配線層を形成する工程、かくして得られた積層表面に対
してCMPにより表面平坦化処理を行い、Cu配線膜を
形成する工程を含むことからなる。
According to the method of forming a Cu dual damascene structure of the present invention, the first insulating film is formed on the wiring layer provided on the substrate,
A step of forming an interlayer insulating film made of an organic Low-k material and a second insulating film in this order, a photoresist pattern is formed on the second insulating film, and a halogenated carbon gas or a halogenated hydrocarbon gas is used. Then, a step of collectively etching and removing the upper surface of the wiring layer provided on the substrate to form a via hole, peeling and removing the photoresist layer, and photoresist on the second insulating film forming a part of the wiring groove. A step of forming a pattern and etching away the second insulating film up to the upper surface of the interlayer insulating film by using a halogenated carbon gas or a halogenated hydrocarbon gas; after removing and removing the photoresist layer, N 2 , H 2 , NH 3 , O 2 , N
A step of forming a wiring groove by performing interlayer insulating film trench etching using a gas mainly containing 2 O, NO, CO, or a CO 2 gas or a mixed gas of at least two kinds of these gases; Cu to fill the groove
The method includes a step of forming a wiring layer and a step of performing a surface flattening process on the thus obtained laminated surface by CMP to form a Cu wiring film.

【0011】前記第1絶縁膜及び第2絶縁膜は、酸化シ
リコン膜であり、前記有機Low−k材料は、ポリアリ
ールエーテル主体のもの又はフッ化ポリイミドであり、
また、前記ハロゲン化炭素ガスは、CF、C
、C、C 、C、臭化カーボ
ンガス、又はヨウ化フッ化カーボンガスであり、前記ハ
ロゲン化炭化水素ガスは、CHF、CH又はC
CHFであることが好ましい。ここで、ハロゲン
は、F、Br、Iが好ましい。また、本発明の半導体装
置製造方法は、上記のようにしてCuデュアルダマシン
構造体を形成して、半導体装置を製造する方法である。
The first insulating film and the second insulating film are oxide films.
The organic Low-k material is a poly-alloy.
Are mainly ether or fluorinated polyimide,
The carbon halide gas is CFFour, CTwoFFour,
CTwoF6, CThreeF8, CFourF 8, C5F8Carbo bromide
Gas, or fluorocarbon iodide gas.
CHF is the hydrogenated hydrocarbon gas.Three, CHTwoFTwoOr C
HThreeCHFTwoIs preferred. Where halogen
Is preferably F, Br or I. Further, the semiconductor device of the present invention
The manufacturing method is as described above for the Cu dual damascene.
A method of manufacturing a semiconductor device by forming a structure.

【0012】[0012]

【発明の実施の形態】以下、本発明の実施の形態を、図
面を参照して説明する。図4(A)〜(H)に本発明の実施
の形態であるデュアルダマシン構造体を形成し、半導体
装置を製造するためのプロセスの一例を工程順に示す。
BEST MODE FOR CARRYING OUT THE INVENTION Embodiments of the present invention will be described below with reference to the drawings. 4A to 4H show an example of a process for manufacturing a semiconductor device by forming a dual damascene structure according to an embodiment of the present invention in the order of steps.

【0013】まず、図4(A)に示すように、トランジス
タ等の素子が形成されておりCuの第1配線が形成され
てある基板401上に、第1絶縁膜(シリコン酸化物膜)
402を300〜1000nm程度の膜厚で形成し、こ
の第1シリコン酸化物膜上に有機Low−k材料からな
る500nm程度の層間絶縁膜403を形成する。層間
絶縁膜403の形成後、図4(B)に示すように、この層
間絶縁膜の上に50〜200nm程度の厚さの第2絶縁
膜(シリコン酸化物膜)404をCVD法により形成す
る。次いで、図4(C)に示すように、通常の露光法によ
りフォトレジストパターン405を形成する。その後、
図4(D)に示すように、エッチャントとしてC
ス等のようなハロゲン化炭素ガスを用いて、基板404
の上面まで一括にエッチングしてビアホール406を形
成する。フォトレジスト層405を剥離・除去するした
後、図4(E)及び(F)に示すように、再度、通常の露光
法によりフォトレジストパターン407を形成し、エッ
チャントとしてCガス等のようなハロゲン化炭素
ガスを用いて、層間絶縁膜403の上面までエッチング
してシリコン酸化物膜404を除去する。
First, as shown in FIG. 4A, a first insulating film (silicon oxide film) is formed on a substrate 401 on which elements such as transistors are formed and Cu first wirings are formed.
402 is formed with a thickness of about 300 to 1000 nm, and an interlayer insulating film 403 of an organic Low-k material with a thickness of about 500 nm is formed on the first silicon oxide film. After forming the interlayer insulating film 403, as shown in FIG. 4B, a second insulating film (silicon oxide film) 404 having a thickness of about 50 to 200 nm is formed on the interlayer insulating film by the CVD method. . Next, as shown in FIG. 4C, a photoresist pattern 405 is formed by a normal exposure method. afterwards,
As shown in FIG. 4D, the substrate 404 is formed by using a halogenated carbon gas such as C 3 F 8 gas as an etchant.
To the upper surface of the substrate are collectively etched to form a via hole 406. After peeling and removing the photoresist layer 405, as shown in FIGS. 4E and 4F, a photoresist pattern 407 is formed again by a normal exposure method, and C 3 F 8 gas or the like is used as an etchant. Using such a halogenated carbon gas, the silicon oxide film 404 is removed by etching up to the upper surface of the interlayer insulating film 403.

【0014】次いで、図4(G)に示すように、エッチャ
ントとしてN、H、NH、O 、NO、NO、
CO、若しくはCOのガスを主体としたガス、又はこ
れらの少なくとも2種の混合ガスを用いて、有機Low
−k膜トレンチエッチングを行い、第1絶縁膜402の
上面までエッチング除去し、配線溝408を形成する。
フォトレジスト層407をアッシングにより剥離・除去
する。上記混合ガスとしては、例えば、NとHとの
混合ガスの場合、Nガス=50〜80、Hガス=5
0〜20の割合で混合したものを使用することができ
る。
Next, as shown in FIG.
N as an eventTwo, HTwo, NHThree, O Two, NTwoO, NO,
CO or COTwoGas mainly consisting of
Organic Low using at least two kinds of these mixed gases
-K film trench etching is performed to remove the first insulating film 402
The upper surface is removed by etching to form a wiring groove 408.
Peeling and removing the photoresist layer 407 by ashing
To do. As the mixed gas, for example, NTwoAnd HTwoWith
N for mixed gasTwoGas = 50-80, HTwoGas = 5
A mixture of 0 to 20 can be used.
It

【0015】上記のように有機Low−k膜からなる層
間絶縁膜403と第1絶縁膜402とを組み合わせて用
いることにより、エッチング選択比が20以上となり、
中間層としてのストップ膜を用いなくとも、有機Low
−k膜のオーバーエッチングが可能となり、所期の配線
溝を形成することができる。また、エッチング残さに対
するレジストアッシングプロセスも不要となり、第2絶
縁膜と層間絶縁膜とを一括してエッチング除去できる。
このようにして配線溝408を形成すれば、中間膜とし
てストップ膜を用いることなく所望の配線溝を形成する
ことが出来る。
By using the interlayer insulating film 403 made of the organic Low-k film and the first insulating film 402 in combination as described above, the etching selection ratio becomes 20 or more,
Even if a stop film is not used as an intermediate layer, the organic low
The -k film can be over-etched, and a desired wiring groove can be formed. Further, the resist ashing process for the etching residue is not necessary, and the second insulating film and the interlayer insulating film can be removed by etching at once.
By forming the wiring groove 408 in this way, a desired wiring groove can be formed without using a stop film as an intermediate film.

【0016】配線溝408を形成した後、TiN、Ta
N、又はWN等のバリアメタルをスパッタリングにより
溝の内壁面及びフィールド部に堆積し、バリア膜409
を形成する(図4(H))。次いで、電解メッキ法等により
この配線溝408を埋設するようにCu配線層を形成し
た後、積層表面に対してCMPにより表面平坦化処理を
行い、配線溝408に埋め込めず配線溝から溢れたフィ
ールド部の余分なCu配線材料を削り取り、Cu配線膜
410を形成し、図4(H)に示すようなCuデュアルダ
マシン構造体を形成する。
After forming the wiring groove 408, TiN, Ta
A barrier metal such as N or WN is deposited on the inner wall surface of the groove and the field portion by sputtering to form a barrier film 409.
Are formed (FIG. 4 (H)). Then, a Cu wiring layer is formed by an electrolytic plating method or the like so as to fill the wiring groove 408, and then a surface flattening process is performed on the laminated surface by CMP to fill the wiring groove 408 with a field overflowing from the wiring groove. Excessive Cu wiring material is shaved off, a Cu wiring film 410 is formed, and a Cu dual damascene structure as shown in FIG. 4H is formed.

【0017】上記プロセスにおいては、第1絶縁膜40
2に形成される溝が配線を電気的に接続する接続孔配線
であり、有機Low−k膜からなる層間絶縁膜403及
び第2絶縁膜に形成される溝が第2の金属配線のCu配
線である。シリコン酸化物膜と有機Low−k膜とのエ
ッチングされる速度は異なるので、上記のように、配線
層と下層配線接続用のビアホールを一括で形成するデュ
アルダマシン構造体を形成することが可能なのである。
In the above process, the first insulating film 40
The groove formed in 2 is a connection hole wiring that electrically connects the wiring, and the groove formed in the interlayer insulating film 403 made of the organic Low-k film and the second insulating film is the Cu wiring of the second metal wiring. Is. Since the etching rates of the silicon oxide film and the organic Low-k film are different, it is possible to form a dual damascene structure in which a wiring layer and a via hole for connecting a lower layer wiring are collectively formed as described above. is there.

【0018】上記プロセスにおいて、第1絶縁膜として
は、シリコン酸化物以外に、SOD(spin on dielectri
cs)、例えば、FSG、MSQ、HMSQ、HSQ、M
HSQ、多孔質シリカ等を用いることが出来、この膜の
形成方法は、それぞれの材料に合わせて随時選択され得
る。また、有機Low−k材料としては、例えば、ポリ
アリールエーテルが主体のSiLK、FLARE及びG
X−3等、並びにフッ化ポリイミ等を用いることが出
来、この材料からなる膜の形成方法は、それぞれの材料
の特性に合わせて随時選択され得る。
In the above process, as the first insulating film, in addition to silicon oxide, SOD (spin on dielectri
cs), for example, FSG, MSQ, HMSQ, HSQ, M
HSQ, porous silica, etc. can be used, and the method for forming this film can be selected at any time according to the respective materials. Further, as the organic Low-k material, for example, polyaryl ether-based SiLK, FLARE and G
X-3 and the like, as well as polyimid fluoride and the like can be used, and the method of forming a film made of this material can be selected at any time according to the characteristics of each material.

【0019】エッチャントとしては、上記ハロゲン化炭
素ガス以外に、ハロゲン化炭化水素ガス(C
ガス)として、例えば、CHF、CH、CH
CHF等のフッ化炭化水素ガスを用いても良い。ま
た、上記エッチングガスを用いて有機Low−k膜をト
レンチエッチングする場合には、エッチングガスとし
て、上記したようなN、H、NH、Oガスを用
いることが好ましい。エッチングガスとしてNとH
との混合ガス(容量%でN:H=70:30)、及び
NHガスを用いて、0.4Paの圧力下、有機Low
−k膜としてFLARE(登録商標:Honeywell Electro
nic Materials製)膜をエッチングした場合のRFバイア
ス電力とエッチング速度(nm/min)との関係を図5
に示す。対照としてArガスを用いた場合についても図
5に示す。この図から明らかなように、エッチング速度
は飽和することなく、直線的に上昇する特性を有する。
一方、Arのような単純なスパッタのみではバイアスパ
ワーを上昇させても約100nm/min程度と、殆ど
エッチング速度上昇は得られない。従って、有機Low
−kのエッチング機構は上記したようなN、H、N
、O、NO、NO、CO、CO等のガスを主
体とするガス、或いは添加プロセスが非常に有用である
ことが分かる。
[0019] As an etchant, in addition to the halogenated carbon gas, a halogenated hydrocarbon gas (C x H y F z
Gas), for example, CHF 3 , CH 2 F 2 , CH 3
Fluorohydrocarbon gas such as CHF 2 may be used. Further, when the organic Low-k film is subjected to trench etching using the above etching gas, it is preferable to use N 2 , H 2 , NH 3 , or O 2 gas as described above as the etching gas. N 2 and H 2 as etching gas
With a mixed gas (N 2 : H 2 = 70: 30 in volume%) and NH 3 gas under a pressure of 0.4 Pa and organic Low.
FLARE (registered trademark: Honeywell Electro
Figure 5 shows the relationship between the RF bias power and etching rate (nm / min) when etching a film (made by nic Materials).
Shown in. The case where Ar gas is used as a control is also shown in FIG. As is clear from this figure, the etching rate has a characteristic of increasing linearly without being saturated.
On the other hand, with a simple sputtering such as Ar, even if the bias power is increased, it is about 100 nm / min, and the etching rate hardly increases. Therefore, Organic Low
The etching mechanism of −k is N 2 , H 2 , N as described above.
It can be seen that a gas mainly containing a gas such as H 3 , O 2 , N 2 O, NO, CO, or CO 2 or an addition process is very useful.

【0020】さらに、励起したN+H(容量%でN
:H=70:30)プラズマを用いて、0.4Pa
の圧力下で、有機Low−k(FLARE)、SiN、S
iO、及びMSQ(メチルシルセスキオキサン)の各膜
をエッチングした場合のRFバイアス電力(W)と各膜の
エッチングレート及び選択性との関係を図6の示す。図
6から明らかなように、有機Low−k膜のエッチング
向けのプロセスでは、シリカ系Low−k膜は殆ど反応
しない。これは、以下に述べる図4(G)における有機L
ow−k膜403のエッチング時の下地シリカ系Low
−k膜402に対して高い選択比(20以上)が得られる
ことを意味している。従って、オーバーエッチングして
も、有機Low−k膜の膜厚t403及びシリカ系Lo
w−k膜の膜厚t402は殆ど変わらない。さらに、図
3に示すマイクロトレンチ303も発生しないことを意
味する。さらに、フォトレジストとしては、通常用いら
れるレジストであれば使用でき、例えば、SRレジス
ト、KrFレジスト、ArFレジスト、Fレジスト等
を用いることができる。
Furthermore, the excited N 2 + H 2 (N in volume%
2 : H 2 = 70: 30) plasma and 0.4 Pa
Under low pressure, organic Low-k (FLARE), SiN, S
FIG. 6 shows the relationship between the RF bias power (W) and the etching rate and selectivity of each film when each film of io 2 and MSQ (methylsilsesquioxane) was etched. As is clear from FIG. 6, in the process for etching the organic Low-k film, the silica-based Low-k film hardly reacts. This is the organic L in FIG. 4 (G) described below.
Underlying silica-based Low during etching of the ow-k film 403
This means that a high selection ratio (20 or more) can be obtained for the -k film 402. Therefore, even if overetching is performed, the film thickness t 403 of the organic Low-k film and the silica-based Lo are reduced.
The thickness t 402 of the wk film is almost unchanged. Furthermore, it means that the micro trench 303 shown in FIG. 3 does not occur. Further, as the photoresist, any commonly used resist can be used, and for example, SR resist, KrF resist, ArF resist, F 2 resist and the like can be used.

【0021】[0021]

【実施例】以下、本発明を実施例に基づいて詳細に説明
する。 (実施例1)図4(A)に示すように、トランジスタ等の
素子が形成されておりCuの第1配線が形成されてある
基板401上に、シリコン酸化物膜402を500nm
程度の膜厚で形成し、この膜上に500nm程度の膜厚
でFLARE膜403を形成した。この有機Low−k
膜403の形成後、図4(B)に示すように、この膜の上
に50〜100nm程度の膜厚でシリコン酸化物膜40
4をCVD法により形成した。
EXAMPLES The present invention will be described in detail below based on examples. (Embodiment 1) As shown in FIG. 4A, a silicon oxide film 402 having a thickness of 500 nm is formed on a substrate 401 on which elements such as transistors are formed and a first wiring of Cu is formed.
The FLARE film 403 is formed with a film thickness of about 500 nm on this film. This organic Low-k
After forming the film 403, as shown in FIG. 4B, a silicon oxide film 40 having a film thickness of about 50 to 100 nm is formed on this film.
4 was formed by the CVD method.

【0022】次いで、図4(C)に示すように、フォトレ
ジストとしてSRレジストを用い、通常の塗布/露光法
によりフォトレジストパターン405を形成した。レジ
ストパターン形成後、エッチャントとしてC/A
rガスを用いて、0.1〜1Paの圧力下で、SiO
膜が垂直にエッチングできる条件下で、基板401の上
面まで一括にエッチングしてビアホール406を形成
し、その後、フォトレジスト層405をアッシングによ
り剥離・除去した(図4(D))。このエッチングは途中で
止める必要がないので、マイクロトレンチが発生するこ
ともなく、また、エッチング残さもなく、エッチング表
面荒れもなく、エッチング表面の高い均一性が得られ
た。
Next, as shown in FIG. 4C, a photoresist pattern 405 was formed by an ordinary coating / exposure method using an SR resist as a photoresist. After forming the resist pattern, C 3 F 8 / A as an etchant
SiO 2 under a pressure of 0.1 to 1 Pa using r gas.
Under the condition that the film can be vertically etched, the via hole 406 is formed by collectively etching to the upper surface of the substrate 401, and then the photoresist layer 405 is removed and removed by ashing (FIG. 4D). Since it is not necessary to stop this etching on the way, no micro-trench was generated, no etching residue was left, and the etching surface was not roughened, and high uniformity of the etching surface was obtained.

【0023】上記したフォトレジストの除去後、図4
(E)及び(F)に示すように、フォトレジストとしてSR
レジストを用い、再度、通常の塗布/露光法によりフォ
トレジストパターン407を形成し、エッチャンとして
ガスを用いて、SiO 膜が垂直にエッチング
できる条件下で、有機Low−k膜の上面までエッチン
グして、シリコン酸化物膜404をエッチング除去し
た。次いで、図4(G)に示すように、エッチャントとし
て、N=70、H=30の混合比を有する混合ガス
を用いて、有機Low−k膜トレンチエッチングを行
い、シリコン酸化物膜402の上面までエッチングして
酸化Cu配線溝408を形成した。アッシングによりフ
ォトレジスト層407を剥離・除去した。
After removing the photoresist described above, FIG.
As shown in (E) and (F), SR is used as a photoresist.
Using a resist, again using the usual coating / exposure method,
Form a photoresist pattern 407 and use it as an etchant
CThreeF8Using gas, SiO TwoThe film is etched vertically
Etching up to the top surface of the organic Low-k film
To remove the silicon oxide film 404 by etching.
It was Then, as shown in FIG. 4G, an etchant is formed.
, NTwo= 70, HTwoGas having a mixing ratio of = 30
Organic low-k film trench etching using
Etching up to the upper surface of the silicon oxide film 402
A Cu oxide wiring groove 408 was formed. By ashing
The photoresist layer 407 was peeled and removed.

【0024】配線溝408を形成した後、TiN、Ta
N、又はWNのバリアメタルをスパッタリングによりこ
の配線溝の内壁面及びフィールド部に堆積し、バリア膜
409を形成した。次いで、電解メッキ法によりこの配
線溝408を埋設するようにCu配線層を形成した後、
積層表面に対してCMPにより表面平坦化処理を行い、
配線溝408に埋め込めずに配線溝から溢れたフィール
ド部の余分なCu配線材料を削り取り、Cu配線膜41
0を形成し、図4(H)に示すようなCuデュアルダマシ
ン構造体を形成した。
After forming the wiring groove 408, TiN, Ta
A barrier metal of N or WN was deposited on the inner wall surface of the wiring groove and the field portion by sputtering to form a barrier film 409. Then, a Cu wiring layer is formed by an electrolytic plating method so as to fill the wiring groove 408, and then,
The surface of the layer is flattened by CMP,
Excessive Cu wiring material in the field portion that overflows from the wiring groove without being embedded in the wiring groove 408 is scraped off to remove the Cu wiring film 41.
0 was formed to form a Cu dual damascene structure as shown in FIG.

【0025】上記のように、有機Low−k膜403と
シリコン酸化膜又はシリコン系Low−k膜402とを
組み合わせて用いることによりエッチング選択比が20
以上となり、中間層としてのストップ膜を用いなくと
も、酸化シリコン膜と層間絶縁膜とを一括してエッチン
グ除去でき、有機Low−k膜のオーバーエッチングが
可能となり、所望の配線溝を形成することができた。ま
た、レジスト残さを除去するためのアッシングプロセス
が不要であった。上記実施例において、シリコン酸化物
以外に、SODとして、FSG、MSQ、HMSQ、H
SQ、多孔質シリカを用いても、また、有機Low−k
材料として、FLARE膜以外にSiLKやGX−3、
フッ化ポリイミドを用いても、同様な結果が得られる。
As described above, by using the organic Low-k film 403 in combination with the silicon oxide film or the silicon-based Low-k film 402, the etching selection ratio is 20.
As described above, the silicon oxide film and the interlayer insulating film can be collectively etched and removed without using the stop film as the intermediate layer, the organic Low-k film can be over-etched, and a desired wiring groove can be formed. I was able to. In addition, an ashing process for removing the resist residue was unnecessary. In the above embodiment, in addition to silicon oxide, as SOD, FSG, MSQ, HMSQ, H
Even if SQ and porous silica are used, organic Low-k
As a material, in addition to the FLARE film, SiLK or GX-3,
Similar results are obtained using fluorinated polyimide.

【0026】また、エッチャントCガスとし
ては、Cガス以外にハロゲン化炭素ガスとして、
CF、C、C、C、C、臭
化カーボンガス、又はヨウ化フッ化カーボンガス、ま
た、ハロゲン化炭化水素ガスとして、CHF、CH
又はCHCHFを用いても、同様な結果が得ら
れる。さらに、N=70、H=30の混合比を有す
る混合ガス以外に、上記したガスを用いても、同様な結
果を得ることができる。
Further, as an etchant C x H y F z gas, as halocarbon gases other than C 3 F 8 gas,
CF 4, C 2 F 4, C 2 F 6, C 4 F 8, C 5 F 8, bromide carbon gas, or iodide carbon fluoride gas, and as the halogenated hydrocarbon gas, CHF 3, CH 2
Similar results are obtained with F 2 or CH 3 CHF 2 . Furthermore, similar results can be obtained by using the above-mentioned gas other than the mixed gas having the mixing ratio of N 2 = 70 and H 2 = 30.

【0027】[0027]

【発明の効果】本発明によれば、有機Low−k膜から
なる層間絶縁膜と絶縁膜とを組み合わせて用いることに
よりエッチング選択比が高くなり、中間層としてのエッ
チングストップ膜を用いなくとも、有機Low−k膜の
オーバーエッチングが可能となり、所望の配線溝を形成
することができ、また、レジストアッシングプロセスも
不要となり、絶縁膜と層間絶縁膜とを一括してエッチン
グ除去できる。そのために、エッチングストップ膜なし
の、形状の良い溝配線を有し、配線遅延の起こらないデ
ュアルダマシン構造体を容易に形成することが出来、ま
た、このデュアルダマシン構造体を有する半導体装置を
容易に製造することが出来る。
According to the present invention, the use of a combination of an interlayer insulating film made of an organic Low-k film and an insulating film results in a high etching selection ratio, and even without using an etching stop film as an intermediate layer, The organic Low-k film can be over-etched, a desired wiring groove can be formed, a resist ashing process is not required, and the insulating film and the interlayer insulating film can be collectively etched and removed. Therefore, it is possible to easily form a dual damascene structure having a grooved wiring with a good shape without an etching stop film and causing no wiring delay, and also to easily manufacture a semiconductor device having this dual damascene structure. It can be manufactured.

【図面の簡単な説明】[Brief description of drawings]

【図1】(A)従来のストップ膜ありデュアルダマシン構
造体を形成するプロセスにおいて、配線溝を形成した半
導体装置半加工品の構成を示す模式的断面図。 (B)従来のストップ膜ありのデュアルダマシン構造体を
形成するプロセスにおいて、バリア膜を形成した半加工
品の構成を示す模式的断面図。 (C)従来のストップ膜ありのデュアルダマシン構造体を
形成するプロセスにおいて、Cu配線材料を埋設した半
加工品の構成を示す模式的断面図。 (D)従来のストップ膜ありのデュアルダマシン構造体を
形成するプロセスにおいて、CMP処理した後のCuデ
ュアルダマシン構造体を示す模式的断面図。
FIG. 1A is a schematic cross-sectional view showing the configuration of a semiconductor device semi-processed product in which a wiring groove is formed in a conventional process of forming a dual damascene structure having a stop film. (B) A schematic cross-sectional view showing the configuration of a semi-processed product having a barrier film formed in the conventional process of forming a dual damascene structure having a stop film. (C) A schematic cross-sectional view showing the structure of a semi-processed product in which a Cu wiring material is embedded in a conventional process of forming a dual damascene structure having a stop film. (D) A schematic cross-sectional view showing a Cu dual damascene structure after CMP treatment in the conventional process of forming a dual damascene structure with a stop film.

【図2】従来のストップ膜なしのデュアルダマシン構造
体を形成するプロセスにおいて、配線溝を形成した半加
工品の構成を示す模式的断面図。
FIG. 2 is a schematic cross-sectional view showing a configuration of a semi-processed product in which a wiring groove is formed in a conventional process of forming a dual damascene structure without a stop film.

【図3】従来のストップ膜なしのデュアルダマシン構造
体を形成するプロセスにおいて、配線溝を形成する際に
発生するマイクロトレンチを説明するための半加工品の
構成を示す模式的断面図。
FIG. 3 is a schematic cross-sectional view showing the structure of a semi-processed product for explaining a micro-trench that occurs when forming a wiring groove in the conventional process of forming a dual damascene structure without a stop film.

【図4】(A)本発明のデュアルダマシン構造体形成プロ
セスにおいて、第1絶縁膜及び層間絶縁膜を形成した半
導体装置半加工品の構成を示す模式的断面図。 (B)本発明のデュアルダマシン構造体形成プロセスにお
いて、第2絶縁膜(SiO膜)を形成した半加工品の構
成を示す模式的断面図。 (C)本発明のデュアルダマシン構造体形成プロセスにお
いて、レジストの塗布/露光工程を説明するために、半
加工品の構成を示す模式的断面図。 (D)本発明のデュアルダマシン構造体形成プロセスにお
いて、一括エッチングによりビアホールを形成した工程
を説明するために、半加工品の構成を示す模式的断面
図。 (E)本発明のデュアルダマシン構造体形成プロセスにお
いて、レジストの塗布/露光工程を説明するために、半
加工品の構成を示す模式的断面図。 (F)本発明のデュアルダマシン構造体形成プロセスにお
いて、第2絶縁膜(SiO膜)をエッチング除去する工
程を説明するために、半加工品の構成を示す模式的断面
図。 (G)本発明のデュアルダマシン構造体形成プロセスにお
いて、有機Low−k膜をエッチング除去する工程を説
明するために、半加工品の構成を示す模式的断面図。 (H)本発明のデュアルダマシン構造体形成プロセスにお
いて、有機Low−k膜をエッチングオーバーしてCu
配線を埋め込んだ工程を説明するために、半加工品の構
成を示す模式的断面図。
FIG. 4A is a schematic cross-sectional view showing the configuration of a semi-finished semiconductor device product in which a first insulating film and an interlayer insulating film are formed in the dual damascene structure forming process of the present invention. (B) A schematic cross-sectional view showing the configuration of a semi-processed product on which a second insulating film (SiO 2 film) is formed in the dual damascene structure forming process of the present invention. (C) In the dual damascene structure forming process of the present invention, a schematic cross-sectional view showing the structure of a semi-processed product for explaining the resist coating / exposure process. (D) In the dual damascene structure forming process of the present invention, a schematic cross-sectional view showing the structure of a semi-processed product for explaining the step of forming via holes by collective etching. (E) In the dual damascene structure forming process of the present invention, a schematic cross-sectional view showing the structure of a semi-processed product for explaining the resist coating / exposure process. (F) In the dual damascene structure forming process of the present invention, a schematic cross-sectional view showing the structure of a semi-processed product for explaining the step of etching away the second insulating film (SiO 2 film). (G) In the dual damascene structure forming process of the present invention, a schematic cross-sectional view showing the structure of a semi-processed product for explaining the step of etching away the organic Low-k film. (H) In the dual damascene structure forming process of the present invention, the organic Low-k film is etched over to form Cu.
FIG. 3 is a schematic cross-sectional view showing the structure of a semi-processed product for explaining the process of embedding wiring.

【図5】有機Low−k膜をエッチングした場合のRF
バイアス電力とエッチング速度(nm/min)との関係
を示すグラフ。
FIG. 5: RF when etching an organic Low-k film
The graph which shows the relationship between bias electric power and etching rate (nm / min).

【図6】プラズマを用いて有機Low−kや膜その他の
膜をエッチングした場合のRFバイアス電力(W)と各膜
のエッチングレート及び選択性との関係を図示すグラ
フ。
FIG. 6 is a graph showing the relationship between the RF bias power (W) and the etching rate and selectivity of each film when an organic Low-k, a film, and other films are etched using plasma.

【符号の説明】[Explanation of symbols]

101 第1層間絶縁膜 102 スト
ップ膜 103 第2層間絶縁膜 104 第2
絶縁膜 105 溝(配線溝) 106 バリ
ア膜 107 Cu配線層 201 有機
Low−k膜 202 酸化シリコン膜 A エッ
チング停止点 301 有機Low−k膜 302 酸化
シリコン膜 303 マイクロトレンチ部分 304 エッ
チング残さ 305 マイクロトレンチがない部分 401 基板 402 第1絶縁膜(シリコン酸化物膜) 403 層間
絶縁膜 404 第2絶縁膜(シリコン酸化物膜) 405 フォ
トレジストパターン 406 ビアホール 407 フォ
トレジスト層 408 配線溝 409 バリ
ア膜 410 Cu配線膜 t402
1絶縁膜の厚さ t403 層間絶縁膜の厚さ
101 first interlayer insulating film 102 stop film 103 second interlayer insulating film 104 second
Insulating film 105 Groove (wiring groove) 106 Barrier film 107 Cu wiring layer 201 Organic Low-k film 202 Silicon oxide film A Etching stop point 301 Organic Low-k film 302 Silicon oxide film 303 Micro trench part 304 Etching residue 305 Micro trench Unexposed portion 401 Substrate 402 First insulating film (silicon oxide film) 403 Interlayer insulating film 404 Second insulating film (silicon oxide film) 405 Photoresist pattern 406 Via hole 407 Photoresist layer 408 Wiring groove 409 Barrier film 410 Cu wiring film t 402 Thickness of first insulating film t 403 Thickness of interlayer insulating film

───────────────────────────────────────────────────── フロントページの続き Fターム(参考) 5F004 AA02 DA03 DA24 DA25 DA26 DB03 DB23 EB02 5F033 HH32 HH33 HH34 JJ32 JJ33 JJ34 KK11 MM02 MM12 MM13 NN06 NN07 PP17 PP27 QQ09 QQ15 QQ25 QQ37 QQ48 RR01 RR04 RR21 RR22 RR26 RR29 SS11 XX27    ─────────────────────────────────────────────────── ─── Continued front page    F-term (reference) 5F004 AA02 DA03 DA24 DA25 DA26                       DB03 DB23 EB02                 5F033 HH32 HH33 HH34 JJ32 JJ33                       JJ34 KK11 MM02 MM12 MM13                       NN06 NN07 PP17 PP27 QQ09                       QQ15 QQ25 QQ37 QQ48 RR01                       RR04 RR21 RR22 RR26 RR29                       SS11 XX27

Claims (8)

【特許請求の範囲】[Claims] 【請求項1】 基板に設けられた配線層上に、第1絶縁
膜として有機ケイ素化合物膜又は多孔質酸化シリコン
膜、及び有機Low−k材料からなる層間絶縁膜を順に
設けてなり、さらに配線溝内にCu配線膜が埋設されて
いることを特徴とするCuデュアルダマシン構造体。
1. An organic silicon compound film or a porous silicon oxide film as a first insulating film, and an interlayer insulating film made of an organic Low-k material are sequentially provided on a wiring layer provided on a substrate, and wiring is further provided. A Cu dual damascene structure characterized in that a Cu wiring film is embedded in the groove.
【請求項2】 請求項1記載のCuデュアルダマシン構
造体を持つ半導体装置。
2. A semiconductor device having the Cu dual damascene structure according to claim 1.
【請求項3】 基板に設けられた配線層上に、第1絶縁
膜、有機Low−k材料からなる層間絶縁膜、及び第2
絶縁膜をこの順に形成する工程、該第2絶縁膜上にフォ
トレジストパターンを形成して、ハロゲン化炭素ガス又
はハロゲン化炭化水素ガスを用いて、基板に設けられた
配線層上面まで一括してエッチング除去してビアホール
を形成する工程、該フォトレジスト層を剥離・除去し、
配線溝の一部を構成する第2絶縁膜上にフォトレジスト
パターンを形成して、ハロゲン化炭素ガス又はハロゲン
化炭化水素ガスを用いて、該層間絶縁膜の上面まで第2
絶縁膜をエッチング除去する工程、このフォトレジスト
層を剥離・除去した後、N、H、NH、O、N
O、NO、CO、若しくはCOガスを主体としたガ
ス、又はこれらの少なくとも2種の混合ガスを用いて、
層間絶縁膜トレンチエッチングを行い、配線溝を形成す
る工程、その後、この配線溝を埋設するようにCu配線
層を形成する工程、かくして得られた積層表面に対して
CMPにより表面平坦化処理を行い、Cu配線膜を形成
する工程を含むことを特徴とするCuデュアルダマシン
構造体の形成方法。
3. A first insulating film, an interlayer insulating film made of an organic Low-k material, and a second insulating film on the wiring layer provided on the substrate.
A step of forming an insulating film in this order, a photoresist pattern is formed on the second insulating film, and a halogenated carbon gas or a halogenated hydrocarbon gas is used to collectively reach the upper surface of the wiring layer provided on the substrate. A step of forming a via hole by etching removal, peeling and removing the photoresist layer,
A photoresist pattern is formed on the second insulating film forming a part of the wiring groove, and a halogen carbon gas or a halogenated hydrocarbon gas is used to form a photoresist pattern up to the upper surface of the interlayer insulating film.
The step of etching away the insulating film, after peeling and removing this photoresist layer, N 2 , H 2 , NH 3 , O 2 , N
2 O, NO, CO, a gas mainly composed of CO 2 gas, or a mixed gas of at least two of these,
A step of performing interlayer insulating film trench etching to form a wiring groove, a step of forming a Cu wiring layer so as to fill the wiring groove, and a surface flattening treatment by CMP to the thus obtained laminated surface. A method of forming a Cu dual damascene structure, including the step of forming a Cu wiring film.
【請求項4】 前記第1絶縁膜及び第2絶縁膜は、酸化
シリコン膜であることを特徴とする請求項3記載のCu
デュアルダマシン構造体の形成方法。
4. The Cu according to claim 3, wherein the first insulating film and the second insulating film are silicon oxide films.
Method of forming a dual damascene structure.
【請求項5】 前記有機Low−k材料は、ポリアリー
ルエーテル主体のもの又はフッ化ポリイミドであること
を特徴とする請求項3又は4記載のCuデュアルダマシ
ン構造体の形成方法。
5. The method for forming a Cu dual damascene structure according to claim 3, wherein the organic Low-k material is mainly composed of polyaryl ether or fluorinated polyimide.
【請求項6】 前記ハロゲン化炭素ガスは、CF、C
、C、C、C、C、臭
化カーボンガス、又はヨウ化フッ化カーボンガスであ
り、前記ハロゲン化炭化水素ガスは、CHF、CH
又はCHCHFであることを特徴とする請求項
3〜5のいずれかに記載のCuデュアルダマシン構造体
の形成方法。
6. The halogenated carbon gas is CF 4 , C
2 F 4 , C 2 F 6 , C 3 F 8 , C 4 F 8 , C 5 F 8 , carbon bromide gas, or fluoroiodinated carbon gas, and the halogenated hydrocarbon gas is CHF 3 , CH 2
Method for forming a Cu dual damascene structure according to any one of claims 3-5, characterized in that the F 2 or CH 3 CHF 2.
【請求項7】基板に設けられた配線層上に、第1絶縁
膜、有機Low−k材料からなる層間絶縁膜、及び第2
絶縁膜をこの順に形成する工程、該第2絶縁膜上にフォ
トレジストパターンを形成して、ハロゲン化炭素ガス又
はハロゲン化炭化水素ガスを用いて、基板に設けられた
配線層上面まで一括してエッチング除去してビアホール
を形成する工程、該フォトレジスト層を剥離・除去し、
配線溝の一部を構成する第2絶縁膜上にフォトレジスト
パターンを形成して、ハロゲン化炭素ガス又はハロゲン
化炭化水素ガスを用いて、該層間絶縁膜の上面まで第2
絶縁膜をエッチング除去する工程、このフォトレジスト
層を剥離・除去した後、N 、H、NH、O、N
、H、NH、O、NO、NO、CO、若しく
はCOのガスを主体としたガス、又はこれらの少なく
とも2種の混合ガスを用いて、層間絶縁膜トレンチエッ
チングを行い、配線溝を形成する工程、その後、この配
線溝を埋設するようにCu配線層を形成する工程、かく
して得られた積層表面に対してCMPにより表面平坦化
処理を行い、Cu配線膜を形成する工程を含むことを特
徴とするCuデュアルダマシン構造体の半導体装置製造
方法。
7. A first insulating layer on a wiring layer provided on a substrate.
A film, an interlayer insulating film made of an organic Low-k material, and a second
The step of forming the insulating film in this order, the step of forming a film on the second insulating film.
A photoresist pattern is formed, and a halogenated carbon gas or
Was provided on the substrate using a halogenated hydrocarbon gas
Via holes are removed by batch etching to the top surface of the wiring layer.
A step of forming, removing and removing the photoresist layer,
Photoresist on the second insulating film forming part of the wiring groove
Form a pattern and use carbon halide gas or halogen
A second layer up to the upper surface of the interlayer insulating film by using a hydrocarbon gas.
Step of etching away the insulating film, this photoresist
After peeling and removing the layer, N Two, HTwo, NHThree, OTwo, N
Two, HTwo, NHThree, OTwo, NTwoO, NO, CO, young
Is COTwoGas mainly of, or less of these
Both of them use a mixed gas of two types to form an interlayer insulating film trench etch.
Process to form wiring trenches, and then
A step of forming a Cu wiring layer so as to bury the wire groove,
Surface flattening by CMP on the laminated surface obtained by
It is characterized by including a step of performing a treatment and forming a Cu wiring film.
Semiconductor device manufacturing of Cu dual damascene structure
Method.
【請求項8】 前記第1絶縁膜及び第2絶縁膜は、酸化
シリコン膜であり、前記有機Low−k材料は、ポリア
リールエーテル主体のもの又はフッ化ポリイミドであ
り、また、前記ハロゲン化炭素ガスは、CF、C
、C、C、C、C、臭化カ
ーボンガス、又はヨウ化フッ化カーボンガスであり、前
記ハロゲン化炭化水素ガスは、CHF、CH
はCHCHFであることを特徴とする請求項7記載
のCuデュアルダマシン構造体の半導体装置製造方法。
8. The first insulating film and the second insulating film are silicon oxide films, the organic Low-k material is mainly a polyaryl ether or fluorinated polyimide, and the halogenated carbon is used. The gas is CF 4 , C 2 F
4 , C 2 F 6 , C 3 F 8 , C 4 F 8 , C 5 F 8 , carbon bromide gas, or fluoroiodinated carbon gas, and the halogenated hydrocarbon gas is CHF 3 , CH 2 The method for manufacturing a semiconductor device having a Cu dual damascene structure according to claim 7, wherein the method is F 2 or CH 3 CHF 2 .
JP2001370753A 2001-12-04 2001-12-04 Dual damascene structure and method for forming the same, and semiconductor device and method for manufacturing the same Expired - Lifetime JP3774399B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2001370753A JP3774399B2 (en) 2001-12-04 2001-12-04 Dual damascene structure and method for forming the same, and semiconductor device and method for manufacturing the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2001370753A JP3774399B2 (en) 2001-12-04 2001-12-04 Dual damascene structure and method for forming the same, and semiconductor device and method for manufacturing the same

Publications (2)

Publication Number Publication Date
JP2003174085A true JP2003174085A (en) 2003-06-20
JP3774399B2 JP3774399B2 (en) 2006-05-10

Family

ID=19179925

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001370753A Expired - Lifetime JP3774399B2 (en) 2001-12-04 2001-12-04 Dual damascene structure and method for forming the same, and semiconductor device and method for manufacturing the same

Country Status (1)

Country Link
JP (1) JP3774399B2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100689825B1 (en) 2005-02-14 2007-03-08 삼성전자주식회사 Methods of forming a semiconductor device using a sacrificial layer
CN101905854A (en) * 2009-06-04 2010-12-08 台湾积体电路制造股份有限公司 Electronic component and its manufacturing method, and an electronic system

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100689825B1 (en) 2005-02-14 2007-03-08 삼성전자주식회사 Methods of forming a semiconductor device using a sacrificial layer
CN101905854A (en) * 2009-06-04 2010-12-08 台湾积体电路制造股份有限公司 Electronic component and its manufacturing method, and an electronic system

Also Published As

Publication number Publication date
JP3774399B2 (en) 2006-05-10

Similar Documents

Publication Publication Date Title
US6372636B1 (en) Composite silicon-metal nitride barrier to prevent formation of metal fluorides in copper damascene
US6940150B2 (en) Semiconductor wafer device having separated conductive patterns in peripheral area and its manufacture method
KR101027172B1 (en) Dry etchback of interconnect contacts
US20070232048A1 (en) Damascene interconnection having a SiCOH low k layer
JP2009135518A (en) Mutual connection manufacturing method
US20030181034A1 (en) Methods for forming vias and trenches with controlled SiC etch rate and selectivity
JP2009111429A (en) Process for manufacturing interconnection
JP2003100724A (en) Aluminium hard mask for dielectric etching
JP2003179136A (en) Mask layer and interconnection structure for manufacturing dual damascene semiconductor
JP2000091422A (en) Manufacture of multilayer wiring structure
US6900123B2 (en) BARC etch comprising a selective etch chemistry and a high polymerizing gas for CD control
JP2004055781A (en) Method for manufacturing semiconductor device
JP4523351B2 (en) Manufacturing method of semiconductor device
US6682999B1 (en) Semiconductor device having multilevel interconnections and method of manufacture thereof
JP2001007202A (en) Manufacture of semiconductor device
US6319844B1 (en) Method of manufacturing semiconductor device with via holes reaching interconnect layers having different top-surface widths
US6465340B1 (en) Via filled dual damascene structure with middle stop layer and method for making the same
JP2006054251A (en) Method for manufacturing semiconductor device
JP2006517059A (en) Metal etching method for interconnect structure and metal interconnect structure obtained by such method
JP3774399B2 (en) Dual damascene structure and method for forming the same, and semiconductor device and method for manufacturing the same
JP2004363447A (en) Semiconductor device and method of manufacturing the same
JP2005005697A (en) Manufacturing method of semiconductor device
JP2004296828A (en) Semiconductor device and its manufacturing method
KR100866122B1 (en) Method for forming metal line using dual damascene process
JP2005203429A (en) Method of manufacturing semiconductor device

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20040507

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20051019

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20051025

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20051226

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20060124

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20060217

R150 Certificate of patent or registration of utility model

Ref document number: 3774399

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090224

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120224

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120224

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150224

Year of fee payment: 9

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term