JP2002313921A - Method for designing wiring layout of semiconductor integrated circuit - Google Patents

Method for designing wiring layout of semiconductor integrated circuit

Info

Publication number
JP2002313921A
JP2002313921A JP2001121044A JP2001121044A JP2002313921A JP 2002313921 A JP2002313921 A JP 2002313921A JP 2001121044 A JP2001121044 A JP 2001121044A JP 2001121044 A JP2001121044 A JP 2001121044A JP 2002313921 A JP2002313921 A JP 2002313921A
Authority
JP
Japan
Prior art keywords
wiring
network
buffer
delay
path
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2001121044A
Other languages
Japanese (ja)
Inventor
Yoshiyuki Kawakami
善之 川上
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Holdings Corp
Original Assignee
Matsushita Electric Industrial Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Matsushita Electric Industrial Co Ltd filed Critical Matsushita Electric Industrial Co Ltd
Priority to JP2001121044A priority Critical patent/JP2002313921A/en
Publication of JP2002313921A publication Critical patent/JP2002313921A/en
Pending legal-status Critical Current

Links

Landscapes

  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

PROBLEM TO BE SOLVED: To solve the problem of a prior art optimization method where a wiring interval is not taken into account at the time of minimizing a wiring delay that sufficient optimization is not ensured. SOLUTION: At first, the enlargement and contraction of the wiring width and wiring interval are defined virtually for an original wiring net at an RC network listing step 1 and all RC networks are listed based on the definition. At an altered RC network listing step 2, the insertion of a buffer and enhancement of the driving capacity of a drive side transistor (enlargement of transistor size) are effected for all RC networks determined previously while taking account of the inclination of an input waveform and an RC network of minimum path delay is determined. Finally, at a minimum delay RC network selecting step 3, an RC network of minimum delay is selected among groups of RC networks thus determined and a corresponding wiring layout is employed.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、半導体集積回路、
特にLSIにおける配線上の電気信号の伝達遅延を最小
化する方法に関するものである。
[0001] The present invention relates to a semiconductor integrated circuit,
In particular, the present invention relates to a method for minimizing a transmission delay of an electric signal on a wiring in an LSI.

【0002】[0002]

【従来の技術】LSI製造技術の急速な進歩に伴い、ト
ランジスタデバイスサイズが縮小し、結果としてLSI
の集積度は飛躍的に上がってきている。1990年頃は
1μm程度のデバイスサイズだったものが、2000年
には0.18μmにまで縮小化してきている。これに加
えて、デバイスのスピードは向上し、長距離インターコ
ネクト配線(相互配線)の遅延がLSI動作を決めるほ
どになっており、LSI設計において重要な位置を占め
るようになってきている。システムによっては、クロッ
クサイクルの50%から70%程度がインターコネクト
配線遅延で占められるとの報告もある。
2. Description of the Related Art With the rapid progress of LSI manufacturing technology, transistor device size has been reduced, and as a result, LSI
Has been dramatically increasing. The device size was about 1 μm in about 1990, but it has been reduced to 0.18 μm in 2000. In addition, the speed of the device has been improved, and the delay of long-distance interconnect wiring (inter-wiring) has become so important as to determine the LSI operation, so that it is occupying an important position in LSI design. Some systems report that interconnect wiring delays account for about 50% to 70% of clock cycles.

【0003】製造テクノロジの進化によって、トランジ
スタ遅延に対する配線遅延の相対的増加に伴い、LSI
設計の方法論に変化が起こってきている。1μm時代ま
では配線遅延を無視したトランジスタ設計中心の考え方
であったが、サブミクロン時代以降、配線遅延について
も考慮する必要が出てきた。更に現在では、インターコ
ネクト配線遅延がLSI自体の性能を左右するほどにな
ってきているため、それを抑える技術が必須となり、研
究が盛んに行われている。
[0003] With the advance of manufacturing technology, the relative increase in wiring delay with respect to transistor delay has led to the development of LSI
Changes are being made in the design methodology. Until the 1 μm era, the concept of transistor design was ignoring wiring delay, but since the submicron era, it has become necessary to consider wiring delay. Further, at present, the interconnect wiring delay has become so important as to affect the performance of the LSI itself. Therefore, a technique for suppressing the delay is indispensable, and research is being actively conducted.

【0004】配線最適化技術を大別すると、(1)配線
トポロジー最適化、(2)駆動能力最適化、(3)配線
間隔拡大、(4)配線幅最適化、(5)バッファ挿入等
がある。簡単に説明すると、以下のとおりである。
[0004] The wiring optimization techniques can be roughly classified into (1) wiring topology optimization, (2) driving capability optimization, (3) wiring interval expansion, (4) wiring width optimization, (5) buffer insertion, and the like. is there. The brief description is as follows.

【0005】(1)あるソース(ドライバ側)から2以
上のファンアウト配線ネットがあったとき、その配線形
状によってシンク(リーフ側)までの到達時間が異な
る。「配線トポロジー最適化」は、その到達時間を最小
化するためのトポロジーを求める技術である。配線経路
を決定するときに用いることが多いので、LSI設計で
は配置後の概略配線経路を決定する工程で主に用いられ
る。
(1) When there are two or more fan-out wiring nets from a certain source (driver side), the arrival time to the sink (leaf side) differs depending on the wiring shape. “Wiring topology optimization” is a technique for finding a topology for minimizing the arrival time. Since it is often used when determining a wiring path, it is mainly used in a process of determining a schematic wiring path after placement in LSI design.

【0006】(2)配線遅延への影響には、容量成分に
よるものと抵抗成分によるものとがある。トランジスタ
の駆動能力を高めると、電気信号の伝達が速くなる。こ
の現象を利用した技術が、「駆動能力最適化技術」であ
る。これは、容量成分が及ぼす遅延への影響を抑える技
術と見ることができる。
(2) The influence on the wiring delay is caused by a capacitance component and a resistance component. When the driving capability of the transistor is increased, transmission of an electric signal is increased. The technology utilizing this phenomenon is the “drive capability optimization technology”. This can be regarded as a technique for suppressing the influence of the capacitance component on the delay.

【0007】(3)(2)とは見方を変えて、容量成分
を下げることによって遅延を抑えることも可能である。
CMOS回路では、配線容量及び入力端子容量に対して
電荷の充放電の繰り返しが行われるが、その容量値が小
さくなると当然遅延は小さくなるので、容量成分を下げ
ることは意味がある。配線容量は大きく分けて、対基板
と対配線間の2つに分けることができるが、配線間隔を
広げると配線間の容量成分を下げることができる。この
技術を利用したのが、「配線間隔の制御技術」である。
ディープサブミクロン時代は全容量成分に対してカップ
リング容量が支配的になるので、この技術は一層効果が
ある。
(3) By changing the viewpoint from (2), the delay can be suppressed by lowering the capacitance component.
In a CMOS circuit, charge and discharge are repeatedly performed with respect to a wiring capacitance and an input terminal capacitance. When the capacitance value is reduced, the delay is naturally reduced. Therefore, it is meaningful to reduce the capacitance component. The wiring capacitance can be roughly divided into two parts, that is, between the substrate and the wiring. However, if the wiring interval is increased, the capacitance component between the wirings can be reduced. This technique is used in "interconnect spacing control technique".
In the deep submicron era, this technology is more effective because the coupling capacitance becomes dominant over the total capacitance component.

【0008】(4)ディープサブミクロン時代の配線
は、微細化に伴って容量成分による遅延への影響より
も、抵抗成分による遅延への影響の方が大きくなる。特
に、長距離配線ではその傾向が顕著になる。「配線幅最
適化技術」とは、配線容量の多少の増加を伴うけれど
も、配線抵抗を抑えることによって遅延を削減する技術
である。
(4) In the wiring in the deep submicron era, the influence of the resistance component on the delay becomes larger than the influence of the capacitance component on the delay with miniaturization. In particular, this tendency becomes remarkable in long-distance wiring. The “wiring width optimization technology” is a technology that involves a slight increase in wiring capacitance but reduces delay by suppressing wiring resistance.

【0009】(5)配線抵抗を抑える技術として、配線
ネット分割によるものがある。これを「バッファ(リピ
ータ)挿入技術」と呼ぶ。ある一定以上の長距離配線で
は、抵抗成分の影響が大きいためにどんなに駆動能力の
高いトランジスタを接続しても遅延は改善しなくなる。
そこで、バッファ挿入技術により、長距離配線を短い配
線の連続に置き換えることで配線抵抗の影響を抑えて、
遅延改善を行う。
(5) As a technique for suppressing the wiring resistance, there is a technique based on wiring net division. This is called "buffer (repeater) insertion technology". In a long-distance wiring of a certain length or more, even if a transistor having a high driving ability is connected, the delay cannot be improved because the influence of the resistance component is large.
Therefore, the effect of wiring resistance is suppressed by replacing long-distance wiring with a series of short wiring by using buffer insertion technology.
Improve delay.

【0010】[0010]

【発明が解決しようとする課題】さて、サブミクロン時
代は、遅延に対する容量成分の影響が大きかったので、
容量成分に着目した上記技術(2)だけで良かった。デ
ィープサブミクロン時代は、容量成分としてカップリン
グ容量が支配的になり、かつ遅延に対する配線抵抗成分
の影響が大きくなるので、上記(1)、(3)〜(5)
の技術が更に必要となってくる。
By the way, in the submicron age, the influence of the capacitance component on the delay was great.
Only the above technique (2) focusing on the capacitance component was sufficient. In the deep submicron era, the coupling capacitance becomes dominant as the capacitance component, and the influence of the wiring resistance component on the delay increases, so the above (1), (3) to (5)
Technology is needed even more.

【0011】近年の研究により、上記遅延最小化技術を
複合的に利用することで最適性を一層高くできる技術
(複合技術)が開発されてきている。例えば、(1)と
(5)を組み合わせて配線トポロジーの作成と同時にバ
ッファ挿入位置を決定する技術、(2)と(4)を組み
合わせて駆動能力と配線幅の最適化を行う技術、(4)
と(5)を組み合わせてバッファ挿入と同時に配線幅を
最適化する技術、(2)と(4)と(5)を組み合わせ
てバッファ挿入と同時に駆動能力と配線幅を最適化する
技術等がある。
Recent researches have developed a technique (composite technique) that can further improve the optimality by using the above-described delay minimizing technique in a combined manner. For example, (1) and (5) are combined to create a wiring topology and at the same time a buffer insertion position is determined. (2) and (4) are combined to optimize driving capability and wiring width. )
And (5) are combined to optimize the wiring width simultaneously with the buffer insertion, and (2), (4) and (5) are combined to optimize the driving capability and the wiring width simultaneously with the buffer insertion. .

【0012】これらの技術を体系的に説明した公知資料
として、Cong, J., L. He, C. K. Koh, and P. Madden,
"Performance Optimization of VLSI Interconnect La
yout" Integration, the VLSI Journal, Vol. 21, 199
6, pp. 1-94.がある。
[0012] Known materials that systematically describe these techniques include Cong, J., L. He, CK Koh, and P. Madden,
"Performance Optimization of VLSI Interconnect La
yout "Integration, the VLSI Journal, Vol. 21, 199
6, pp. 1-94.

【0013】複合技術が開発されてきている背景には、
駆動能力、配線幅、バッファ挿入等、個々の技術だけで
は最適性に限界があることが挙げられる。そのため、2
つ以上の技術を組み合わせることで最適化を高める試み
がなされてきている。しかし、配線間隔の制御技術と他
の技術とを複合的に組み合わせた遅延最小化技術は未だ
存在しない。
The background behind the development of composite technology is
It is pointed out that there is a limit in the optimality only with each technology such as the driving capability, the wiring width, and the buffer insertion. Therefore, 2
Attempts have been made to enhance optimization by combining two or more techniques. However, there is no delay minimizing technique that combines a technique for controlling the interval between wires and another technique.

【0014】本発明の目的は、半導体集積回路の機能ブ
ロック間又は論理セル間の配線遅延を最小化するように
配線レイアウトを設計するための新規な方法を提供する
ことにある。
An object of the present invention is to provide a novel method for designing a wiring layout so as to minimize a wiring delay between functional blocks or between logic cells of a semiconductor integrated circuit.

【0015】[0015]

【課題を解決するための手段】本発明の第1の着目点
は、他の技術に加えて配線間隔も同時に考慮して配線を
最適化することである。例えば、従来なら配線抵抗を下
げるため配線幅を広げるだけであったため、配線容量の
増加を犠牲にした最適化を行っていた。しかし、配線幅
を広げると同時に配線間隔を広げると、配線容量を増や
すことなしに配線最適化を行うことができる。本発明で
は、駆動能力、配線間隔、配線幅及びバッファ挿入とい
う、4つの遅延を抑える技術を同時考慮した最適化を行
うことにより、遅延最小化を実現する。特に、ディープ
サブミクロン時代では配線間のカップリング容量が全容
量の大半を占めるようになるため、配線間隔を広げるこ
とは非常に有益な手段となる。
A first point of focus of the present invention is to optimize the wiring in consideration of the wiring interval in addition to other techniques. For example, in the past, optimization was performed at the expense of an increase in the wiring capacity because only the wiring width was increased to reduce the wiring resistance. However, when the wiring width is increased at the same time as the wiring width, the wiring can be optimized without increasing the wiring capacitance. According to the present invention, delay minimization is realized by performing optimization in consideration of four techniques for suppressing delay, such as drive capability, wiring interval, wiring width, and buffer insertion. In particular, in the deep submicron era, the coupling capacitance between wirings occupies most of the total capacitance, so that widening the wiring interval is a very useful means.

【0016】具体的には、本発明によれば、特定の配線
間隔及び配線幅が定義された配線ネットを、当該配線ネ
ットに対応した抵抗成分と容量成分とで表したRCネッ
トワークに変換し、更に当該配線ネットの配線間隔及び
/又は配線幅を仮想的に変えることによって得られる複
数の配線ネットの各々に対応したRCネットワークを列
挙する第1のステップと、この第1のステップで得られ
たRCネットワークの各々に対して、駆動能力を変えた
複数のバッファを選択し、かつバッファの挿入位置を変
えることでパス遅延を調整したRCネットワークを列挙
する第2のステップと、この第2のステップで得られた
全てのRCネットワークの中から遅延最小のRCネット
ワークを選択し、当該選択したRCネットワークに対応
した配線レイアウトを採択する第3のステップとを備え
た配線レイアウト設計方法を採用する。
Specifically, according to the present invention, a wiring net in which a specific wiring interval and wiring width are defined is converted into an RC network expressed by a resistance component and a capacitance component corresponding to the wiring net, Furthermore, a first step of listing RC networks corresponding to each of a plurality of wiring nets obtained by virtually changing the wiring interval and / or wiring width of the wiring net, and a first step obtained by the first step. A second step of selecting a plurality of buffers having different driving capacities for each of the RC networks and listing RC networks in which the path delay is adjusted by changing the buffer insertion position; and The RC network with the minimum delay is selected from all the RC networks obtained in the above, and the wiring layout corresponding to the selected RC network is selected. The third wiring layout design method comprising a step of adopting the adopted.

【0017】本発明の第2の着目点は、入力信号波形の
傾きを考慮することである。従来の遅延最小化技術で
は、各ゲートの入力信号波形の傾きに対して何ら考慮が
なされていなかった。入力信号波形の傾きを抑えること
は、消費電力削減とトランジスタの信頼性との両面で効
果がある。したがって、遅延最小化の中で入力信号波形
の傾きを考慮することは有益である。
A second point of interest of the present invention is to take into account the slope of the input signal waveform. In the conventional delay minimizing technique, no consideration is given to the slope of the input signal waveform of each gate. Reducing the slope of the input signal waveform is effective in both reducing power consumption and transistor reliability. Therefore, it is useful to consider the slope of the input signal waveform during delay minimization.

【0018】具体的には、遅延調整を行う際に、各RC
ネットワーク中のゲートの入力信号波形の傾きがある制
約値以下に抑えられたときにのみパス遅延の調整が完了
したものとする。
Specifically, when performing the delay adjustment, each RC
It is assumed that the adjustment of the path delay has been completed only when the slope of the input signal waveform of the gate in the network is suppressed below a certain constraint value.

【0019】本発明の第3の着目点は、バッファを挿入
する位置である。従来、バッファを挿入する位置とし
て、配線の分岐位置やある決められた配線長以内といっ
たもので決められていた。それらの方法では、挿入され
るバッファの駆動能力に見合った配線ネットで分割する
ことが困難となり、結果として、余分なバッファを挿入
することが発生する。
The third point of interest in the present invention is the position where the buffer is inserted. Conventionally, a buffer insertion position has been determined based on a branching position of a wiring or within a predetermined wiring length. In these methods, it is difficult to divide the wiring by a wiring net corresponding to the driving capability of the buffer to be inserted, and as a result, an extra buffer is inserted.

【0020】そこで、本発明によれば、最大遅延を有す
るクリティカルパスの遅延を削減する位置にバッファを
挿入することによって、配線ネット全体の最小遅延を実
現するようにする。
Therefore, according to the present invention, a buffer is inserted at a position where the delay of the critical path having the maximum delay is reduced, thereby realizing the minimum delay of the entire wiring net.

【0021】具体的には、RCネットワークの各々に対
して、当該RCネットワーク上の全パス遅延を算出する
遅延算出ステップと、当該RCネットワーク上の最大遅
延を有するクリティカルパスを選択する最大遅延パス選
択ステップと、前記クリティカルパス上に存在するバッ
ファを全て削除するバッファ削除ステップと、前記クリ
ティカルパス上のバッファ挿入位置の候補を列挙するバ
ッファ挿入候補列挙ステップと、前記候補の中から当該
クリティカルパスの遅延を削減できるバッファ挿入位置
を決定するバッファ挿入位置決定ステップと、前記決定
された位置にバッファを配置することにより当該RCネ
ットワークを更新するRCネットワーク更新ステップ
と、前記RCネットワークの更新により当該RCネット
ワーク上の最大遅延を有するクリティカルパスの変動が
生じるかどうかを判定する終了条件判定ステップとを備
えた方法を採用し、前記クリティカルパスの変動が生じ
なくなるまで、前記遅延算出ステップと、前記最大遅延
パス選択ステップと、前記バッファ削除ステップと、前
記バッファ挿入候補列挙ステップと、前記バッファ挿入
位置決定ステップと、前記RCネットワーク更新ステッ
プとを繰り返し実行することとする。
Specifically, for each of the RC networks, a delay calculating step of calculating the total path delay on the RC network, and a maximum delay path selection for selecting a critical path having the maximum delay on the RC network A buffer deletion step of deleting all buffers existing on the critical path; a buffer insertion candidate enumeration step of enumerating buffer insertion position candidates on the critical path; and a delay of the critical path from the candidates. Buffer insertion position determining step of determining a buffer insertion position capable of reducing the above, an RC network updating step of updating the RC network by arranging a buffer at the determined position, and updating the RC network by updating the RC network. Maximum delay of A method comprising an end condition determining step of determining whether or not a change in the critical path has occurred, until the critical path does not change, the delay calculating step, the maximum delay path selecting step, The buffer deletion step, the buffer insertion candidate enumeration step, the buffer insertion position determination step, and the RC network update step are repeatedly executed.

【0022】また、本発明によれば、バッファ挿入位置
の決定にあたり、バッファの駆動能力を最大限に活用す
る指標として、波形の傾きに関する「ゲイン値」を定義
し、それが“1”に近いところで分割することにより、
過多のバッファ挿入を解消する。
Further, according to the present invention, in determining the buffer insertion position, a "gain value" relating to the slope of the waveform is defined as an index for maximizing the driving capability of the buffer, and it is close to "1". By the way, by dividing
Eliminate excessive buffer insertion.

【0023】具体的には、挿入しようとするバッファの
出力端子直後の信号波形の傾きと当該バッファにつなが
る次段ゲート直前の信号波形の傾きとの比、すなわちゲ
イン値がほぼ“1”に等しくなる位置にバッファを挿入
することとする。
Specifically, the ratio of the slope of the signal waveform immediately after the output terminal of the buffer to be inserted to the slope of the signal waveform immediately before the next gate connected to the buffer, that is, the gain value is substantially equal to "1". A buffer is inserted at a certain position.

【0024】[0024]

【発明の実施の形態】以下、本発明の実施形態に係る配
線レイアウト設計方法について、図面を参照しながら説
明する。
DESCRIPTION OF THE PREFERRED EMBODIMENTS Hereinafter, a wiring layout designing method according to an embodiment of the present invention will be described with reference to the drawings.

【0025】図1は、本発明に係る配線レイアウト設計
方法の適用例を概念的に示している。図1において、2
1〜24は初期配線レイアウトにおけるバッファであ
る。また、31は駆動能力を上げたバッファ、41及び
42は挿入されたバッファである。図1によれば、配線
部分について配線幅や配線間隔の変更、バッファ(極性
に注意すればインバータを使うこともできる。)の挿
入、トランジスタ部分について駆動能力の向上(トラン
ジスタサイズの拡大)によって、パス遅延量を削減して
いる。
FIG. 1 conceptually shows an application example of a wiring layout designing method according to the present invention. In FIG. 1, 2
Reference numerals 1 to 24 denote buffers in the initial wiring layout. Reference numeral 31 denotes a buffer whose driving capability has been increased, and reference numerals 41 and 42 denote inserted buffers. According to FIG. 1, by changing the wiring width and the wiring interval for the wiring portion, inserting a buffer (an inverter can be used if the polarity is taken into consideration), and improving the driving capability (enlarging the transistor size) for the transistor portion, The amount of path delay has been reduced.

【0026】図2は、配線パターンの初期レイアウト例
を示しており、1つのバッファ21で3つのバッファ2
2,23,24を駆動するファンアウト=3のネットワ
ークである。図2において、Wは配線幅、Sは配線間
隔、X及びYは配線の分岐点である。
FIG. 2 shows an example of an initial layout of the wiring pattern.
This is a network with fan-out = 3 driving 2, 23 and 24. In FIG. 2, W is a wiring width, S is a wiring interval, and X and Y are branch points of the wiring.

【0027】図3は、図2に対応したRCネットワーク
を示している。図3において、R1〜R7は抵抗、C1
〜C12は容量である。RCネットワークとは、配線レ
イアウト情報をトランジスタと抵抗と容量のネットワー
クで表現したものである。ただし、図3では、バッファ
については便宜上そのまま記している。図3によれば、
バッファと分岐位置との間又は分岐間で、π形又は梯子
形のRC回路で構成している。例えば、駆動側のバッフ
ァ21から次の分岐点Xまでの配線は、これを容量C1
と抵抗R1と容量C2とのπ形回路で表現している。ま
た、分岐点Yからバッファ22までの配線は、これを容
量C5と抵抗R3と容量C6と抵抗R4と容量C7との
梯子形回路で表現している。
FIG. 3 shows an RC network corresponding to FIG. In FIG. 3, R1 to R7 are resistors, C1
C12 is a capacity. The RC network is a representation of wiring layout information in a network of transistors, resistors, and capacitors. However, in FIG. 3, the buffer is shown as it is for convenience. According to FIG.
A π-type or ladder-type RC circuit is configured between the buffer and the branch position or between branches. For example, the wiring from the driving-side buffer 21 to the next branch point X is connected to the capacitor C1.
And a π-type circuit of the resistor R1 and the capacitor C2. The wiring from the branch point Y to the buffer 22 is represented by a ladder-shaped circuit including a capacitor C5, a resistor R3, a capacitor C6, a resistor R4, and a capacitor C7.

【0028】ここで、図4を参照して配線容量について
説明する。図4は、導体間の電気力線を示している。図
4(a)に示すように、基板に対して配線が厚さなしの
単純な並行平板であれば、面積が2倍になると配線容量
は2倍となる。ところが、実際は、図4(b)に示すよ
うに各辺から電気力線が発生するため、単純な計算がで
きない。特に、ディープサブミクロン時代の配線構造で
は縦方向の容量が全体の容量を支配しているので、配線
幅を広げたとしても容量値が大きく増えることは少な
い。したがって、容量シミュレータにより配線容量を正
確に求めることとする。
Here, the wiring capacitance will be described with reference to FIG. FIG. 4 shows lines of electric force between conductors. As shown in FIG. 4A, if the wiring is a simple parallel flat plate having no thickness with respect to the substrate, if the area is doubled, the wiring capacitance is doubled. However, in practice, electric lines of force are generated from each side as shown in FIG. In particular, in the wiring structure in the era of the deep submicron, the capacitance in the vertical direction dominate the entire capacitance, so that even if the wiring width is increased, the capacitance value does not greatly increase. Therefore, the wiring capacitance is accurately obtained by the capacitance simulator.

【0029】図5は、容量シミュレータにより求めた、
配線間隔及び配線幅の変動に対する配線容量の増減を示
している。図5によれば、あるデータに基づいた0.1
8μmCMOSテクノロジでは、配線幅2倍に対して容
量増分は約5%以下であった。また、配線間隔2倍に対
して容量減少分は40%以下であった。
FIG. 5 shows the results obtained by the capacity simulator.
The increase and decrease of the wiring capacitance with respect to the fluctuation of the wiring interval and the wiring width are shown. According to FIG. 5, 0.1 based on certain data
In 8 μm CMOS technology, the capacitance increment was about 5% or less for twice the wiring width. Further, the capacity reduction was 40% or less with respect to doubling the wiring interval.

【0030】図6は図2の配線幅Wを2倍に広げた場合
の配線レイアウトを、図7は図6に対応したRCネット
ワークをそれぞれ示している。配線幅Wを広げると、配
線抵抗値はオームの法則によって半分になる。よって、
配線幅Wを均一に2倍に広げた場合、元のRCネットワ
ークで定義されたR1,R2,...,R7は、R1×
0.5,R2×0.5,...,R7×0.5となる。
一方、図5の結果から、C1,C2,...,C12
は、C1×1.05,C2×1.05,...,C12
×1.05とする。
FIG. 6 shows a wiring layout when the wiring width W of FIG. 2 is doubled, and FIG. 7 shows an RC network corresponding to FIG. When the wiring width W is increased, the wiring resistance value is reduced by half according to Ohm's law. Therefore,
When the wiring width W is evenly doubled, R1, R2,. . . , R7 are R1 ×
0.5, R2 × 0.5,. . . , R7 × 0.5.
On the other hand, from the results of FIG. . . , C12
Are C1 × 1.05, C2 × 1.05,. . . , C12
× 1.05.

【0031】図8は図2の配線間隔Sを2倍に広げた場
合の配線レイアウトを、図9は図8に対応したRCネッ
トワークをそれぞれ示している。図5の結果から、C
1,C2,...,C12は、C1×0.6,C2×
0.6,...,C12×0.6とする。配線抵抗R
1,R2,...,R7については、配線幅Wに変化が
ないので、そのままである。
FIG. 8 shows a wiring layout when the wiring interval S in FIG. 2 is doubled, and FIG. 9 shows an RC network corresponding to FIG. From the results in FIG.
1, C2,. . . , C12 are C1 × 0.6, C2 ×
0.6,. . . , C12 × 0.6. Wiring resistance R
1, R2,. . . , R7 remain unchanged since there is no change in the wiring width W.

【0032】図10は、本発明に係る配線レイアウト設
計方法の手順を示している。本発明の配線レイアウト設
計方法は、大きく3つのステップ1〜3で構成される。
すなわち、RCネットワーク列挙ステップ1、変更RC
ネットワーク列挙ステップ2、遅延最小RCネットワー
ク選択ステップ3である。
FIG. 10 shows a procedure of a wiring layout designing method according to the present invention. The wiring layout design method according to the present invention is roughly composed of three steps 1 to 3.
That is, RC network enumeration step 1, change RC
It is a network enumeration step 2 and a delay minimum RC network selection step 3.

【0033】まず、RCネットワーク列挙ステップ1
で、元の配線ネットをRCネットワークに変換するとと
もに、元の配線ネットに対して配線幅や配線間隔の拡大
と縮小とを仮想的に定義し、それらに基づいたRCネッ
トワークを全て列挙する。例えば、図3に加えて、図
7、図9等々のRCネットワークを列挙する。ここで、
配線幅や配線間隔は任意の種類を設定できるものとす
る。このとき、配線層によって容量の変化は異なってく
る。したがって、容量シミュレータも全ての配線層につ
いて求めておく必要がある。すなわち、そのシミュレー
ション結果と対象のRCネットワークに対するレイアウ
ト上の配線層とを対応させて容量変化を予測した方が、
一層正確となる。しかし、例えば、本発明をレイアウト
が未だ完了していない概略配線時に適用したい場合に
は、容量変化の予測を全配線層の平均値に設定すること
も1つの方法である。
First, RC network enumeration step 1
Then, the original wiring net is converted into the RC network, and the enlargement and reduction of the wiring width and the wiring interval are virtually defined for the original wiring net, and all the RC networks based on them are listed. For example, in addition to FIG. 3, the RC networks of FIGS. here,
It is assumed that any type of wiring width and wiring interval can be set. At this time, the change in capacitance differs depending on the wiring layer. Therefore, it is necessary to obtain a capacitance simulator for all wiring layers. That is, it is better to predict the capacitance change by associating the simulation result with the wiring layer on the layout for the target RC network,
It will be more accurate. However, for example, when it is desired to apply the present invention to a schematic wiring in which the layout has not been completed, one method is to set the prediction of the capacitance change to the average value of all the wiring layers.

【0034】次に、変更RCネットワーク列挙ステップ
2で、先の求めた全てのRCネットワークに対して、バ
ッファの挿入、駆動側の駆動能力の向上(トランジスタ
サイズの拡大)を実施し、各々RCネットワークで最小
のパス遅延のものを求める。詳細は後述する。
Next, in the modified RC network enumeration step 2, buffers are inserted and the driving capability on the driving side is increased (enlarged transistor size) for all the RC networks obtained above, and each RC network is Find the one with the minimum path delay. Details will be described later.

【0035】最後に、遅延最小RCネットワーク選択ス
テップ3で、求められたRCネットワーク群の中から遅
延最小のRCネットワークを選択し、これに対応した配
線レイアウトを採択する。
Finally, in a minimum delay RC network selection step 3, an RC network having a minimum delay is selected from the obtained RC network group, and a wiring layout corresponding to this is adopted.

【0036】以上のように図10の方法によれば、トラ
ンジスタの駆動能力、配線間隔、配線幅、バッファ挿入
を同時に考慮して配線遅延を最小化するため、配線間隔
について考慮しない従来法に比べて最適性が高い配線レ
イアウト結果を得ることができる。
As described above, according to the method of FIG. 10, since the wiring delay is minimized by simultaneously considering the driving capability of the transistor, the wiring interval, the wiring width, and the buffer insertion, the method of FIG. As a result, a wiring layout result with high optimality can be obtained.

【0037】さて、変更RCネットワーク列挙ステップ
2は、図10に示すとおり大きく7つのステップ2a〜
2gを備えている。すなわち、遅延算出ステップ2a、
最大遅延パス選択ステップ2b、バッファ削除ステップ
2c、バッファ挿入候補列挙ステップ2d、バッファ挿
入位置決定ステップ2e、RCネットワーク更新ステッ
プ2f、終了条件判定ステップ2gである。図11〜図
13は、この変更RCネットワーク列挙ステップ2の詳
細手順を示している。
Now, the modified RC network enumeration step 2 is roughly divided into seven steps 2a to 2a as shown in FIG.
2 g. That is, the delay calculation step 2a,
A maximum delay path selection step 2b, a buffer deletion step 2c, a buffer insertion candidate enumeration step 2d, a buffer insertion position determination step 2e, an RC network update step 2f, and an end condition determination step 2g. 11 to 13 show the detailed procedure of the step 2 for listing the changed RC network.

【0038】図11(a)は、RCネットワーク列挙ス
テップ1で求めたRCネットワーク群のうちの1つを表
している。一般性を失わないで分かりやすくするため
に、ネットワークには駆動側にバッファ21、被駆動側
にバッファ22,23,24が接続され、配線は単一幅
とする。なお、RC回路図ではなくレイアウト図を用い
て説明する。
FIG. 11 (a) shows one of the RC network groups obtained in the RC network enumeration step 1. In order to make it easy to understand without losing generality, a buffer 21 is connected to the driving side and buffers 22, 23, and 24 are connected to the driven side, and the wiring has a single width. The description will be made using a layout diagram instead of an RC circuit diagram.

【0039】まず、遅延算出ステップ2aでRCネット
ワーク上の全パス遅延を計算する。すなわち、バッファ
21−バッファ22間のパス、バッファ21−バッファ
23間のパス、バッファ21−バッファ24間のパスに
ついて、各々遅延時間tp1,tp2,tp3を算出す
る。ここで処理の過程において使われる遅延計算方法に
は制限がない。すなわち、代表的な手法として、例え
ば、高速であるが精度が劣るElmore法(Journal
of Applied Physics, 1948, pp.55-63)を用いてもよい
し、やや高速性に欠けるが精度が高い高次のAWE法
(IEEE Trans. Computer-Aided Design, 1990, pp.352-
366)を用いてもよい。高速性にやや劣るが、高次のA
WE法を用いることにより遅延計算精度を向上させれ
ば、結果として最適性を高くすることができる。
First, in a delay calculating step 2a, the total path delay on the RC network is calculated. That is, the delay times tp1, tp2, and tp3 are calculated for the path between the buffers 21 and 22, the path between the buffers 21 and 23, and the path between the buffers 21 and 24, respectively. Here, there is no limitation on the delay calculation method used in the process. That is, as a representative method, for example, the Elmore method (Journal
of Applied Physics, 1948, pp. 55-63) or a higher-order AWE method (IEEE Trans. Computer-Aided Design, 1990, pp. 352-
366) may be used. Higher order A
If the delay calculation accuracy is improved by using the WE method, the optimality can be improved as a result.

【0040】そして、最大遅延パス選択ステップ2b
で、それらのパスのうち最大遅延のパスを選択する。こ
の最大遅延パスは、当該RCネットワークにおけるクリ
ティカルパスと呼ばれる。クリティカルパスの遅延を小
さくすることが、このRCネットワークの遅延を小さく
することになるので、以下の処理で、バッファを挿入す
ることによってそれを実現する。
Then, the maximum delay path selection step 2b
Then, the path with the maximum delay is selected from those paths. This maximum delay path is called a critical path in the RC network. Since reducing the delay of the critical path reduces the delay of the RC network, it is realized by inserting a buffer in the following processing.

【0041】次に、バッファ削除ステップ2cでこのク
リティカルパス上に存在するバッファを全て削除する。
この例で今の段階では初期状態なので、クリティカルパ
ス上にバッファは存在しない。したがって、ここでは何
も起こらない。
Next, in a buffer deletion step 2c, all buffers existing on this critical path are deleted.
In this example, there is no buffer on the critical path since it is in the initial state at this stage. Therefore, nothing happens here.

【0042】次のバッファ挿入候補列挙ステップ2d
で、クリティカルパス上のバッファ挿入位置の候補を列
挙する。ここでは、挿入候補だけを求める。この挿入位
置の決め方の詳細は後述する。ここでは仮に、図11
(b)のように点Pと点Qとの2点が列挙されたものと
する。
Next buffer insertion candidate enumeration step 2d
Enumerate buffer insertion position candidates on the critical path. Here, only insertion candidates are obtained. Details of how to determine the insertion position will be described later. Here, assuming that FIG.
It is assumed that two points P and Q are listed as shown in FIG.

【0043】次のバッファ挿入位置決定ステップ2eで
は、点Pと点Qとに実際にバッファが挿入されたものと
仮定して、クリティカルパスの遅延を求める。ここで注
意しなければならないことは、バッファ挿入の組み合わ
せが複数存在することである。すなわち、挿入パターン
は、点Pと点Q両方とも挿入、点Pだけ挿入、点Qだけ
挿入の3つある。全ての組み合わせについて、クリティ
カルパスの遅延を求め、その中で遅延最小になるものを
選べば、最適な挿入パターンになる。しかし、この場
合、2点だけなので挿入パターンは3個となったが、3
点なら6個、4点なら14個と指数関数的に増加する。
そうなると遅延計算時間が膨大にかかることになる。本
発明ではその方法自体特定しないが、ヒューリスティッ
クな方法がよい。例えば、点Pにバッファを挿入したと
仮定してクリティカルパスの遅延を算出する。もし挿入
した方が遅延を削減できたなら点Pにバッファを挿入す
ることにし、そうでなければ挿入しないとする。そし
て、次に点Qにバッファを挿入したと仮定して、クリテ
ィカルパスの遅延を算出する。もし挿入した方が遅延を
削減できたなら、点Qにバッファを挿入することにし、
そうでなければ挿入しないとする。このようにすると、
必ずクリティカルパスの遅延を削減するバッファ挿入を
実現することができる。このように一例を示したが、い
かなるヒューリスティックな方法でも適用可能である。
本実施形態では点Pが選択されたものとする。
In the next buffer insertion position determination step 2e, the delay of the critical path is determined assuming that the buffer has actually been inserted at the points P and Q. It should be noted here that there are a plurality of combinations of buffer insertion. That is, there are three insertion patterns: insertion of both points P and Q, insertion of only point P, and insertion of only point Q. For all combinations, the delay of the critical path is determined, and the one that minimizes the delay is selected to obtain an optimal insertion pattern. However, in this case, there are only three insertion patterns because there are only two points.
The number increases exponentially to 6 for points and 14 for 4 points.
In that case, the delay calculation time will be enormous. In the present invention, the method itself is not specified, but a heuristic method is preferable. For example, assuming that a buffer is inserted at point P, the delay of the critical path is calculated. If the insertion can reduce the delay, the buffer is inserted at the point P, otherwise, the buffer is not inserted. Then, assuming that a buffer is inserted at the point Q, the delay of the critical path is calculated. If the insertion reduced the delay, we would insert a buffer at point Q,
Otherwise, do not insert. This way,
Buffer insertion that always reduces the delay of the critical path can be realized. Although an example has been described above, any heuristic method can be applied.
In the present embodiment, it is assumed that point P has been selected.

【0044】続いて、RCネットワーク更新ステップ2
fで、図11(c)のように、決定した挿入位置Pにバ
ッファ40を配置してRCネットワークを更新する。た
だし、ここで得られたRCネットワークは仮の解である
ことに注意しなければならない。なぜなら、これら一連
のステップによって得られたバッファ挿入位置は始めに
決めたクリティカルパスの遅延を削減するために最適化
した結果であって、それ以外のパスがこのバッファ挿入
によってどのように変化したかを評価できていない。す
なわち、もしかするとこのバッファ挿入によってクリテ
ィカルパスが変動する可能性がある。したがって、得ら
れたRCネットワークについて、終了条件判定ステップ
2gから遅延算出ステップ2aへ戻って、当該ステップ
2a以下を再度実行して更に遅延削減を試みる必要があ
る。
Subsequently, RC network update step 2
At f, as shown in FIG. 11C, the buffer 40 is arranged at the determined insertion position P to update the RC network. However, it must be noted that the RC network obtained here is a temporary solution. Because the buffer insertion position obtained by these series of steps is the result of optimization to reduce the delay of the initially determined critical path, and how the other paths changed by this buffer insertion Could not be evaluated. That is, there is a possibility that the critical path fluctuates due to the buffer insertion. Therefore, for the obtained RC network, it is necessary to return from the termination condition determination step 2g to the delay calculation step 2a and execute the steps 2a and subsequent steps again to further try to reduce the delay.

【0045】本発明では、更に終了条件判定ステップ2
gにおいて、バッファ挿入後の当該バッファにつながる
次段のセルの入力波形の傾き、すなわちスリュー(sle
w)を評価し、その値が予め決められた制約値を超えて
いるかどうか判定する。もし制約値を超えている場合は
そのバッファ挿入は実行しないで別のパターンを探すこ
とになる。ここで、入力波形の傾きを評価する理由は、
波形の傾きが緩い場合はトランジスタの動作している時
間が長くなり、トランジスタの信頼性という観点で問題
があるため、なるべく傾斜を立てるように制約値を設定
し、それ以内に抑えたいという意図がある。これは、L
SI全体の信頼性につながることなので、LSI設計時
にケアすることは重要なことである。本発明では、この
判定基準を有するといった特徴をもち、より質の高いR
Cネットワークを生成することができる。説明を簡単に
するために、以下では波形の傾きについて特に触れない
ことにする。しかし、判定基準に含まれていることに注
意する。そして、本実施形態では、遅延算出ステップ2
a及び最大遅延パス選択ステップ2bを再度実施して上
記バッファ挿入によってクリティカルパスが別のところ
に変動したことが判明したものとし、それを図12
(a)に示す。
In the present invention, the termination condition determination step 2 is further performed.
g, the gradient of the input waveform of the next cell connected to the buffer after the buffer is inserted, that is, slew (sle)
w) is evaluated to determine whether the value exceeds a predetermined constraint value. If the value exceeds the limit value, the buffer insertion is not performed and another pattern is searched. Here, the reason for evaluating the slope of the input waveform is
If the slope of the waveform is gentle, the operating time of the transistor will be longer, and there will be a problem in terms of transistor reliability. is there. This is L
Since it leads to the reliability of the entire SI, it is important to take care when designing the LSI. The present invention has the feature of having this determination criterion, and has a higher quality R
A C network can be created. For the sake of simplicity, the slope of the waveform will not be particularly described below. Note, however, that it is included in the criteria. In the present embodiment, the delay calculation step 2
a and the maximum delay path selection step 2b is performed again, and it is determined that the critical path has changed to another place due to the buffer insertion.
(A).

【0046】再びバッファ削除ステップ2cを実行する
が、ここでもクリティカルパス上にバッファが存在しな
いので何もしない。次のバッファ挿入候補列挙ステップ
2dで挿入位置の候補を列挙する。ここでは点Rと点S
とが列挙されたものとする(図12(b))。更に、前
述した同じヒューリスティックアルゴリズムでバッファ
挿入位置決定ステップ2eを実行し、RCネットワーク
更新ステップ2fによって点Rにバッファ41を挿入し
たものとする(図12(c))。そして、RCネットワ
ーク更新ステップ2fで、改めてRCネットワークを更
新する。再び、遅延算出ステップ2a及び最大遅延パス
選択ステップ2bを実行してクリティカルパスの遅延を
評価する。もしクリティカルパスの遅延が減少し、かつ
パスが変更になったら、バッファ削除ステップ2c以下
を再び実行する。ここでは、図13(a)のようにクリ
ティカルパスが変更になったものとする。
The buffer deletion step 2c is executed again, but nothing is performed here, since there is no buffer on the critical path. In the next buffer insertion candidate list step 2d, candidates for insertion positions are listed. Here, point R and point S
Are enumerated (FIG. 12B). Further, it is assumed that the buffer insertion position determination step 2e is executed by the same heuristic algorithm described above, and the buffer 41 is inserted at the point R by the RC network update step 2f (FIG. 12C). Then, in the RC network update step 2f, the RC network is updated again. Again, the delay calculation step 2a and the maximum delay path selection step 2b are executed to evaluate the critical path delay. If the delay of the critical path is reduced and the path is changed, the steps after the buffer deletion step 2c are executed again. Here, it is assumed that the critical path has been changed as shown in FIG.

【0047】次に、バッファ削除ステップ2cが適用さ
れる。今回はクリティカルパス上にバッファ40が存在
するので、これを削除する。具体的には、点Pに挿入さ
れたバッファ40が削除される(図13(b))。続い
て、バッファ挿入候補列挙ステップ2dを実施し、挿入
位置候補として点Tと点Uとが列挙される(図13
(c))。ここで注意しなければならないことは、点T
及び点Uが点P及び点Qと異なることである。その理由
は後述するが、簡単にいうと、点Rに挿入されたバッフ
ァ41が影響して最適位置が変動するからである。
Next, a buffer deletion step 2c is applied. This time, since the buffer 40 exists on the critical path, this is deleted. Specifically, the buffer 40 inserted at the point P is deleted (FIG. 13B). Subsequently, a buffer insertion candidate enumeration step 2d is performed, and points T and U are enumerated as insertion position candidates (FIG. 13).
(C)). Note that the point T
And point U is different from point P and point Q. The reason for this will be described later, but simply speaking, the optimum position fluctuates due to the influence of the buffer 41 inserted at the point R.

【0048】次に、バッファ挿入位置決定ステップ2e
によって点Uが選ばれ、そこにバッファ42が挿入され
る(図13(d))。再び、RCネットワーク更新ステ
ップ2fでRCネットワークを更新する。次に、遅延算
出ステップ2a及び最大遅延パス選択ステップ2bを実
行してクリティカルパスの遅延を評価することになる
が、本実施形態では、遅延が減少しないものとする。す
なわち、これが最適解となる(図13(e))。
Next, buffer insertion position determination step 2e
Selects the point U, and the buffer 42 is inserted therein (FIG. 13D). Again, the RC network is updated in the RC network update step 2f. Next, the delay calculation step 2a and the maximum delay path selection step 2b are executed to evaluate the delay of the critical path. In the present embodiment, it is assumed that the delay does not decrease. That is, this is the optimal solution (FIG. 13E).

【0049】図13(a)と図13(e)とを比較する
と、同じバッファ挿入数であるにもかかわらず、図13
(e)の方が遅延は削減されている。本発明によれば、
常に1つのクリティカルパスにのみ着目してそのパスの
遅延を削減するようにバッファを挿入するけれども、バ
ッファの挿入位置が動的に変化するため、より最適な解
を得る機会を持てることを意味する。
FIG. 13A and FIG. 13E show that, although the number of inserted buffers is the same, FIG.
In (e), the delay is reduced. According to the present invention,
Although the buffer is inserted so as to reduce the delay of the path by always focusing on only one critical path, the buffer insertion position changes dynamically, which means that there is an opportunity to obtain a more optimal solution. .

【0050】なお、本実施形態ではバッファを用いて遅
延の削減を行ったが、論理の極性を考慮すればインバー
タを用いても同様なことが容易に実現できる。また、本
実施形態では、RCネットワークにおけるクリティカル
パスの遅延を最小化するようにしたが、パスの遅延余裕
度(スラック値)を指標として最適化することも可能で
ある。例えば、バッファ21に到達する時刻を0として
各バッファ22,23,24に到達しなければならない
要求時刻を各々t1、t2、t3とする。スラック値を
「要求時刻−パスの遅延時間」と定義して、t1−tp
1、t2−tp2、t3−tp3を求める。これらの値
が全て正の値になったとき、要求時刻以内を満たすこと
ができる。このような評価でRCネットワークの最適化
を行うことも可能である。
In this embodiment, the delay is reduced by using the buffer. However, the same can be easily realized by using an inverter in consideration of the polarity of the logic. Further, in the present embodiment, the delay of the critical path in the RC network is minimized, but it is also possible to optimize using the delay margin (slack value) of the path as an index. For example, assume that the time of arrival at the buffer 21 is 0, and the request times at which the buffers 22, 23, and 24 must arrive are t1, t2, and t3. The slack value is defined as “request time−path delay time”, and t1−tp
1, t2-tp2, t3-tp3 are determined. When all of these values become positive values, it can be satisfied within the required time. It is also possible to optimize the RC network by such evaluation.

【0051】また、上記説明では挿入するバッファの種
類について言及しなかったが、駆動能力を変えた複数の
バッファを用意する。一層適したバッファの種類を選択
することにより、遅延は更に少なくできる。そして、駆
動側のトランジスタのサイズ(駆動能力)を変更するこ
とも容易である。すなわち、最終的に得られたRCネッ
トワークに対して、駆動能力の変更(大きくする)を施
すことにより、遅延を更に少なくすることも可能であ
る。また、小さくすることにより過大なトランジスタサ
イズを抑制することもできる。
Although the type of buffer to be inserted is not described in the above description, a plurality of buffers with different driving capacities are prepared. By selecting a more suitable buffer type, the delay can be further reduced. Then, it is easy to change the size (driving capability) of the transistor on the driving side. That is, it is possible to further reduce the delay by changing (enlarging) the driving capability of the finally obtained RC network. In addition, an excessively large transistor size can be suppressed by reducing the size.

【0052】ここで、バッファ挿入候補列挙ステップ2
dの説明のために信号波形の傾きを定義しておく。図1
4に示したように、ある電源電圧Vddに対して非線形
の信号波形(時間tに対する電圧vの関数)があったと
き、そのVdd×20%電圧、Vdd×80%電圧を結
ぶ直線を引き、直線v=0に交わるときの時刻と、直線
v=Vddに交わるときの時刻との時間差を波形の傾き
Tsと定義する。
Here, buffer insertion candidate enumeration step 2
For the explanation of d, the slope of the signal waveform is defined. FIG.
As shown in FIG. 4, when there is a non-linear signal waveform (function of voltage v with respect to time t) for a certain power supply voltage Vdd, a straight line connecting the Vdd × 20% voltage and the Vdd × 80% voltage is drawn. The time difference between the time when the straight line v = 0 and the time when the straight line v = Vdd is defined as the slope Ts of the waveform.

【0053】図15は、バッファ挿入候補列挙ステップ
2dにおける位置決めの考え方を示している。図15
(a)に示すとおり、ある配線の両端に各々1個のバッ
ファがあるものとする。その駆動側バッファの出力信号
波形の傾きをTs(out)、被駆動側バッファの入力信号波
形の傾きをTs(in)とする。また、波形の傾きに関する
ゲイン値Gslewを定義する。ここでは簡単に、 Gslew=Ts(in)/Ts(out) で表す。
FIG. 15 shows the concept of positioning in the buffer insertion candidate enumeration step 2d. FIG.
As shown in (a), it is assumed that there is one buffer at each end of a certain wiring. The slope of the output signal waveform of the driving buffer is Ts (out), and the slope of the input signal waveform of the driven buffer is Ts (in). Further, a gain value Gslew relating to the slope of the waveform is defined. Here, it is simply expressed as Gslew = Ts (in) / Ts (out).

【0054】図16は、あるプロセステクノロジにおい
て配線長を変化させたときの、配線遅延並びに信号スリ
ュー(slew)値[Ts(in)及びTs(out)]の増減を示し
ている。図16のグラフを見ると、2mm配線程度まで
はTs(in)とTs(out)とがほぼ同じ値を示し、それより
長くなるとTs(in)とTs(out)との差が大きくなる。Ts
(out)の増加は徐々であるが鈍化していくのに対して、
Ts(in)の増加は加速されている。一方配線遅延は、最
初はほぼ線形で増加してきているが、徐々にそれが非線
形化してくる。つまり、配線が長くなるほど、Ts(in)
とTs(out)との差が大きくなり、配線遅延も僅かである
が増加が加速するといえる。Ts(in)とTs(out)との差
が大きくなるのは、配線抵抗が原因で、抵抗によって電
流が流れにくくなるシールディング効果(resistance s
hielding)によるものである。よって、配線遅延も徐々
に加速的増加を示す。この効果は配線抵抗による電気信
号のロスと解釈することもできる。そこで、本発明では
この効果が起きない領域でバッファを挿入することを考
える。そのことは、配線抵抗を考えないでバッファの駆
動能力の大きさだけで配線遅延をコントロールできる領
域ということもできる。
FIG. 16 shows the increase and decrease of the wiring delay and the signal slew values [Ts (in) and Ts (out)] when the wiring length is changed in a certain process technology. Referring to the graph of FIG. 16, Ts (in) and Ts (out) show almost the same value up to about 2 mm wiring, and when it is longer than that, the difference between Ts (in) and Ts (out) increases. Ts
(out) increases gradually but slows down,
The increase in Ts (in) is accelerating. On the other hand, the wiring delay initially increases almost linearly, but gradually becomes nonlinear. In other words, the longer the wiring, the more Ts (in)
And Ts (out) becomes large, and although the wiring delay is small, it can be said that the increase is accelerated. The difference between Ts (in) and Ts (out) becomes large because of the wiring resistance, which causes the current to flow less easily due to the resistance (resistance s).
hielding). Therefore, the wiring delay also gradually increases. This effect can be interpreted as a loss of the electric signal due to the wiring resistance. Therefore, the present invention considers inserting a buffer in an area where this effect does not occur. That is, it can be said that the wiring delay can be controlled only by the driving capability of the buffer without considering the wiring resistance.

【0055】図15(a)に示したように、もしGslew
>>1ならば、配線抵抗の影響を大きく受ける可能性が
ある。図16のグラフから見るとゲイン値Gslewがほぼ
“1”に等しいならば、配線抵抗の影響が非常に少ない
ことがわかる(Ts(in)とTs(out)との差が小さいか
ら)。したがって、バッファを挿入する際にゲイン値G
slewを計算し、図15(b)に示したように、ゲイン値
Gslewが“1”に近くなる位置にバッファを挿入するこ
ととする。
As shown in FIG. 15A, if Gslew
If >> 1, there is a possibility that wiring resistance is greatly affected. It can be seen from the graph of FIG. 16 that if the gain value Gslew is substantially equal to "1", the effect of the wiring resistance is very small (because the difference between Ts (in) and Ts (out) is small). Therefore, when inserting the buffer, the gain value G
The slew is calculated, and a buffer is inserted at a position where the gain value Gslew approaches “1” as shown in FIG.

【0056】具体的には、バッファを挿入する度に波形
の傾きを計算することになると、計算時間が多くかか
る。特に、バッファ挿入候補列挙ステップ2dでは、複
数のバッファ挿入位置を求めるので組み合わせが多くな
り、処理時間は膨大になる。そこで、本発明ではそれを
避けるために、次のような配線容量に着目した簡易的な
方法を採る。
Specifically, it takes a long time to calculate the slope of the waveform every time a buffer is inserted. Particularly, in the buffer insertion candidate enumeration step 2d, since a plurality of buffer insertion positions are obtained, the number of combinations increases, and the processing time becomes enormous. Therefore, in the present invention, in order to avoid this, a simple method focusing on the following wiring capacitance is adopted.

【0057】まず、ゲイン値Gslewがほぼ“1”になる
ときのパターンを予め調べておく。例えば、図15
(a)のようにファンアウト=1の配線があったとき
は、ゲイン値Gslewがほぼ“1”になる配線長を求めて
おく。配線長を求めることができれば、それに対応した
配線容量は容易に概算値を求めることができる。この値
を使って、任意の配線内パスに対して、配線容量値単位
でネットを切断するように、バッファ挿入位置の候補を
列挙する。これなら配線内パスを被駆動側セルから遡り
ながら計算するだけで位置を求めることができ、処理も
高速である。なお、ゲイン値Gslewは厳密に“1”に拘
る必要はない。図16のようにゲイン値Gslewが“1”
より少し大きい値でも配線抵抗の効果は少なく、“1”
に近い値であればよい。
First, a pattern when the gain value Gslew becomes substantially "1" is checked in advance. For example, FIG.
When there is a wiring with a fan-out = 1 as shown in (a), the wiring length at which the gain value Gslew becomes substantially “1” is obtained. If the wiring length can be obtained, the approximate value of the wiring capacitance corresponding thereto can be easily obtained. By using this value, candidates for buffer insertion positions are enumerated so as to cut the net in an arbitrary wiring path for each wiring capacitance value. In this case, the position can be obtained only by calculating the path in the wiring while going back from the driven-side cell, and the processing is fast. The gain value Gslew does not need to be strictly set to “1”. As shown in FIG. 16, the gain value Gslew is “1”.
Even if the value is slightly larger, the effect of the wiring resistance is small and “1”
Any value close to is acceptable.

【0058】また、配線抵抗に着目する方法もある。す
なわち、配線容量に対して配線抵抗を求めておいて、そ
れを基準にネットを切断する。しかし、この方法はファ
ンアウト=1ならうまく適用できるが、ファンアウト=
2以上の場合うまくいかない。なぜなら、配線に分岐が
あると、パスにおける配線抵抗の定義が非常に難しいか
らである。なお、ここで示した方法は一例に過ぎない。
ゲイン値Gslewを“1”近くにできる任意のヒューリス
ティックな手法が適用可能である。
There is also a method that focuses on the wiring resistance. That is, the wiring resistance is obtained for the wiring capacitance, and the net is cut based on the obtained wiring resistance. However, this method works well if fanout = 1, but fanout =
If it is more than 2, it will not work. This is because if there is a branch in the wiring, it is very difficult to define the wiring resistance in the path. Note that the method described here is only an example.
Any heuristic method that can make the gain value Gslew close to “1” can be applied.

【0059】[0059]

【発明の効果】以上のように本発明によれば、RCネッ
トワーク列挙ステップによって、配線間隔、配線幅を変
更したRCネットワークを考慮でき、更に各々のRCネ
ットワークについて、変更RCネットワーク列挙ステッ
プによって、最適なバッファ挿入とトランジスタサイズ
の変更が可能となるため、結果として、駆動能力、配線
間隔、配線幅、バッファ挿入を同時に考慮した遅延最小
化が実現できる。よって、配線間隔について考慮しない
従来法に比べて最適性が一層高い配線レイアウト結果を
得ることができる。また、最適化の過程で入力端子側の
波形の傾きに制約をつけた終了条件を設定することで、
トランジスタの信頼性を確保しつつ、遅延最小化を行う
ことができる二次的な効果も得ることができる。
As described above, according to the present invention, the RC network in which the wiring interval and the wiring width are changed can be taken into account by the RC network enumeration step, and each RC network can be optimized by the changed RC network enumeration step. As a result, it is possible to insert the buffer and change the transistor size, and as a result, it is possible to minimize the delay while simultaneously considering the driving ability, the wiring interval, the wiring width, and the buffer insertion. Therefore, it is possible to obtain a wiring layout result having higher optimumness as compared with the conventional method in which the wiring interval is not considered. In addition, by setting an end condition that restricts the slope of the waveform on the input terminal side during the optimization process,
The secondary effect that the delay can be minimized while ensuring the reliability of the transistor can also be obtained.

【0060】また、変更RCネットワーク列挙ステップ
では、バッファの挿入位置を動的に変化させながらクリ
ティカルパスの遅延を削減するので、遅延を一層削減す
ることができる。また、この処理の過程において使われ
る遅延計算方法に制限がない。
In the step of listing the changed RC networks, the delay of the critical path is reduced while dynamically changing the insertion position of the buffer, so that the delay can be further reduced. Further, there is no limitation on the delay calculation method used in the process of this processing.

【0061】更に、変更RCネットワーク列挙ステップ
中のバッファ挿入候補列挙ステップでは、配線抵抗の影
響を受けにくい間隔でバッファ挿入位置の候補を列挙す
るので、バッファの駆動能力を最大限に使ったバッファ
挿入が可能となる。これにより、効率的な駆動能力利用
ができるため、バッファ挿入数の抑制効果も得ることが
できる。
Further, in the buffer insertion candidate enumeration step in the changed RC network enumeration step, the buffer insertion position candidates are enumerated at intervals that are not easily affected by the wiring resistance. Becomes possible. As a result, since the driving capacity can be used efficiently, the effect of suppressing the number of inserted buffers can be obtained.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明に係る配線レイアウト設計方法の適用例
を示す概念図である。
FIG. 1 is a conceptual diagram showing an application example of a wiring layout design method according to the present invention.

【図2】配線パターンの初期レイアウト例を示す概念図
である。
FIG. 2 is a conceptual diagram showing an example of an initial layout of a wiring pattern.

【図3】図2に対応したRCネットワークを示す回路図
である。
FIG. 3 is a circuit diagram showing an RC network corresponding to FIG. 2;

【図4】(a)及び(b)は、配線容量の説明のための
導体間の電気力線を示す概念図である。
FIGS. 4A and 4B are conceptual diagrams showing lines of electric force between conductors for explaining wiring capacitance; FIGS.

【図5】容量シミュレータにより求めた、配線間隔及び
配線幅の変動に対する配線容量の増減を示すグラフであ
る。
FIG. 5 is a graph showing an increase / decrease of a wiring capacity with respect to a change in a wiring interval and a wiring width obtained by a capacitance simulator.

【図6】図2の配線幅を2倍に広げた場合の配線レイア
ウトを示す概念図である。
FIG. 6 is a conceptual diagram showing a wiring layout when the wiring width of FIG. 2 is doubled.

【図7】図6に対応したRCネットワークを示す回路図
である。
FIG. 7 is a circuit diagram showing an RC network corresponding to FIG. 6;

【図8】図2の配線間隔を2倍に広げた場合の配線レイ
アウトを示す概念図である。
FIG. 8 is a conceptual diagram showing a wiring layout when the wiring interval in FIG. 2 is doubled.

【図9】図8に対応したRCネットワークを示す回路図
である。
FIG. 9 is a circuit diagram showing an RC network corresponding to FIG. 8;

【図10】本発明に係る配線レイアウト設計方法の手順
を示すフローチャート図である。
FIG. 10 is a flowchart showing a procedure of a wiring layout designing method according to the present invention.

【図11】(a)〜(c)は、図10中の変更RCネッ
トワーク列挙ステップの詳細手順を示す説明図である。
11 (a) to 11 (c) are explanatory diagrams showing detailed procedures of a step of listing changed RC networks in FIG. 10;

【図12】(a)〜(c)は、図11(c)に続く説明
図である。
12 (a) to 12 (c) are explanatory diagrams following FIG. 11 (c).

【図13】(a)〜(e)は、図12(c)に続く説明
図である。
13 (a) to 13 (e) are explanatory diagrams following FIG. 12 (c).

【図14】信号波形の傾きの定義に関する説明図であ
る。
FIG. 14 is an explanatory diagram regarding the definition of the slope of a signal waveform.

【図15】(a)及び(b)は、バッファ挿入位置に関
する説明図である。
FIGS. 15A and 15B are explanatory diagrams regarding a buffer insertion position.

【図16】配線長の変動に対する配線遅延及び信号スリ
ュー値の増減を示すグラフである。
FIG. 16 is a graph showing increase / decrease of a wiring delay and a signal slew value with respect to a fluctuation of a wiring length.

【符号の説明】[Explanation of symbols]

1 RCネットワーク列挙ステップ 2 変更RCネットワーク列挙ステップ 2a 遅延算出ステップ 2b 最大遅延パス選択ステップ 2c バッファ削除ステップ 2d バッファ挿入候補列挙ステップ 2e バッファ挿入位置決定ステップ 2f RCネットワーク更新ステップ 2g 終了条件判定ステップ 3 遅延最小RCネットワーク選択ステップ 21〜24,31,40〜42 バッファ 1 RC network enumeration step 2 Changed RC network enumeration step 2a Delay calculation step 2b Maximum delay path selection step 2c Buffer deletion step 2d Buffer insertion candidate enumeration step 2e Buffer insertion position determination step 2f RC network update step 2g Termination condition determination step 3 Delay minimum RC network selection step 21-24, 31, 40-42 Buffer

Claims (9)

【特許請求の範囲】[Claims] 【請求項1】 半導体集積回路の機能ブロック間又は論
理セル間の配線レイアウトを設計するための方法であっ
て、 特定の配線間隔及び配線幅が定義された配線ネットを、
当該配線ネットに対応した抵抗成分と容量成分とで表し
たRCネットワークに変換し、更に当該配線ネットの配
線間隔及び/又は配線幅を仮想的に変えることによって
得られる複数の配線ネットの各々に対応したRCネット
ワークを列挙する第1のステップと、 前記第1のステップで得られたRCネットワークの各々
に対して、駆動能力を変えた複数のバッファを選択し、
かつバッファの挿入位置を変えることでパス遅延を調整
したRCネットワークを列挙する第2のステップと、 前記第2のステップで得られた全てのRCネットワーク
の中から遅延最小のRCネットワークを選択し、当該選
択したRCネットワークに対応した配線レイアウトを採
択する第3のステップとを備えたことを特徴とする配線
レイアウト設計方法。
1. A method for designing a wiring layout between functional blocks or between logic cells of a semiconductor integrated circuit, comprising: forming a wiring net in which a specific wiring interval and a wiring width are defined;
Converting to an RC network represented by a resistance component and a capacitance component corresponding to the wiring net, and corresponding to each of a plurality of wiring nets obtained by virtually changing a wiring interval and / or a wiring width of the wiring net. A first step of enumerating the RC networks obtained, and selecting a plurality of buffers having different driving capacities for each of the RC networks obtained in the first step;
And a second step of enumerating RC networks whose path delays have been adjusted by changing the buffer insertion position, and selecting an RC network with the minimum delay from all RC networks obtained in the second step, And a third step of adopting a wiring layout corresponding to the selected RC network.
【請求項2】 請求項1記載の配線レイアウト設計方法
において、 前記第2のステップは、各RCネットワーク中のゲート
の入力信号波形の傾きがある制約値以下に抑えられたと
きにのみパス遅延の調整が完了したものとするステップ
を備えたことを特徴とする配線レイアウト設計方法。
2. The wiring layout design method according to claim 1, wherein the second step is performed only when the slope of the input signal waveform of the gate in each RC network is suppressed to a certain constraint value or less. A wiring layout design method comprising the step of determining that adjustment has been completed.
【請求項3】 請求項1記載の配線レイアウト設計方法
において、 前記第2のステップは、最大遅延を有するクリティカル
パスの遅延を削減する位置にバッファを挿入するステッ
プを備えたことを特徴とする配線レイアウト設計方法。
3. The wiring layout design method according to claim 1, wherein said second step includes a step of inserting a buffer at a position where a delay of a critical path having a maximum delay is reduced. Layout design method.
【請求項4】 請求項1記載の配線レイアウト設計方法
において、 前記第2のステップは、前記第1のステップで得られた
RCネットワークの各々に対して、 当該RCネットワーク上の全パス遅延を算出する遅延算
出ステップと、 当該RCネットワーク上の最大遅延を有するクリティカ
ルパスを選択する最大遅延パス選択ステップと、 前記クリティカルパス上に存在するバッファを全て削除
するバッファ削除ステップと、 前記クリティカルパス上のバッファ挿入位置の候補を列
挙するバッファ挿入候補列挙ステップと、 前記候補の中から当該クリティカルパスの遅延を削減で
きるバッファ挿入位置を決定するバッファ挿入位置決定
ステップと、 前記決定された位置にバッファを配置することにより当
該RCネットワークを更新するRCネットワーク更新ス
テップと、 前記RCネットワークの更新により当該RCネットワー
ク上の最大遅延を有するクリティカルパスの変動が生じ
るかどうかを判定する終了条件判定ステップとを備え、 前記クリティカルパスの変動が生じなくなるまで、前記
遅延算出ステップと、前記最大遅延パス選択ステップ
と、前記バッファ削除ステップと、前記バッファ挿入候
補列挙ステップと、前記バッファ挿入位置決定ステップ
と、前記RCネットワーク更新ステップとを繰り返し実
行することを特徴とする配線レイアウト設計方法。
4. The wiring layout design method according to claim 1, wherein the second step calculates, for each of the RC networks obtained in the first step, a total path delay on the RC network. Delay calculating step, a maximum delay path selecting step of selecting a critical path having a maximum delay on the RC network, a buffer deleting step of deleting all buffers existing on the critical path, and a buffer on the critical path. A buffer insertion candidate enumeration step for enumerating insertion position candidates; a buffer insertion position deciding step for deciding a buffer insertion position capable of reducing the delay of the critical path from the candidates; and arranging a buffer at the determined position. RC network that updates the RC network A network update step, and an end condition determining step of determining whether the update of the RC network causes a change in a critical path having a maximum delay on the RC network. Repeating a delay calculation step, the maximum delay path selection step, the buffer deletion step, the buffer insertion candidate enumeration step, the buffer insertion position determination step, and the RC network update step. Wiring layout design method.
【請求項5】 請求項4記載の配線レイアウト設計方法
において、 前記バッファ挿入候補列挙ステップは、挿入しようとす
るバッファの出力端子直後の信号波形の傾きと当該バッ
ファにつながる次段ゲート直前の信号波形の傾きとの比
がほぼ1に等しくなる位置をバッファ挿入位置の候補と
するステップを備えたことを特徴とする配線レイアウト
設計方法。
5. The wiring layout design method according to claim 4, wherein said buffer insertion candidate enumeration step comprises the steps of: includ- ing a signal waveform immediately after an output terminal of a buffer to be inserted and a signal waveform immediately before a next gate connected to said buffer. A step of setting a position at which the ratio with respect to the inclination to be substantially equal to 1 as a candidate for a buffer insertion position.
【請求項6】 半導体集積回路の機能ブロック間又は論
理セル間の配線レイアウトを設計するための方法であっ
て、 ある配線ネットを、当該配線ネットに対応した抵抗成分
と容量成分とで表したRCネットワークに変換する第1
のステップと、 前記第1のステップで得られたRCネットワークのパス
遅延を調整し、かつ当該RCネットワーク中のゲートの
入力信号波形の傾きがある制約値以下に抑えられたとき
にのみパス遅延の調整が完了したものとする第2のステ
ップと、 前記第2のステップで得られたRCネットワークに対応
した配線レイアウトを採択する第3のステップとを備え
たことを特徴とする配線レイアウト設計方法。
6. A method for designing a wiring layout between functional blocks or between logic cells of a semiconductor integrated circuit, wherein a certain wiring net is represented by a resistance component and a capacitance component corresponding to the wiring net. First to convert to network
And adjusting the path delay of the RC network obtained in the first step, and reducing the path delay only when the slope of the input signal waveform of the gate in the RC network is suppressed below a certain constraint value. A wiring layout designing method, comprising: a second step in which the adjustment is completed; and a third step of adopting a wiring layout corresponding to the RC network obtained in the second step.
【請求項7】 半導体集積回路の機能ブロック間又は論
理セル間の配線レイアウトを設計するための方法であっ
て、 ある配線ネットを、当該配線ネットに対応した抵抗成分
と容量成分とで表したRCネットワークに変換する第1
のステップと、 前記第1のステップで得られたRCネットワークにおい
て最大遅延を有するクリティカルパスの遅延を削減する
位置にバッファを挿入することでパス遅延を調整する第
2のステップと、 前記第2のステップで得られたRCネットワークに対応
した配線レイアウトを採択する第3のステップとを備え
たことを特徴とする配線レイアウト設計方法。
7. A method for designing a wiring layout between functional blocks or between logic cells of a semiconductor integrated circuit, wherein a certain wiring net is represented by a resistance component and a capacitance component corresponding to the wiring net. First to convert to network
And a second step of adjusting a path delay by inserting a buffer at a position for reducing a delay of a critical path having a maximum delay in the RC network obtained in the first step; and And a third step of adopting a wiring layout corresponding to the RC network obtained in the step.
【請求項8】 半導体集積回路の機能ブロック間又は論
理セル間の配線レイアウトを設計するための方法であっ
て、 ある配線ネットを、当該配線ネットに対応した抵抗成分
と容量成分とで表したRCネットワークに変換する第1
のステップと、 前記第1のステップで得られたRCネットワークのパス
遅延を調整する第2のステップと、 前記第2のステップで得られたRCネットワークに対応
した配線レイアウトを採択する第3のステップとを備
え、 前記第2のステップは、前記第1のステップで得られた
RCネットワークに対して、 当該RCネットワーク上の全パス遅延を算出する遅延算
出ステップと、 当該RCネットワーク上の最大遅延を有するクリティカ
ルパスを選択する最大遅延パス選択ステップと、 前記クリティカルパス上に存在するバッファを全て削除
するバッファ削除ステップと、 前記クリティカルパス上のバッファ挿入位置の候補を列
挙するバッファ挿入候補列挙ステップと、 前記候補の中から当該クリティカルパスの遅延を削減で
きるバッファ挿入位置を決定するバッファ挿入位置決定
ステップと、 前記決定された位置にバッファを配置することにより当
該RCネットワークを更新するRCネットワーク更新ス
テップと、 前記RCネットワークの更新により当該RCネットワー
ク上の最大遅延を有するクリティカルパスの変動が生じ
るかどうかを判定する終了条件判定ステップとを備え、 前記クリティカルパスの変動が生じなくなるまで、前記
遅延算出ステップと、前記最大遅延パス選択ステップ
と、前記バッファ削除ステップと、前記バッファ挿入候
補列挙ステップと、前記バッファ挿入位置決定ステップ
と、前記RCネットワーク更新ステップとを繰り返し実
行することを特徴とする配線レイアウト設計方法。
8. A method for designing a wiring layout between functional blocks or between logic cells of a semiconductor integrated circuit, wherein a certain wiring net is represented by a resistance component and a capacitance component corresponding to the wiring net. First to convert to network
And a second step of adjusting a path delay of the RC network obtained in the first step; and a third step of adopting a wiring layout corresponding to the RC network obtained in the second step. The second step is a step of calculating a total path delay on the RC network with respect to the RC network obtained in the first step, and a maximum delay on the RC network. A maximum delay path selecting step of selecting a critical path having, a buffer deleting step of deleting all buffers existing on the critical path, and a buffer inserting candidate enumerating step of enumerating candidates of buffer inserting positions on the critical path A buffer that can reduce the delay of the critical path from among the candidates A buffer insertion position determining step of determining an entry position; an RC network updating step of updating the RC network by placing a buffer at the determined position; and a maximum delay on the RC network by updating the RC network. An end condition determining step of determining whether or not a change in the critical path has occurred, the delay calculating step, the maximum delay path selecting step, and the buffer deleting step until the critical path does not change. A wiring layout design method, wherein the buffer insertion candidate enumeration step, the buffer insertion position determining step, and the RC network updating step are repeatedly executed.
【請求項9】 半導体集積回路の機能ブロック間又は論
理セル間の配線レイアウトを設計するための方法であっ
て、 ある配線ネットを、当該配線ネットに対応した抵抗成分
と容量成分とで表したRCネットワークに変換する第1
のステップと、 前記第1のステップで得られたRCネットワークに対し
てバッファを挿入することでパス遅延を調整する第2の
ステップと、 前記第2のステップで得られたRCネットワークに対応
した配線レイアウトを採択する第3のステップとを備
え、 前記第2のステップは、挿入しようとするバッファの出
力端子直後の信号波形の傾きと当該バッファにつながる
次段ゲート直前の信号波形の傾きとの比がほぼ1に等し
くなる位置にバッファを挿入するステップを備えたこと
を特徴とする配線レイアウト設計方法。
9. A method for designing a wiring layout between functional blocks or between logic cells of a semiconductor integrated circuit, wherein a certain wiring net is represented by a resistance component and a capacitance component corresponding to the wiring net. First to convert to network
And a second step of adjusting a path delay by inserting a buffer into the RC network obtained in the first step; and a wiring corresponding to the RC network obtained in the second step A third step of adopting a layout, wherein the second step is a ratio between a gradient of a signal waveform immediately after an output terminal of a buffer to be inserted and a gradient of a signal waveform immediately before a next gate connected to the buffer. A step of inserting a buffer at a position where is substantially equal to 1.
JP2001121044A 2001-04-19 2001-04-19 Method for designing wiring layout of semiconductor integrated circuit Pending JP2002313921A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2001121044A JP2002313921A (en) 2001-04-19 2001-04-19 Method for designing wiring layout of semiconductor integrated circuit

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2001121044A JP2002313921A (en) 2001-04-19 2001-04-19 Method for designing wiring layout of semiconductor integrated circuit

Publications (1)

Publication Number Publication Date
JP2002313921A true JP2002313921A (en) 2002-10-25

Family

ID=18970986

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001121044A Pending JP2002313921A (en) 2001-04-19 2001-04-19 Method for designing wiring layout of semiconductor integrated circuit

Country Status (1)

Country Link
JP (1) JP2002313921A (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7356798B2 (en) 2005-02-15 2008-04-08 Kabushiki Kaisha Toshiba Semiconductor integrated circuit routing method and recording medium which stores routing software
US7600205B2 (en) 2004-06-07 2009-10-06 Fujitsu Limited Net/wiring selection method, net selection method, wiring selection method, and delay improvement method
WO2020031743A1 (en) 2018-08-09 2020-02-13 ソニーセミコンダクタソリューションズ株式会社 Semiconductor integrated circuit and electronic instrument

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7600205B2 (en) 2004-06-07 2009-10-06 Fujitsu Limited Net/wiring selection method, net selection method, wiring selection method, and delay improvement method
US7647576B2 (en) 2004-06-07 2010-01-12 Fujitsu Limited Net/wiring selection method, net selection method, wiring selection method, and delay improvement method
US7356798B2 (en) 2005-02-15 2008-04-08 Kabushiki Kaisha Toshiba Semiconductor integrated circuit routing method and recording medium which stores routing software
WO2020031743A1 (en) 2018-08-09 2020-02-13 ソニーセミコンダクタソリューションズ株式会社 Semiconductor integrated circuit and electronic instrument

Similar Documents

Publication Publication Date Title
CN101206686B (en) Latch placement for high performance and low power circuits
CN100423012C (en) Techniqes for making sure of buffer insertion
US6996512B2 (en) Practical methodology for early buffer and wire resource allocation
JP4118536B2 (en) Clock delay setting method
US8782591B1 (en) Physically aware logic synthesis of integrated circuit designs
US20090249276A1 (en) Methods and systems for fpga rewiring and routing in eda designs
CN110442884B (en) Optimization method and device of sub-threshold digital sequential circuit
US6385760B2 (en) System and method for concurrent placement of gates and associated wiring
US20020124230A1 (en) Timing optimization for integrated circuit design
US20080059933A1 (en) Method and System for Designing Fan-out Nets Connecting a Signal Source and Plurality of Active Net Elements in an Integrated Circuit
US10579773B2 (en) Layouting of interconnect lines in integrated circuits
US7100140B2 (en) Generation of graphical congestion data during placement driven synthesis optimization
US9904754B2 (en) Layout of interconnect lines in integrated circuits
US7146590B1 (en) Congestion estimation for programmable logic devices
JP2002313921A (en) Method for designing wiring layout of semiconductor integrated circuit
US7320117B2 (en) Design method for semiconductor integrated circuit device using path isolation
US10452801B2 (en) Routing of nets of an integrated circuit
US6654943B2 (en) Method, system, and computer program product for correcting anticipated problems related to global routing
US11113446B2 (en) Yield improving leaf cells optimization for semiconductor netlists
JP3230234B2 (en) A delay adjustment method for a semiconductor integrated circuit, a delay adjustment circuit block, and a recording medium.
JPH10228497A (en) Method for estimating deterioration of hot carrier
JP3251250B2 (en) Clock skew reduction method and computer-readable recording medium recording clock skew reduction method
JP2003044535A (en) Design method for semiconductor integrated circuit
Xu et al. Timing-driven global routing with efficient buffer insertion
US20080054933A1 (en) Scan chain in a custom electronic circuit design