JP2002286810A - スキャン方式3重み加重ランダムス・ビルトイン・セルフテストのテストセット生成方法、スキャン方式ビルトイン・セルフテスト回路 - Google Patents

スキャン方式3重み加重ランダムス・ビルトイン・セルフテストのテストセット生成方法、スキャン方式ビルトイン・セルフテスト回路

Info

Publication number
JP2002286810A
JP2002286810A JP2001399268A JP2001399268A JP2002286810A JP 2002286810 A JP2002286810 A JP 2002286810A JP 2001399268 A JP2001399268 A JP 2001399268A JP 2001399268 A JP2001399268 A JP 2001399268A JP 2002286810 A JP2002286810 A JP 2002286810A
Authority
JP
Japan
Prior art keywords
test
input
scan
generator
cost
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2001399268A
Other languages
English (en)
Other versions
JP3758155B2 (ja
Inventor
Wan Sonmun
ワン ソンムン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Corp
Original Assignee
NEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Corp filed Critical NEC Corp
Publication of JP2002286810A publication Critical patent/JP2002286810A/ja
Application granted granted Critical
Publication of JP3758155B2 publication Critical patent/JP3758155B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/22Detection or location of defective computer hardware by testing during standby operation or during idle time, e.g. start-up testing
    • G06F11/26Functional testing
    • G06F11/27Built-in tests
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/22Detection or location of defective computer hardware by testing during standby operation or during idle time, e.g. start-up testing
    • G06F11/26Functional testing
    • G06F11/263Generation of test inputs, e.g. test vectors, patterns or sequences ; with adaptation of the tested hardware for testability with external testers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/31813Test pattern generators
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318544Scanning methods, algorithms and patterns
    • G01R31/318547Data generators or compressors

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Quality & Reliability (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Tests Of Electronic Circuits (AREA)
  • Test And Diagnosis Of Digital Computers (AREA)
  • For Increasing The Reliability Of Semiconductor Memories (AREA)

Abstract

(57)【要約】 【課題】 3重みWRPT BIST(test−pe
r−clock及びスキャン方式)におけるテストシー
ケンス長及びハードウェア・オーバーヘッドを削減す
る。 【解決手段】 検出困難故障用のテスト・セットを生成
するための方法であって、検出困難故障セットを識別
し、検出困難故障用のテスト・セットを、改良型の自動
テスト・パターン生成器を使用して生成し、改良型自動
テスト・パターン・生成器を、ハードウェア・オーバー
ヘッドとテスト・シーケンス長を考慮できるように適用
し、ハードウェア・オーバーヘッドは、新規のテストキ
ューブがテスト・セットに追加される際に発生させるこ
とを特徴とする。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明では、回路テスト用の
テストパターンを生成するための新規の自動テストパタ
ーン生成器に関して開示する。本発明ではさらに、ハー
ドウェア・オーバーヘッドの少ない、新規の逐次及び並
列スキャン方式3重み加重ランダム・ビルトイン・セル
フテスト(BIST:Build−in Self−t
est)アーキテクチャに関する。
【0002】
【従来の技術】ビルトイン・セルフテストは、回路に自
己テスト能力を与えるテスト手法である。この手法で
は、回路への入力セットからなるテストベクトル(「テ
ストパターン」とも呼ばれる)がテスト中の回路(CU
T:circuit undertest)に適用さ
れ、適用されたテストベクトルへのCUTの応答が良好
な回路で期待される応答と比較される。テストパターン
生成器(TPG:testpattern gener
ator)は、このテストパターンを生成する。
【0003】BISTは、テストベクトルがCUTに適
用される方法に従って、test−per−clock
方式(並列)とスキャン方式(test−per−sc
an方式)に分類することができる。test−per
−clock方式のBISTでは、TPGから出力され
るテストパターンはCUTの入力に直接接続される。新
規のテストパターンは、1回のテストクロック・サイク
ルにつき1つ適用される。これに対しスキャン方式のB
ISTでは、TPGによって生成されたテストパターン
が、フリップフロップからなるスキャン回路を介してC
UTに適用される。従って、スキャン方式のBISTで
は、テストパターンはCUTにm+1サイクルごとに適
用される。ここで、mはスキャン回路内のフリップフロ
ップ数である。
【0004】ランダム・テストパターン生成器は、テス
トパターンをランダムに生成する。また、テストパター
ンを優先順位に従って生成し、BISTに記憶してテス
トに使用することも可能である。記憶式パターン生成器
を使用して実装されたBISTは、決定用テストパター
ンを記憶するためのメモリを要するため、大量なハード
ウェア・オーバーヘッドを必要とする。一方、疑似ラン
ダム・パターン生成器を使用して実装されたBISTで
は、ハードウェア・オーバーヘッドはほとんど不要とな
る。一般的に使用される疑似ランダム・パターン生成器
には、線形フィードバック・シフト・レジスタ(LFS
R:linear feedbackshift re
gister)とセルラー・オートマタ(CA:cel
lular automata)の2種類がある。
【0005】さらに、LFSRまたはCARによって生
成されたランダム・パターン・テストシーケンスは、記
憶式テストパターンよりもモデル化されていない故障の
適用範囲が高いことが報告されている。また、記憶式テ
ストパターンでは、メモリ・サイズの制約が厳しいた
め、数が大幅に不足するケースが一般的である。一方、
ランダム・パターンの場合は、回路によっては、十分な
故障適用範囲を達成しようとするとシーケンスが適切な
レベルをはるかに上回る長さになってしまうことがあ
る。
【0006】高度な故障適用範囲を達成するために必要
とされるランダム・パターン・テスト長は、わずか数個
の検出困難故障によって決定されることが多い。これら
の検出困難故障は、ランダム・パターンによる検出で発
見されることは希なため、「ランダム・パターン耐性故
障」とも呼ばれる。これらのランダム・パターン耐性故
障はいずれも、検出確率が非常に低い。この検出確率
は、「ランダムに生成されるベクトルが故障を検出する
確率」と定義される。P. H. B., W. H. McAnney,and J.
Savir, Built-In Test for VLSI:Pseudorandom Techni
ques (疑似ランダム手法), John Wiley & Sons, 1987を
参照。故障fの検出確率は、以下のように定義できる。
【0007】
【数5】 ここで、mは回路入力数である。
【0008】この式から分かるように、検出困難故障が
検出されるためには多数の入力割り当てを必要とする。
そのため、ランダムに生成されたベクトルが入力のすべ
ての必要条件を満たす確率は必然的に低レベルとなる。
【0009】従来の加重ランダム・パターンテスト(W
RPT:weighted random patterntesting)用BIST
の極端なケースとして分類される検出困難故障の検出確
率を向上させるBIST手法が、一部の専門家によって
提案されている。S. Pateras and J. Rajski, Cube-Con
tained Random Patterns and Their Application to th
e Complete Testing of Synthesized Multi-level Circ
uits (キューブ格納ランダム・パターン及び合成多層回
路の完全テストへのその適用), in Proceedings IEEE I
nternational Test Conference, pages 473-482, 199
1、I. Pomeranzand S. Reddy, 3-Weight Pseudo-Random
Test Generation Based on a Deterministic Test Set
for Combinational and Sequential Circuits(組み合
わせ回路及び順次回路のための決定用テストセットに基
づく3重み疑似ランダム・テスト生成器), IEEE Trans.
On Computer-Aided Design of Integrated Circuit an
dSystem, Vol. 12:1050-1058, July 1993、及びM. F. A
lShalbi and C. R. Kime,Fixed-Biased Pseudorandom B
uilt-in Self Test For Random Pattern Resistant Cir
cuits(ランダム・パターン耐性回路のための固定バイア
ス疑似ランダム・ビルトイン・セルフテスト), in Proc
eedings IEEE International Test Conference, pages
929-938, 1994を参照。
【0010】(WRPT)BISTの詳細については、
D. Neebel and C. R. Kline, Multiple Weighted Cellu
lar Automata(複合加重セルラー・オートマタ), In VLS
ITS,pages 81-86, 1994、H. J. Wunderlich, Multiple
Distributions for BiasedRandom Test Patterns(バイ
アス・ランダム・テストパターンに関する多重分布), i
n Proceedings IEEE International Test Conference,
pages 236-244, 1988、及びR. Kapur, S. Patil, T. J.
Snethen, and T. W. Williams, Design of an Efficie
nt Weighted Random Pattern Generation System(効率
的な加重ランダム・パターン生成システムの設計), in
Proceedings IEEE International TestConference, pag
es 491-500, 1994を参照。
【0011】従来のWRPTでは、例えば0、0.2
5、0.5、0.75、1.0といった様々な重みが割
り当てられるのに対し、前述の検出困難故障の検出確率
を向上させるための手法では、各入力に割り当てられる
重みは0、0.5、1の3個だけである。使用される重
みがわずか3個なので、重みを生成するための回路は単
純である。例えば重み1(0)は、信号を1に固定する
ことによって得られる。同様に、重み0は信号を0に固
定することによって得られる。重み0.5は、LFSR
などの純粋なランダム・パターン生成器の出力で信号を
駆動することによって得られる。さらに、3個の重みし
か使用されないので、重みセットを記憶するためのメモ
リは従来のWRPTよりもサイズが小さくてすむ。
【0012】本発明では、改良型自動テストパターン生
成器(ATPG:automatic test pa
ttern generator)を使用して、3重み
WRPTにおけるハードウェア・オーバーヘッドとテス
トシーケンス長を最小化する手法を提示する。さらに本
発明では、ATPGに関する本発明による手法を使用し
て、少なくとも3種類の改良型BISTアーキテクチャ
を提示する。
【0013】「表記法及び定義」本発明では、S.Pa
teras et alで使用される表記法に多少の変
更を加えたものを使用する。この表記法の詳細について
は、S. Pateras and J.Rajski, Cube-Contained Random
Patterns and Their Application to the Complete Te
sting of Synthesized Multi-level Circuits (キュー
ブ格納ランダム・パターン及び合成多層回路の完全テス
トへのその適用), in Proceedings IEEE International
Test Conference, pages 473-482, 1991を参照。「故
障のテストキューブ」とは、「特定されていない入力を
有するテスト」として定義される。ここで、C=
{c,c,…,c}は、テスト中の回路(CU
T)における検出困難故障セットのテストキューブを示
すと想定する。このうち、テストキューブc={c
,c ,…,c }はmビットであり、mはCU
Tの入力数である。テストキューブc ∈{0,1,
X}ViとXはドントケアである。さらに、C1
,…,Cをテストキューブ・セットCのサブセッ
トとし、生成器gen(C){g ,g ,…,
}(i=1,2,…,d)は、以下のように定義
されるmビットの組(ここで、g {0,1,X,
U}(k=1,2,…,m))を表すと想定する。
【0014】
【数6】 ここで使用する「生成器」という用語は、D. Neebel an
d C. R. Kime. Multiple Weighted Cellular Automata
(複合加重セルラー・オートマタ), in VLSITS,pages 81
-86, 1994、H.-J. Wunderlich. Multiple Distribution
s for BiasedRandom Test Patterns (バイアス・ランダ
ム・テストパターンに関する多重分布), in Proceeding
s IEEE International Test Conference, pages 236-24
4, 1988、及びR. Kapur, S. Patil, T. J. Snethen, an
d T. W. Williams. Design ofan Efficient Weighted R
andom Pattern Generation System (効率的な加重ラン
ダム・パターン生成システムの設計), in Proceedings
IEEE International Test Conference, pages 491-500,
1994で使用される「重みセット」と同義であることに
注意されたい。
【0015】入力gi =Uの場合、テストキューブ・
セットC内には入力pにおいて競合するテストキュ
ーブが存在する。すなわち、∋a,bによりc =V
及びc =¬v(v∈{1,0}、¬vは2値データ
vの否定(NOT)の値)なので、入力pを2値デー
タvに固定すると、入力pに¬vを割り当てるべき故
障がテスト不能となる可能性がある。一方、入力g
=Xの場合、入力pはテストキューブ・セットC
のどのテストキューブにおいても指定されない。従って
この場合には、入力pを任意の2値データに固定して
も故障適用範囲が減じられることはない。テストキュー
ブcにおいては2値データを割り当てられ、生成器g
en(C)の対応する位置ではUを割り当てられた入
力セットは、テストキューブcの「競合ビット」と呼
ばれる。図1にテストキューブの例を示す。図1に示す
テストキューブ・セットでは、入力p、p、及びp
がテストキューブc〜cにおいて異なる2値デー
タ(0または1)が割り当てられているので、テストキ
ューブcは3個の競合ビットを有する。従って、これ
らのピンには生成器gen(C)においてUが割り当て
られる。一方、生成器gen(C)内の対応するビット
にUが割り当てられた入力のうち、テストキューブ・セ
ットC内で2値データ0を割り当てられているのは入
力pだけなので、テストキューブcが有する競合ビ
ットは1個だけである。
【0016】(a)「検出確率」 F={f,f,…,f}を故障セットとし、C=
{c,c,…,C }をテストキューブ・セットと
する。また、テストキューブcjr(j=1,2,…,
n)は、対応する故障f(j=1,2,…,n)の唯
一のテストキューブとする。この想定のもとでは、故障
の検出確率は1/2m−|xj|に過ぎない。ここ
で、mは入力数、|X|はドントケア(X)が割り当
てられているテストキューブc内の入力数である。
【0017】図1に示すテストキューブc、c、c
、cの各々を、故障f、f、f、fに対応
する唯一のテストキューブとする。テストキューブ
、c 、c、cは各々、2個、2個、3個、1
個のドントケア値を有する。各テストキューブは対応す
る故障の唯一のテストキューブなので、故障f
、f、fの検出確率は各々、1/2、1/2
、1/2、1/2として計算できる(この例で
は、入力数mは6個であることに注意すること)。
【0018】式2で説明したように、テストキューブ・
セットC内のすべてのテストキューブにおいて入力p
に1またはXが割り当てられ、かつ少なくとも1個のテ
ストキューブにおいて入力pに1が割り当てられてい
る場合、入力pには重み1が割り当てられる(すなわ
ち、入力g=1)。式2で説明したように、テストキ
ューブ・セットC内のすべてのテストキューブにおいて
入力pに0またはXが割り当てられ、少なくとも1個
のテストキューブにおいて0が割り当てられている場
合、入力pには重み0が割り当てられる(すなわち、
入力g=0)。
【0019】一方、入力gに1が割り当てられている
場合には、入力pを1に固定でき、これにより、検出
のために入力pで1を入力する必要がある故障セット
F内のすべての故障の検出確率が2倍に向上する。同様
に、入力gに0が割り当てられている場合には入力p
を0に固定でき、これにより、検出のために入力p
で0を入力する必要がある故障セットF内のすべての故
障の検出確率が2倍に向上する。
【0020】故障セットF内には検出のために入力p
において0を割り当てるべき故障はないため、入力p
を1に固定しても故障はテスト不能にならない。同様
に、故障セットF内には検出のために入力pにおいて
1を割り当てるべき故障はないため、入力pを0に固
定しても故障はテスト不能にならない。
【0021】図1では、入力pを0に固定すると、検
出のために入力pで0を割り当てるべき故障f及び
の検出確率が、各々1/2及び1/2に向上す
る。同様に、入力p及び入力pは各々1及び0に固
定できる。一方、他の入力p 、p、pを2値デー
タに固定した場合には、これらの故障のテストキューブ
は各入力に競合する値を有するので、一部の故障がテス
ト不能になる。例えば、入力pを0に固定すると、検
出のためには入力pにおいて1が割り当てられていな
ければならない故障f及びfがテスト不能になる。
【0022】4個のテストキューブc、c、c
を2つのグループC={c,c}及びC
{c,c}に分割した場合は、グループC内の入
力p 、p、p、p、pを各々0、0、1、
0、1に固定し、グループC内の入力p、p、p
、pを1、0、0、0に固定しても競合は生じな
い。グループC内のすべてのテストキューブ(c
びc)において入力pにはXが割り当てられるの
で、生成器gen(C)内では入力g にXが割り
当てられる。その結果、生成器gen(C)={0,
0,U,1,0,1,}及び生成器gen(C)=
{1,0,0,X,0,U}となる。入力p、p
、p、pを0、0、1、0、1に固定すること
により、故障f及びfの検出確率が1/2に向上
し、入力p、p、p、pを1、0、0、0に固
定することにより、故障f及びfの検出確率が1/
2に向上する。2個の生成器gen(C)及びgen
(C)を適用するため、最初の時間間隔Tでは入力
、p、p、pが0、0、1、0に固定され、
続いて、次の時間間隔Tでは入力p、p、p
が1、0、0、0に固定される。これらの時間間隔
の算出手順については、「各生成器のためのテストシー
ケンス」の節において説明する。
【0023】(b)「適切なテストキューブを生成する
ことの重要性」 図1、図2の故障fはテストキューブC’1=011
1XXによっても検出できると想定し、さらにテストキ
ューブ・セットC’1の構成要素としてcではなくc
’1が使用されると想定する。この場合は、c’1の入
力pにおける1が、テストキューブ・セットC’1
の他のテストキューブcの入力pにおける0と競合
する。従って、固定してもテストキューブ・セットC
’1内に競合が発生しないのは入力p、p、p
に限られる。固定されるのは入力p、p
、pだけなので、検出のために入力pにおいて
0を必要とする故障fの検出確率はこれで1/2
なり、cが使用される場合に比較して2分の1の低減
となる。故障セットF内のすべての故障の検出確率が1
/2以上に向上するためには、テストキューブ・セット
’1を、各々c’1またはcだけで構成される2つ
のグループに分割する必要がある。そのため、cが使
用される場合の生成器の所要個数は2個であるのに対
し、c’1が使用される場合には3個の生成器が必要と
なる。
【0024】生成器の所要個数は、本発明のBISTの
実装により必要となるハードウェア・オーバーヘッドを
決定する。この所要個数を最小にするためには、テスト
キューブ・セットに加えるテストキューブを慎重に選択
しなければならない。新たに追加するテストキューブ
は、テストキューブ・セットにすでに存在する他のテス
トキューブと競合する入力数が最小となるように選択す
る必要がある。ただし、大規模なランダム・パターン耐
性回路では、検出困難故障のテストキューブの総数は非
常に多いことが知られている。そのため、検出困難故障
の各々について、可能なすべてのテストキューブの中か
ら最良のテストキューブ(すなわち、テストキューブ・
セット内の他のテストキューブと競合する入力数が最小
のテストキューブ)を選択するためには、実現不可能な
ほどに膨大な時間複雑性が要求される。このような回路
では、テストキューブ・セットの構成要素としてどのテ
ストキューブを選択するかによって生成器の所要個数が
大きく変動する。以上の理由から、最小数の生成器を必
要とするテストキューブ・セットを作成するための検出
困難故障のテストキューブは慎重に生成しなければなら
ない。
【0025】(c)「回路入力への生成器の適用」 この項では、test−per−clock BIST
におけるCUTへの生成器の適用について、その概念を
説明する。test−per−clock BISTに
関するこの情報は、「スキャン方式ビルトイン・セルフ
テストへの適用」の節で詳述するスキャン方式ビルトイ
ン・セルフテスト(test−per−scan BI
ST)に関する本発明による手法の理解を助けるための
背景情報として提供される。純粋なランダム・パターン
テストにおいて、入力p(k=1,2,…,m)が対
応するランダム・パターン信号r(k=1,2,…,
m)によって駆動されるとする。生成器(C)の入力
中に、入力g =vである入力pは、対応するオー
バーライド信号sk/vを1に設定してランダム・パタ
ーン信号rをオーバーライドすることにより、2値デ
ータvに固定される。入力pのためのオーバーライド
信号は、入力g (i=1,2,…,d、ここでdは
生成器の個数)によって決定される。例えば、すべての
d個の生成器において入力g (i=1,2,…,
d)に常にXまたはUが割り当てられる場合、入力p
はどの生成器においても固定されず、従って入力p
はオーバーライド信号は不要である。入力g に1ま
たはXが割り当てられ、かつ少なくとも1個の生成器に
おいて1が割り当てられている場合、入力g =1で
ある生成器gen(C)の入力中には、入力pを1
に固定しなければならない。同様に、入力g に0ま
たはXが割り当てられ、かつ少なくとも1個の生成器に
おいて0が割り当てられている場合、入力g =0で
ある生成器gen(C)の入力中には、入力pを0
に固定する必要がある。生成器gen(C)(すなわ
ち、入力g =1)において入力gに1が割り当て
られ、生成器gen(C)(すなわち、入力g
0)においては入力gに0が割り当てられている場
合、入力g =1である生成器gen(C)の入力
中には入力pを1に、入力g =0である生成器g
en(C)の入力中には入力p を0に、それぞれ固
定しなければならない。
【0026】オーバーライド信号の個数と各入力の優先
値を表すため、glob_gen={gg、gg
…、gg}(ここで、mは入力数)と入力gg(k
=1,2,…,m)は以下のように導入される。
【0027】
【数7】 ここで、dは生成器数である。
【0028】入力gg=v(v∈{1、0})の場合
には、入力pに1個のオーバーライド信号sk/v
みが必要とされ、入力gg=Bの場合には、2個のオ
ーバーライド信号sk/l及びsk/0が必要とされ
る。最後に、入力gg=Nの場合には、すべての入力
(i=1,2,…,d)はXまたはUであり、入
力pはテスト入力中の全体にわたって常にランダム・
パターン信号rによって駆動されるので、入力p
オーバーライド信号は必要とされない。
【0029】図1、図2に、5個の入力を有する回路の
ための生成器とオーバーライド信号を示す。入力p
1(g )と(g 及びg )の両方に固定され
ているので、入力ggにはBが割り当てられ、入力p
には2個のオーバーライド信号s1/0及びs1/1
が割り当てられる。一方、入力pは1(g 及びg
)にのみ固定されるので、入力ggには1が割り
当てられ、入力pには1個のオーバーライド信号s
3/1のみが割り当てられる。最後に、いずれの生成器
gen(C)(i=0,1,2,3)においても入力
には2値データが割り当てられないので、入力p
は固定されず、常にランダム・パターン信号rによ
って駆動される。そのため、入力ggにNは割り当て
られず、入力pにもオーバーライド信号が割り当てら
れない。つまり、2個の入力p及び入力pには入力
gg=B(k=1,4)が割り当てられ、入力p
び入力pにはそれぞれ入力gg=0と入力gg
1が割り当てられるので、図1、図2に示すglob_
gen={B,0,1,B,N}を有する生成器は6個
のオーバーライド信号s1/0、s1/1、s2/0
3/1、s4/0、s4/1を必要とする。
【0030】図3に、図1、図2に示す生成器とオーバ
ーライド信号に関するtest−per−clock
BISTのための3重みWRPT回路の実装を示す。信
号r (i=1,2,..,5)は、ランダム・パター
ン生成器の出力に接続される。図3のカウンタは、適切
な生成器を選択する。カウンタの内容がiの場合は、生
成器gen(C)が選択される。各生成器gen(C
)は、前述のTテストサイクルのためのテストパタ
ーンの生成に使用される。入力g =v(v=0また
は1)の場合、入力pは、対応する信号sk/vを1
に設定してランダム・パターン信号rをオーバーライ
ドすることにより2値データvに固定される。
【0031】例えば、生成器gen(C)={0,
X,1,1,U}のCUTへの入力中には、ランダム・
パターン信号r、r、rをオーバーライドするた
めにs 1/0、s3/1及びs4/1が1に設定され、
他のオーバーライド信号には0が割り当てられる。イネ
ーブル信号は、WRPTの有効化/無効化のために使用
される。イネーブル信号を0に設定するとWRPTが無
効化され、いずれの入力も固定されない。この場合は、
ランダム・パターン生成器がすべての入力を駆動する。
カウンタの個々の状態は1個の生成器に対応するので、
カウンタの所要ビット数は[log(生成器数)]に
よって与えられる。オーバーライド信号は、図3に示す
デコーダ(DECODER)から、カウンタの出力を入力として
生成される。デコーダ(DECODER)の所要領域は、通常、
入力数と出力数によって異なる。従って、3重みWRP
Tによるハードウェア・オーバーヘッドは、入力を固定
するために必要とされるオーバーライド信号数、及び生
成器数によって決定される。
【0032】test−per−clock BIST
に関して述べた手順は、ほとんど変更を加えることなく
スキャン方式ビルトイン・セルフテスト(test−p
er−scan BIST)にも適用できる。検出困難
故障を検出するために走査によりスキャン回路に組み込
まれるビット・シーケンスを改変するための手法は、H,
-J. Wunderlich and G. Kiefer. Bit-Flipping BIST
(ビットフリップBIST),in Proceedings VLSI Testing S
ymposium, pages 337-343、1996、及びN. A. Touba and
E. J. McCluskey. Altering a Pseudo-Random Bit Seq
uence for Scan-Based BIST(スキャン方式BISTのための
疑似ランダム・ビット・シーケンスの改変), in Procee
dings IEEE International Test Conference, pages 16
7-175, 1996(Touba' 96)で提案されている。Touba '96
では、Touba '95に類似した手順を使用して、新規故障
を検出するテストの補数を未検出故障のテストに変換す
るマッピング関数が算出される。N. A. Touba and E.
J. McCluskey, Altering a Pseudo-Random Bit Sequenc
e for Scan-Based BIST(スキャン方式BISTのための疑似
ランダム・ビット・シーケンスの改変), In Proceeding
s IEEE InternationalTest Conference、pages 167-17
5, 1996、及びN. Touba and E. McCluskey, Synthesis
of Mapping Logic for Generating Trans-formed Pseud
o-Random Patterns for BIST(BIST用の変換疑似ランダ
ム・パターンを生成するためのマッピング論理の合成),
In Proceedings IEEE International Test Conferenc
e, pages674-682, 1995を参照。
【0033】この手順はCUTに入力されるテストシー
ケンスに大きく依存するので、当該テストの補数はとき
に同語反復に陥ることがあり、極端なケースでは、補数
に多数の特定済み入力が含まれるためにハードウェア・
オーバーヘッドが増大する結果となる。さらに、テスト
シーケンスが変更された場合には、ビット・シーケンス
を改変するBIST回路全体を設計し直す必要が生じ
る。Karkalaでは、Touba’96と同じ手法を使用
して、新規故障を検出しない疑似ランダム・シーケンス
が決定用テストキューブに変換される。M. Karkala, N
A. Touba, and H.-J. Wunderlich, Special ATPG to Co
rrelate Test Patterns for Low-OverheadMixed-Mode B
IST(低オーバーヘッド混合モードBIST用のテストパター
ンを相関するための専用ATPG), in proceedings 7γrd
Asian Test Symposium, 1998、及びN. A. Touba and E.
J. McCluskey, Altering a Pseudo-Random Bit Sequen
cefor Scan-Based BIST(スキャン方式BISTのための疑似
ランダム・ビット・シーケンスの改変), in proceeding
s IEEE International Test Conference, pages167-17
5, 1996を参照。
【0034】ただし、Touba’95では決定用テス
トキューブは従来のATPG手順によって生成されるの
に対し、Karkalaでは、決定用テストキューブは決定用
BIST間の相関を考慮する専用ATPGによって生成
される。N. A. Touba and E.McCluskey, Synthesis of
Mapping Logic for Generating Trans-formed Pseudo-R
andom Patterns for BIST(BIST用の変換疑似ランダム・
パターンを生成するためのマッピング論理の合成), in
Proceedings IEEE International Test Conference, pa
ges 674-682, 1995、及びM. Karkala, N A. Touba, and
H.-J. Wunderlich, Special ATPG to Correlate Test
Patterns for Low-Overhead Mixed-Mode BIST(低オーバ
ーヘッド混合モードBIST用のテストパターンを相関する
ための専用ATPG), In proceedings 7γrd Asian Test S
ymposium, 1998を参照。
【0035】H.−1 WunderllchでもTo
uba’96に類似した手順が使用されるが、ランダム
・パターン内のいくつかのビットをフリップすることに
より、ランダム・パターンが決定用パターンに改変され
る点が異なる。H.-J. Wunderlich and G. Kiefer, Bit-
Flipping BIST(ビットフリップBIST), in Proceedings
VLSI Testing Symposium, pages 337-343, 1996、及び
N. A. Touba and E. J.McCluskey, Altering a Pseudo-
Random Bit Sequence for Scan-Based BIST(スキャン方
式BISTのための疑似ランダム・ビット・シーケンスの改
変), in Proceedings IEEE International Test Confer
ence, pages 167-175, 1996を参照。この手法もまた、
入力されるランダム・パターン・テストシーケンスに大
きく依存する。
【0036】「従来の3重みWRPT BIST用AT
PG」Paterasでは、合成回路の2層版を対象と
する完全なテストキューブ・セットが生成される(2層
回路の単純構造により、全テストの生成が可能とな
る)。S. Pateras and J. Rajski, Cube-Contained Ran
dom Patterns and Their Application to the Complete
Testing of Synthesized Multi-level Circuits(キュ
ーブ格納ランダム・パターン及び合成多層回路の完全テ
ストへのその適用),in Proceedings IEEE Internationa
l Test Conference, pages 473-482, 1991を参照。これ
らのテストキューブは、1セット内のテストキューブの
競合入力数がM以下となるように数個のセットに分割さ
れ、これらのセットの各々について生成器が算出され
る。「表記法及び定義」において述べたように、生成器
数はテストキューブ・セット内のテストキューブによっ
て左右されるので、多数の割り当てを必要とするテスト
キューブをフィルタリングによって除去することによ
り、所要生成器数が低減される。これを行うのは、セッ
ト内のテストキューブが必要とする割り当てが多いと、
競合入力数が増大し、そのために各テストキューブ・セ
ットに含めることのできるテストキューブ数が少なくな
り、生成器数が増大するからである。そのため、生成器
の作成に使用されるテストキューブ・セットには、必要
な割り当てが少ないテストキューブが含まれる。ただ
し、2層回路用のテストキューブには、多層合成回路で
は必要ではないテストキューブが含まれていてもよい。
【0037】
【発明が解決しようとする課題】上述した従来の技術で
は、以下に述べるような問題点があった。
【0038】S. Paterasで報告された実験結
果によると、このフィルタリング手順には高度な時間複
雑性が要求される(この手順の実行時間は、合成手順の
実行時間よりも長いのが一般的である)。S. Pateras a
nd J. Rajski, Cube-Contained Random Patterns and T
heir Application to the Complete Testing of Synthe
sized Multi-level 回路s(キューブ格納ランダム・パタ
ーン及び合成多層回路の完全テストへのその適用), in
Proceedings IEEE International Test Conference, pa
ges 473-482, 1991を参照。しかしそれにもかかわら
ず、この方法において完全なテストキューブ・セットか
ら良好なテストキューブ(必要な割り当てがほとんどな
いテストキューブ)を選択するためには、このフィルタ
リング手順が必要だと考えられている。この方法では完
全なテストキューブ・セットが必要とされるので、時間
複雑性の観点から、2層版が入手不能な場合は大規模回
路に適用することは不可能であろう。
【0039】本発明の目的は、上記従来技術の欠点を解
決し、改良型の手法を提供することにある。
【0040】
【課題を解決するための手段】上記目的を達成するため
本発明のテストセット生成方法は、検出困難故障用のテ
ストセットを生成するテストセット生成方法において、 (a)検出困難故障セットを識別するステップと、 (b)グローバル生成器の機能と、ハードウェア・オー
バーヘッド及びテストシーケンス長を考慮して前記ハー
ドウェア・オーバーヘッドが各テストキューブがテスト
セットに追加された時点で発生するように当てはめる機
能とを備える自動テストパターン生成器を使用して、検
出困難故障用の前記テストセットを生成するステップを
備えることを特徴とする。
【0041】請求項2の本発明のテストセット生成方法
は、前記ステップbのテストセットが、 (b)(i)検出困難故障の各々について、コスト関数
を使用して推定コストを計算する。 (b)(ii)最小コストの検出困難故障から、それま
で未選択のターゲット故障を選択する。 (b)(iii)選択されたターゲット故障のテストキ
ューブを生成する。 (b)(iv)選択された困難な故障の実質コストと推
定コストを比較する。 (b)(v)実質コストが推定コストと予定誤差の総計
を上回る場合は、下記のサブステップのうち適切なステ
ップを実行する。 (1)検出困難故障セット内に未選択の故障が残ってい
る場合は、ステップ(b)(ii)に戻る。 (2)検出困難故障セットに未選択の故障が残っていな
い場合は、実質コストが最小のテストキューブを選択す
る。 (b)(vi)実質コストが推定コストと予定誤差の総
計を上回る場合は、ステップ(b)(iii)で生成さ
れたテストキューブを選択されたテストキューブとして
保持する。 (b)(vii)選択されたテストキューブによって検
出されたすべての故障をマークする。 (b)(viii)選択されたテストキューブをカレン
ト・テストセットに追加し、カレント生成器を更新する 以上の各プロセスによって生成されることを特徴とす
る。
【0042】請求項3の本発明のテストセット生成方法
は、前記コスト関数が、可制御性コスト、可観測性コス
ト、及びテスト生成コストを備えることを特徴とする。
【0043】請求項4の本発明のテストセット生成方法
は、ステップ(b)(iii)において、テストキュー
ブの特定入力数がビット・ストリッピングによって最小
化されることを特徴とする。
【0044】請求項5の本発明のテストセット生成方法
は、下記数式に基づいて入力の前記可制御性コストが計
算されることを特徴とする。
【0045】
【数8】 ここで、vは2値データ「0」または「1」、Xはドン
トケア入力、Cv(p )は入力pのコスト、g
カレント生成器への入力、及びggはグローバル生成
器への入力であって、各入力の可制御性コストは、2値
データvにラインを設定することにより生じる入力競合
とオーバーライド信号の数を推定するために使用され
る。
【0046】請求項6の本発明のテストセット生成方法
は、前記可制御性コストは下記数式に基づいて計算され
ることを特徴とする。
【0047】
【数9】 ここで、l及びlはそれぞれ、制御値c及び反転iを
有するゲートの入力及び出力である。
【0048】請求項7の本発明のテストセット生成方法
は、前記テスト生成コストが、あるライン上の特定の故
障を活動化するためのコストと、その故障をライン上に
伝播するためのコストの合計であることを特徴とする。
【0049】請求項8の本発明のテストセット生成方法
は、検出困難故障からなる故障リストに関してテストセ
ットを生成するテストセット生成方法において、下記ス
テップを備えることを特徴とする。 (a)i←0及びグローバル生成器glob_gen←
{N,N,…,N)を初期化する。 (b)カレント・テストキューブ・セットC←φを初
期化する。 (c)故障リスト内のすべての故障のマークを解除す
る。 (d)カレント生成器gen(C)={X,X,…,
X}及びj←0を初期化する。 (e)故障リストに故障がなくなったら、加重ランダム
パターンテスト生成ステップ(m)に進む。 (f)自動テストパターン生成器を使用してテストキュ
ーブcを生成する。 (g)テストキューブcをカレント・テストキューブ
・セットC←C∪cに追加する。 (h)テストキューブcによって検出された故障をマ
ークする。 (i)j←j+1を設定する。 (k)カレント・テストキューブ・セットC内のいず
れかのテストキューブの競合入力数が正の整数Mを上回
る場合には、C←C−c,i←i+1となり、グ
ローバル生成器を更新し、ステップ(b)に進む。 (l)カレント・テストキューブ・セットC内のいず
れかのテストキューブの競合入力数が正の整数Mを上回
らない場合には、生成器gen(C)を更新し、ステ
ップ(e)に進む。 (m)生成器gen(C)に応じて、入力を固定する
かもしくは純粋なランダム・パターンを入力に適用する
ことにより、3重みランダム・パターンテスト用パター
ンを生成する。及び、 (n)故障シミュレーションを実行して、生成された3
重みランダムパターンテスト用パターンによって検出さ
れた故障を除去する。
【0050】請求項9の本発明のテストセット生成方法
は、(o)互換のオーバーライド信号をマージするステ
ップを備えることを特徴とする。
【0051】請求項10の本発明のテストセット生成方
法は、前記ステップ(f)の前記テストキューブの生成
が、下記ステップを備えるプロセスによって実行される
ことを特徴とする。 (f)(i)検出困難故障の各々について、コスト関数
を使用して推定コストを計算する。 (f)(ii)最小コストの検出困難故障から、それま
で未選択のターゲット故障を選択する。 (f)(iii)選択されたターゲット故障のテストキ
ューブを生成する。 (f)(iv)選択された困難な故障の実質コストと推
定コストを比較する。 (f)(v)実質コストが推定コストと予定誤差の総計
を上回る場合は、下記のサブステップのうち適切な方を
実行する。 (1)検出困難故障セット内に未選択の故障が残ってい
る場合は、ステップ(f)(ii)に戻る。または (2)検出困難故障セットに未選択の故障が残っていな
い場合は、実質コストが最小のテストキューブを選択す
る。 (f)(vi)実質コストが推定コストと予定誤差の総
計を上回らない場合は、ステップ(f)(ii)で生成
されたテストキューブを選択されたテストキューブとし
て保持する。 (f)(vii)選択されたテストキューブによって検
出されたすべての故障をマークする。 (f)(viii)選択されたテストキューブをカレン
ト・テストセットに追加し、カレント生成器を更新す
る。
【0052】請求項11の本発明のテストセット生成方
法は、信号をゲートへの供給前にさらに反転することに
より、逆互換の入力がマージされることを特徴とする。
【0053】請求項12の本発明のテストセット生成方
法は、入力の可制御性コストが下記数式に基づいて計算
されることを特徴とする。
【0054】
【数10】 ここで、vは2値データ「0」または「1」、Xはドン
トケア入力、Cv(pk)は入力pkのコスト、gkは
カレント生成器への入力、及びggkはグローバル生成
器への入力であって、各入力の可制御性コストは、2値
データvにラインを設定することにより生じる入力競合
とオーバーライド信号の数を推定するために使用され
る。
【0055】請求項13の本発明のテストセット生成方
法は、可制御性コストが下記数式に基づいて計算される
ことを特徴とする。
【0056】
【数11】 ここで、l及びlはそれぞれ、制御値c及び反転iを
有するゲートの入力及び出力である。
【0057】請求項14の本発明のテストセット生成方
法は、テスト生成コストはあるライン上の特定の故障を
活動化するためのコストと、その故障をライン上に伝播
するためのコストの合計であることを特徴とする。
【0058】請求項15の本発明のスキャン方式ビルト
イン・セルフテスト回路は、並列型スキャン方式ビルト
イン・セルフテスト回路において、入力を備えるテスト
中の回路と、各スキャン・フリップフロップの各々は少
なくとも同期リセット(R)ピンまたは同期プリセット
(S)ピンを有する、入力に接続されるスキャン・フリ
ップフロップ・セットと、スキャン・フリップフロップ
・セットに入力を供給するランダム・ベクトルをロード
するための線形フィードバックシフトレジスタと、グロ
ーバル生成器の機能を備え、前記スキャン・フリップフ
ロップ内のR及びSピンを制御するデコーダ出力を供給
するデコーダと、前記デコーダ出力の状態を決定する前
記デコーダに入力を供給するカウンタと、前記デコーダ
用のイネーブル信号の入力手段を備え、前記デコーダは
R及びSピンへの入力を制御することによりR及びSピ
ンにオーバーライド信号を供給し、前記オーバーライド
信号は困難な故障用テストパターンの生成器内のテスト
に基づいてランダム・ベクトルをオーバーライドし、前
記テストは自動テストパターン生成器によって生成され
ることを特徴とする。
【0059】請求項16の本発明のスキャン方式ビルト
イン・セルフテスト回路は、前記イネーブル信号は、オ
ーバーライド・イネーブル入力信号及び最終スキャン入
力信号に対してAND演算を実行するANDゲートによ
って供給されることを特徴とする。
【0060】請求項17の本発明のスキャン方式ビルト
イン・セルフテスト回路は、「1」の入力をオーバーラ
イド・イネーブル入力信号に供給することにより、ラン
ダム・パターンがオーバーライドされ、ビルトインセル
フテストが有効化されることを特徴とする。
【0061】請求項18の本発明のスキャン方式ビルト
イン・セルフテスト回路は、最終スキャン入力信号は、
各スキャン・シフト操作の最終サイクルでのみ1に設定
されることを特徴とする。
【0062】請求項19の本発明のスキャン方式ビルト
イン・セルフテスト回路は、前記カウンタは、初期に
「0」に設定され、所定数(T)のランダム・パターン
が入力されている間は「0」に維持し、前記ランダム・
パターンはデコーダによって供給される生成器に基づい
て変更され、前記カウンタはさらに、増分されて新規の
生成器によりT個のランダム・パターンが適用されるよ
うにし、前記増分はデコーダによってすべての生成器が
適用されるまで反復されることを特徴とする。
【0063】請求項20の本発明のスキャン方式ビルト
イン・セルフテスト回路は、マージ可能な互換のオーバ
ーライド信号が、デコーダの同じ出力信号によって駆動
され、それによりデコーダ出力数が低減されることを特
徴とする。
【0064】請求項21の本発明のスキャン方式ビルト
イン・セルフテスト回路は、逆互換のオーバーライド信
号が、デコーダ出力によって直接駆動され、インバータ
を通過後にも同じデコーダ出力によって駆動されること
を特徴とする。
【0065】請求項22の本発明のスキャン方式ビルト
イン・セルフテスト回路は、グローバル生成器によって
スキャン入力に「1」が割り当てられた場合は、対応す
るスキャン・フリップフロップがSピンを有することを
特徴とする。
【0066】請求項23の本発明のスキャン方式ビルト
イン・セルフテスト回路は、グローバル生成器によって
スキャン入力に「0」が割り当てられた場合は、対応す
るスキャン・フリップフロップがRピンを有することを
特徴とする。
【0067】請求項24の本発明のスキャン方式ビルト
イン・セルフテスト回路は、グローバル生成器によって
スキャン入力に「0」及び「1」が割り当てられた場合
は、対応するスキャン・フリップフロップがRピンとS
ピンの両方を有することを特徴とする。
【0068】請求項25の本発明のスキャン方式ビルト
イン・セルフテスト回路は、前記スキャン・フリップフ
ロップがすでにハイ・アクティブSピンを有し、グロー
バル生成器内の対応するスキャン入力に「1」が割り当
てられた場合は、Sピンと通常存在する信号の間に2入
力ORゲートが挿入されることを特徴とする。
【0069】請求項26の本発明のスキャン方式ビルト
イン・セルフテスト回路は、前記スキャン・フリップフ
ロップがすでにハイ・アクティブRピンを有し、グロー
バル生成器内の対応するスキャン入力に0が割り当てら
れた場合は、Sピンと通常存在する信号の間に2入力O
Rゲートが挿入されることを特徴とする。
【0070】請求項27の本発明のスキャン方式ビルト
イン・セルフテスト回路は、前記スキャン・フリップフ
ロップがすでにロー・アクティブSピンを有し、グロー
バル生成器内の対応するスキャン入力に「1」が割り当
てられた場合は、Sピンと通常存在する信号の間に2入
力ANDゲートが挿入されることを特徴とする。
【0071】請求項28の本発明のスキャン方式ビルト
イン・セルフテスト回路は、前記スキャン・フリップフ
ロップがすでにロー・アクティブRピンを有し、グロー
バル生成器内の対応するスキャン入力に「0」が割り当
てられた場合は、Sピンと通常存在する信号の間に2入
力ANDゲートが挿入されることを特徴とする。
【0072】請求項29の本発明のスキャン方式ビルト
イン・セルフテスト回路は、逐次タイプのスキャン方式
ビルトイン・セルフテスト回路において、入力を備える
テスト中の回路と、入力に接続されるスキャン・フリッ
プフロップ・セットと、スキャン・フリップフロップ・
セットに入力を供給するランダム・ベクトルをロードす
るための線形フィードバックシフトレジスタと前記線形
フィードバックシフトレジスタとスキャン・フリップフ
ロップ・セットの間に挿入されるANDゲート及びOR
ゲートと、2個のデコーダ出力信号D0及びD1をAN
Dゲート及びORゲートに供給するデコーダと、前記デ
コーダに入力を供給する生成器を選択する生成器カウン
タと、前記デコーダに入力を供給するスキャン・カウン
タと、前記デコーダ用のイネーブル信号の入力手段を備
え、前記デコーダ出力の状態は、前記生成器カウンタ入
力及び前記スキャン・カウンタ入力の両方によって決定
され、前記デコーダは、オーバーライド信号を供給し、
前記オーバーライド信号は困難な故障のテストパターン
用の生成器のテストに基づいてランダム・ベクトルをオ
ーバーライドし、前記テストは自動テストパターン生成
器によって生成されることを特徴とする。
【0073】請求項30の本発明のスキャン方式ビルト
イン・セルフテスト回路は、前記デコーダの領域オーバ
ーヘッドは、前記デコーダの2つの出力と前記ANDゲ
ート及び前記ORゲートへの入力の間に、トグル・フリ
ップフロップを挿入することによって低減されることを
特徴とする。
【0074】請求項31の本発明のスキャン方式ビルト
イン・セルフテスト回路は、前記生成器内の競合入力に
対応するランダム・ベクトルからの入力はオーバーライ
ドされないことを特徴とする。
【0075】請求項32の本発明のスキャン方式ビルト
イン・セルフテスト回路は、前記スキャン・カウンタ
が、1回のスキャン・クロックのポジティブ・エッジご
とに1増分し、スキャン入力用の新規値は、すべてのピ
ンに対応する入力がスキャンで取り込まれるまで逐次ス
キャンされ、このとき、生成器内の値が「1」の場合、
対応するピン内のスキャン入力として、ランダム・ベク
トルによって供給される値の代わりに「1」がスキャン
によって取り込まれ、生成器内の値が「0」の場合、対
応するピン内のスキャン入力として、ランダム・ベクト
ルによって供給される値の代わりに「0」がスキャンに
よって取り込まれ、生成器内の値がドントケアまたは競
合値の場合は、ランダム・ベクトル内の値がスキャンに
よって取り込まれることを特徴とする。
【0076】請求項33の本発明のスキャン方式ビルト
イン・セルフテスト回路は、スキャンの順序は遺伝的ア
ルゴリズムを使用して決定され、その際には、スキャン
回路内のスキャン要素の順列が遺伝子コードとして使用
され、遺伝的アルゴリズムを使用して最小項が最小数と
なるようにスキャン要素の順序が決定されることを特徴
とする。
【0077】請求項34の本発明のスキャン方式ビルト
イン・セルフテスト回路は、互換のオーバーライド信号
は、遺伝的アルゴリズムを適用する前にマージされるこ
とを特徴とする。
【0078】請求項35の本発明のスキャン方式ビルト
イン・セルフテスト回路は、互換のスキャン・グループ
内のスキャン入力は、ルーティングまたはロード容量を
満たすように並び替えられることを特徴とする。
【0079】
【発明の実施の形態】以下、本発明の実施の形態につい
て図面を参照して詳細に説明する。
【0080】「3重みWRPT BISTのための改良
型ATPG」本発明の3重みWRPT BISTのため
の改良型ATPGでは、最初に、多数のランダム・パタ
ーン可試験性故障を検出するために、純粋な疑似ランダ
ム・パターンのシーケンスが適用される。ここでいう
「ランダム・パターン可試験性故障」とは、ランダム・
パターン耐性を有さない故障のことである。純粋なラン
ダム・パターンのシーケンスは、その全要素にUが割り
当てられた特殊な生成器と考えることができる。すべて
のテストキューブが事前に生成されるS. Pateraset a
l、I. Pomeranz et at、及びM. F. AlShaibi et alとは
対照的に、本発明の改良型ATPGでは、適切な検出困難故
障のテストキューブが1個ずつ生成される。
【0081】S. Pateras and J. Rajski, Cube-Contain
ed Random Patterns and Their Application to the Co
mplete Testing of Synthesized Multi-level Circuits
(キューブ格納ランダム・パターン及び合成多層回路の
完全テストへのその適用), In Proceedings IEEE Inter
national Test Conference, pages 473-482, 1991、I.
Pomeranz and S. Reddy, 3-Weight Pseudo-Random Test
Generation Based ona Deterministic Test Set for C
ombinational and Sequential Circuits(組み合わせ回
路及び順次回路のための決定用テストセットに基づく3
重み疑似ランダム・テスト生成器), IEEE Trans. On Co
mputer-Aided Design of Integrated Circuit and Syst
em, Vol. 12:1050-1058, July 1993、M. F. AlShaibi a
nd C. R.Kime. Fixed-Biased Pseudorandom Built-In S
elf-Test For Random Pattern Resistant Circuits(ラ
ンダム・パターン耐性回路のための固定バイアス疑似ラ
ンダム・ビルトイン・セルフテスト), in Proceedings
IEEE International TestConference, pages 929-938,
1994、及びM. F. AlShaibi and C. R. Kime. : ABIST
Method for Random Pattern Resistant Circuits(MFBIS
T:ランダム・パターン耐性回路のためのBIST手法), in
Proceedings IEEE International TestConference, pa
ges 176-185, 1996を参照。
【0082】本発明の手法では、適切なテストキューブ
のみが生成されるため、多数の割り当てが必要なテスト
キューブをフィルタリングによって除去するための手順
は不要である。生成されたテストキューブは、「カレン
ト・テストキューブ・セット」と呼ばれるテストキュー
ブ・セットCに入れられ、Cにそれ以上のテストキ
ューブを入れると故障の検出確率が事前定義されたしき
い値1/2よりも下回るようになるまで、すなわち、
テストキューブ・セットC内の競合入力数が最も多い
テストキューブの競合入力数がMを上回るまで、これが
続けられる。テストキューブ・セットCが生成される
と、以降に生成されるテストキューブを入れるための新
規のカレント・テストキューブ・セットCi+1が作成
される。
【0083】テストキューブがCに入れられると、生
成器gen(C)が式2に従って更新される。(生成
器数を最小にするために)各テストキューブ・セットに
できるだけ多くのテストキューブを入れるため、本発明
の改良型ATPGでは、各テストキューブは、カレント
・テストキューブ・セット内のすべてのテストキューブ
を考慮に入れて生成される。なお、本発明の手法は、従
来のATPG手法であるPODEMからの改良と考える
ことができる。PODEMの詳細については、P. Goel,
An Implicit Enumeration Algorithm to Generate Tes
ts for Combinational Logic Circuits(組み合わせ論理
回路用テストを生成するための暗黙エミュレーション・
アルゴリズム), IEEE Trans. on Computers, Vol. C-30
(3), March 1981を参照。
【0084】本明細書の「回路入力への生成器の適用」
の項目で述べたように、3重みWRPT BISTの実
装によるハードウェア・オーバーヘッドは、生成器数だ
けでなく、glob_genで表現されるオーバーライ
ド信号数によっても左右される。そのため、ハードウェ
ア・オーバーヘッドを最小化するためには、本発明の手
法ではオーバーライド信号数の最小化も試みられる。グ
ローバル生成器glob_genは、各テストキューブ
・セットCが確定された後、すなわち、これ以上テス
トキューブをテストキューブ・セットCに追加すると
テストキューブの競合入力数が事前定義されたMを上回
る状態になったときに更新される。本発明の改良型AT
PGではさらに、カレントglob_genを考慮に入
れることによってオーバーライド信号数が最小化され
る。
【0085】本発明の改良型ATPGが、カレント・テ
ストキューブ・セット内のテストキューブとの競合入力
数が最小で、かつ必要なオーバーライド信号数が最小の
テストキューブを生成するように誘導するため、可制御
性、可観測性、及びテスト生成コストが定義される。
【0086】ライン調整のためのバックトレース・パス
に複数の候補がある場合に本発明の改良型ATPGを誘
導する手段としては、各ラインの可制御性コストが使用
される。入力pの可制御性コストCV(p)は、カ
レント・テストキューブ・セットの生成器gen
(C)及びカレントglob_genを以下のように
考慮することによって定義される。
【0087】
【数12】 ここで、vは2値データ「0」または「1」である。
【0088】各入力の可制御性コストの目的は、ライン
lを2値データvに設定することによって生じる入力競
合及びオーバーライド信号の数を推定することにある。
入力g=Uの場合、カレント・テストキューブ・セッ
トにはすでに、入力pにおいて競合するテストキュー
ブが含まれている。よって、任意の2値データを入力p
に割り当ててもそれ以上の悪影響は生じない。よっ
て、CV(p)=0である。入力g=1(0)のと
き、入力pに1(0)が割り当てられているテストキ
ューブは、カレント・テストキューブ・セット内のどの
テストキューブとも競合しない。よって、CV(p
=0である。入力g=1の場合、カレント・テストキ
ューブ・セット内のすべてのテストキューブの入力p
には1またはXだけが割り当てられる。入力g=0の
場合も同様に、カレント・テストキューブ・セット内の
すべてのテストキューブの入力pには0またはXだけ
が割り当てられる。よって、入力pが反対値0(1)
に割り当てられているテストキューブは、入力pにお
いてカレント・テストキューブ・セット内の他のテスト
キューブとの競合を引き起こす。よってこの割り当てが
競合を引き起こすのは明らかであり、そのため、高いコ
ストCv(p)=wが割り当てられる。
【0089】入力g が現在Xである(よって、カレ
ント・テストキューブ・セット内のどのテストキューブ
でも入力pが指定されていない)入力pに2値デー
タが割り当てられている場合には、新規のオーバーライ
ド信号をBIST TPGに追加できる。入力g
現在Xである入力pが2値データvに割り当てられる
と、入力gXの現在の値が2値データvに変わる。こ
の状態では、入力gg の現在の値によっては、BIS
Tに追加のオーバーライド信号が必要になることがあ
る。入力ggが現在Bの場合、2個のオーバーライド
信号sk/1及びsk/0がすでに入力pに割り当て
られている。よって、入力pを任意の2値データに割
り当てても、追加のオーバーライド信号は必要とはなら
ない。また、入力ggが現在2値データvに割り当て
られている場合には、同じ値vを入力pに割り当てて
も、追加のオーバーライド信号は必要とはならない。前
述の2つのケースでは追加のオーバーライド信号は必要
ではなく、入力競合も発生しないが、vを入力pに割
り当てると、後に¬vを入力pに割り当てるテストキ
ューブが生成されたときに競合を引き起こす可能性があ
る。よって、2値データを入力pに割り当てることは
後の競合の潜在的な原因であるという理由で、小さいコ
スト1が割り当てられる。現在入力ggがNで入力g
=Xの場合、入力pを2値データvに割り当てる
必要があるテストキューブは、入力pのためにもう1
個のオーバーライド信号Sk/vを生成する。現在入力
gg=¬vで入力g =Xの場合、入力pを2値
データvに割り当てる必要があるテストキューブは、入
力ggの値をBに変え、入力pのためにもう1個の
オーバーライド信号sk/vを追加する。この2つのケ
ースについては、入力の可制御性はhである。ここで、
hはオーバーライド信号の追加によるコストを反映する
変数である。
【0090】回路内の内部回路ラインlの可制御性コス
トは、以下のように算出される。
【0091】
【数13】 ここで、l及びlは各々、制御値c及び反転iを有す
るゲートの入力及び出力である。上記の可制御性コスト
の計算は、Goldstein et alで使用される可試験性指標
に類似していることに注意されたい。L. H. Goldstein
and E. L. Thigpen. SCOAP: Sandia Controllability /
Observability Analysis Program(SCOAP:Sandia可制御
性 / 可観測性分析プログラム). In Proceedings IEEE-
ACM Design Automation Conference, pages 190-196, 1
980を参照。
【0092】回路1の可制御性コストCv(l)は、ラ
インlをvに設定することによる最小コストである。換
言すると、入力の1つのみを2値データv’に設定する
ことによってlをvに設定できる場合、すなわち、v=
v’xor i(v’はlを駆動するゲートの制御値)であ
り、lの入力lminがlの入力の中で最小のコスト関
数CV’(lmin)を有する場合、Cv(l)はCV
(lmin)として定義される。ただし、回路内に再収
束ファンアウトが存在する場合は、lminをv’に設
定することによってlをvに設定することは、他の目標
と対立するという理由で不可能なことがある。その場
合、本発明の改良型ATPGは、lminよりも高いコ
スト関数を有するlの他の入力を選択することにより、
ラインlをvに設定するという目標を満足する。よっ
て、ラインlをvに設定することによる実際コストはC
v(l)を上回る可能性はあるが、それを下回ることは
ない。
【0093】テスト生成中には、出力値が現時点では未
知で、かつゲート入力のうち少なくとも1つが故障効果
を有するすべてのゲートがDフロンティアに属する。D
フロンティアの詳細については、P. Goel, An Implicit
Enumeration Algorithm toGenerate Tests for Combin
ational Logic Circuits(組み合わせ論理回路用テスト
を生成するための暗黙エミュレーション・アルゴリズ
ム), IEEE Trans. on Computers, Vol. C-30(3), March
1981を参照。本発明の改良型ATPGでは、引き起こ
しうる競合が最小数で、入力における故障効果を伝播す
るために必要とされる追加のTPGハードウェアが最小
量のゲートがDフロンティアから選択され、故障効果が
1つ以上の一次出力に到達するまでこれが反復される。
【0094】以下で説明する可観測性コスト関数は、こ
の目標を達成するための選択基準の役割を果たす。可観
測性コスト関数は、一次出力から一次入力まで再帰的に
計算される。ラインlの可観測性コストは、以下によっ
て得られる。
【0095】
【数14】 ここで、後者のケースでは、lは入力lを有するゲー
トの出力、lは1以外のlの全入力である。ライン
lの可観測性コストはまた、ラインlにおける値を1つ
以上の一次出力に伝播するための最小コストでもある。
従って、可制御性コストの場合と同様に、回路内に再収
束ファンアウトが存在する場合は、ラインlにおける値
を1つ以上の一次出力に伝播するための実際コストは、
ラインlの可観測性コスト0(l)を上回る可能性はあ
るが、下回ることはない。
【0096】次に、本発明の改良型ATPGについて、
縮退故障モデルに関連する例を参照しつつ説明する。ラ
インlにおけるstuck−at−¬v(s−a−¬v、
図4のフローチャートにおいては、¬vは上付き線付き
のvで表示)検出用のテストキューブを生成するため
に、まずライン1をvに設定することにより故障が活動
化される。ラインl s−a−¬vを活動化するための
コストは、Cv(l)である。続いて、活動化された故
障効果が1つ以上の一次出力に伝播される。ライン1に
おける活動化された故障効果を伝播するためのコスト
は、O(l)である。よって、ラインl s−a−¬v
用のテストキューブを生成するためのテスト生成コスト
は、2つのコスト関数の和として定義される。
【0097】 Tv(l)=Cv(l)+O(l) 式(7) 可制御性コスト及び可観測性コストは可能な最小のコス
トとして定義されるので、2つのコスト関数Cv(l)
及びO(l)であるテスト生成コストTv(l)は、s
tuck−at−¬v検出用のテストキューブを生成す
るための最小コストでもある。よって、stuck−a
t−¬v検出用のテストキューブを生成するための実際
コストは、常にテスト生成コスト関数Tv(l)以上と
なる。本発明の改良型ATPGによって生成されるテス
トキューブは指定過剰な場合が多いので、本発明の改良
型ATPGによって2値データを割り当てられた少数の
ビットをドントケアと置換しても、ターゲット故障の検
出能力が損なわれることはない。指定入力の少ないテス
トキューブは、カレント・テストキューブ・セット内に
すでに存在するテストキューブとの競合入力を少数しか
有さないので、テストキューブ・セット内にそれだけ多
くのテストキューブを入れることができる。テストキュ
ーブが生成されると常に、2値データが割り当てられた
入力は、各入力をその2値データに割り当てることによ
るコストに基づいて順序付けされる。これらの入力の各
々に割り当てられた値は、この順序でフリップされる。
入力をフリップした後もまだターゲット故障を検出でき
る場合には、入力に割り当てられた値はドントケアに置
換される。この手順は、「ビット・ストリッピング」と
呼ばれる。
【0098】回路が再収束ファンアウトを有する場合
は、ある目標を満たすために必要とされる入力割り当て
が他の目標を満たすために必要とされる入力割り当てと
競合する可能性があり、この状態となった場合は、本発
明の改良型ATPGが選択する目標またはバックトレー
ス・パスはコストの高いものとなる。よって、再収束フ
ァンアウトを有する回路では、1つの故障に関して生成
されたテストキューブの実際コストが、式7に示す推定
テスト生成コスト関数によって示される当該故障のコス
トをはるかに上回る可能性がある。
【0099】このようなテストキューブがカレント・テ
ストキューブ・セットに追加されるのを防止するため、
生成されたテストキューブの実際コストがその故障の推
定テスト生成コストを一定の数値(例えば、100)以
上上回った場合には、その生成テストキューブは破棄さ
れる。その後、別のターゲット故障に関してテスト生成
が実行され、実際コストが推定テスト生成コストに近い
故障が見つかるか、あるいは故障リスト内のすべての故
障がチェックされるまでこれが続けられる。ただし、故
障リスト内のすべての故障がチェックされるワースト・
ケースの場合でも、テストキューブの生成が必要となる
故障はごく少数である。故障に関するテストキューブの
実際コストは推定テスト生成コストを決して下回らない
という点で、故障の推定テスト生成コストは常に故障の
実際コストの楽観的な概算値ということができる。よっ
て、ある故障の推定テスト生成コストが、カレント・テ
ストキューブ・セットに追加するために生成されたテス
トキューブの中で最小の実際コストを示したテストキュ
ーブの値を上回り、実際コストが高いために破棄された
場合は、その故障に関するテストキューブの中に実際コ
ストがカレントの最小実際コストを下回るものはなく、
よってその故障に関してテストキューブを生成する必要
はない。故障リスト内のすべての故障のテストキューブ
が非常に高い実際コストを示した場合、最小の実際コス
トを有するテストキューブがカレント・テストキューブ
・セットの新要素として選択される。
【0100】図4は、本発明の改良型ATPGの好適な
実施例を示すフローチャートである。
【0101】4.20では、すべての検出困難故障のコ
ストが算出される。4.30では、最小コストを有する
検出困難故障の中から、それまでに選択されていないタ
ーゲット故障が選択される。4.40では、選択された
ターゲット故障のテストキューブが生成される。4.5
0では、ビット・ストリッピングが実行される。4.6
0では、実質コストが推定コストと比較される。実質コ
ストが推定コストと予定誤差の合計を上回り、かつ未選
択の故障がある場合には、4.60で処理が4.30に
戻される。未選択の故障が残っていない場合は、4.8
0で最小実質コストを有するテストキューブが選択され
る。4.60で実質コストが推定コストと予定誤差の合
計を上回らない場合は、4.40で生成されたテストキ
ューブが、選択されたテストキューブとして保持され
る。最後に4.90で、選択されたテストキューブによ
って検出されたすべての故障がマークされる。
【0102】ATPGアルゴリズム全般に言えることで
あるが、従来のPODEMは非多項式ワースト・ケース
の時間複雑性も有するので、一部の故障についてテスト
を生成しない場合や、事前定義されたバックトラック限
度を超えるバックトラックのために生じた冗長性が識別
されない場合がある。これらの故障は、「アボートされ
た故障」と呼ばれる。本発明の改良型ATPGにより使
用される新規のコスト関数がバックトラックの増大を引
き起こすかどうかを確認するための実験を実施した。比
較のため、同じ故障のテストキューブにより生じるバッ
クトラックを低減する手段として、L.H.Goldsteinで
定義されるコスト関数を使用したPODEMを実装し
た。L. H. Goldstein and E. L. Thigpen, SCOAP: Sand
ia Controllability/Observability Analysis Program
(SCOAP: Sandia可制御性/可観測性分析プログラム), in
Proceedings IEEE-ACM Design Automation Conferenc
e, pages 190-196, 1980を参照。同じバックトラック限
度(500)を使用した場合、この実装でアボートされた故
障の数は、本発明の改良型ATPGで全回路に関してアボー
トされた故障の数に近かった。この事実は、一部の回路
に多数のバックトラックが生じたのは、新規のコスト関
数によるものではなく、本発明のATPGが改良の基礎とし
たPODEMアルゴリズムの限界に起因するものであること
を示唆している。
【0103】ある故障のテスト生成がアボートされた場
合に実行されるその後の改善では、アボートされた故障
に必要な入力割り当てを発見するために、TEGUSを
ベースとして実装されるSAT方式のATPGが呼び出
される。TEGUSの詳細な背景情報については、P. S
tephan, R. K. Brayton, and A. L. Sangiovanni-Vince
ntelli. Combinational Test Generation Using Satisf
iability(満足化可能性を使用した組み合わせテスト生
成). IEEE Trans. on Computer-Aided Designof Integr
ated Circuit and System, Vol. 15(9), Sep. 1996を参
照。
【0104】SAT方式のATPGで発見された必要な
入力割り当ては、競合分析によってそれ以外の必要な入
力割り当てを発見するためにさらに処理される。競合分
析では、SAT方式ATPGによって故障の検出に必要
な値を割り当てられなかった各入力pがまず0に設定
され、入力pにおけるその0が内部回路ライン内に伝
播される。伝播プロセスの後に故障効果(Dまたは¬
D)がいずれかの一次出力に伝播された場合は、SAT
方式ATPG及び競合分析の結果一次入力に割り当てら
れた現在値からなるキューブが、その故障のテストキュ
ーブとなる。それ以外であれば、入力pにおける0の
割り当てが、(1)回路内のすべてのxパスをブロック
したか、(2)Dフロンティアからすべてのゲートを除
去したか、または(3)故障値s−a−vと同じ故障サ
イトに2値データvを割り当てた場合には、故障を検出
するために入力pに1(この1は、故障を検出するた
めにpに必要な値)を割り当てなければならない。詳
細な背景情報については、M.Abramovici, M. A. Breue
r, and A. D. Friedman. Digital Systems Testing and
Testable Design(デジタル・システムのテストとテス
ト可能な設計). Computer Science Press, New York,
N.Y., 1990を参照。
【0105】競合分析の反復により、入力pに1が割
り当てられる。この入力に0と1の両方を割り当てると
前述の3つの競合条件のうち1つ以上が引き起こされる
場合は、その故障は冗長である。ある入力で必要とされ
る入力値が当該入力に割り当てられた後には、さらに別
の入力に必要な値を識別できる。競合分析は、必要な入
力がもはや識別されなくなるまで反復される。アボート
された故障に関して算出された必要な入力割り当ては、
本発明の改良型ATPGに渡され、その故障のテストキ
ューブが最終的に生成される。
【0106】「オーバーライド信号のマージ」すべての
検出困難故障のテストキューブが生成されたら、本明細
書の「回路入力への生成器の適用」の項目で示した最終
的なglob_genを拡張することによりオーバーラ
イド信号が作成される。入力ggに1が割り当てられ
た場合は、ORゲートが入力pに割り当てられる。図
3に示すように、入力g =1の生成器gen
(C)が適用されている間、ORの入力sk/1を1
に設定することにより入力pが1に固定される。同様
に、入力ggに0が割り当てられた場合は、ANDゲ
ートが入力pに割り当てられる。入力g =0の生
成器gen(C)が適用されている間、ANDの入力
k/0を1に設定することにより入力pが0に固定
される。生成器gen(C)内の入力g にUが割
り当てられている場合には、テストキューブC内に入
力競合が生じる。この場合は入力pを固定することは
できないので、生成器gen(C)が適用されている
間には、入力pに割り当てられたすべてのオーバーラ
イド信号は0に設定されなければならない。入力gg
にBが割り当てられた場合には、入力pにはOR及び
ANDゲートが割り当てられる。入力pは、対応する
オーバーライド信号sk/1によって駆動されるORゲ
ートと、もう一方のオーバーライド信号sk/0によっ
て駆動されるANDゲートによって駆動される(図3の
オーバーライド信号s1/0及びs1/1を参照のこ
と)。(入力g =1の生成器gen(C)の適用
中に)入力pを1に固定する必要がある場合には、オ
ーバーライド信号sk/lは1に設定され、sk/0
値はこの時点ではドントケアになる。逆に、(入力g
=0の生成器gen(C)の適用中に)入力p
0に固定する必要がある場合には、ANDゲート0の出
力における値がオーバーライドされないように、s
k/lは0に設定されなければならない。生成器gen
(C)内で入力g にXが割り当てられた場合、生
成器gen(C)の適用中には、対応するオーバーラ
イド信号sかsk/¬v、またはその両方がドントケア
となる。ただし、生成器gen(C)内で入力g
にUが割り当てられた場合には、入力pは1と0の両
方に割り当てられなければならない。よって、対応する
オーバーライド信号sk/vかsk/¬v、またはその
両方には、ランダム・パターン信号rがオーバーライ
ドされないように、0を割り当てる必要がある。
【0107】どの生成器においてもsa/y=’v及び
b/z=¬v(ここで、v∈{0,1})の場合、2
個のオーバーライド信号sa/y及びsb/z(ここ
で、a≠b及びy、z∈{0,1,X})は互換ではな
い。それ以外の場合は、sa/ とsa/zは互換であ
る。互換のオーバーライド信号は、1個のオーバーライ
ド信号にマージできる。例えば図1、図2では、生成器
gen(C)を適用するためにはs1/1は0に設定
され、s3/1は1に設定されなければならないため、
1/1とs3/1は互換ではない。一方、s1/0
2/0は互換である。
【0108】反転関係を考慮することにより、互換入力
の定義を拡大できる。例えば図2では、オーバーライド
信号s1/1にv(v=0または1)が割り当てられた
各生成器では、オーバーライド信号s3/1には¬vま
たはXが割り当てられることに注意する必要がある。従
って、入力pを固定する2入力ORゲートを駆動する
前にオーバーライド信号s3/1が反転され、一方s
1/1は入力pを固定する2入力ORゲートを直接駆
動する場合には、s3/1とs1/1はマージできる。
このようなオーバーライド信号sa/y及びs
b/z(a≠b)は、逆互換性があるといわれる。最小
数のオーバーライド信号を発見するステップは、最大独
立集合問題として数式化できる。詳細な背景情報につい
ては、J. A. Bondyand U. S. R. Murty. Graph Theory
with Applications(グラフ理論と応用例).American Els
evier Publishing Co. Inc., New York, N.Y., 1982を
参照。
【0109】図5は、図1、図2に示す生成器とオーバ
ーライド信号の実装において、互換のオーバーライド信
号がマージされる場合を示したものである。図3の従来
のアーキテクチャに示されるのと同じ生成器及びオーバ
ーライド信号のためのBIST実装ではデコーダは6個
の出力を有するのに対し、本発明の手法による実装では
デコーダは3個の出力しか有さないことに注意された
い。互換のオーバーライド信号s1/0、s2/0、及
びs4/1がマージされ、デコーダの同じ出力によって
駆動される。s1/1との逆互換性を有するs
3/1は、インバータを介してs1/1と同じデコーダ
出力によって駆動される。互換または逆互換の入力を有
さないs4/1は、他のどの入力ともマージされない。
【0110】本発明の改良型ATPG設計で使用される
アルゴリズムの全体を以下に大まかに示す。
【0111】(1) i←0,glob_gen←
{N,N,…,N} (2) カレント・テストキューブ・セットC←φ
を初期化する。故障リスト内のすべての故障のマークを
解除する。生成器gen(C)={X,X,…,
X}。j←0 (3) 故障リスト内に故障がなくなったら、6に進
む。本発明の改良型ATPGによりテストキューブC
を生成する。
【0112】(4) テストキューブcをカレント・
テストキューブ・セットC←C∪c、j←j+1
に追加する。テストキューブcによって検出された故
障をマークする。
【0113】(5) カレント・テストキューブ・セッ
トC内のいずれかのテストキューブの競合入力数がM
(Mは正の整数)を上回る場合には、C←C
,i←i+1となる。glob_genを更新し、
ステップ2に進む。上回らない場合は、生成器gen
(C)を更新し、ステップ3に進む。
【0114】(6) 生成器gen(C)に応じて、
入力を固定するかもしくは純粋なランダム・パターンを
入力に適用することにより、3重みWRPT用パターン
を生成する。故障シミュレーションを実行して、生成さ
れた3重みWRPT用パターンによって検出された故障
を除去する。
【0115】(7) 互換のオーバーライド信号をマー
ジする。
【0116】「各生成器のためのテストシーケンス」m
ビットのLFSRを使用して、m個の入力を有するCU
Tにランダム・パターンを適用すると想定する。LFS
Rはこれまで、最も低コストなランダム・パターン生成
器として知られてきた。本項では、LFSRを使用して
ランダム・パターンを生成する場合に、故障セットF
内の全故障の検出に必要とされるパターン長を算出する
方法について述べる。故障fのエスケープ確率とは、t
個のテストパターンを適用した後も故障fが検出されな
い確率である。エスケープ確率の詳細な背景情報につい
ては、P. H. B., W. H. McAnney, and J. Savir, Built
-In Test for VLSI: Pseudorandom Techniques(疑似ラ
ンダム手法), John Wiley& Sons, 1987を参照。例え
ば、原始多項式を有するm段のLFSRによってt個の
テストパターン(ここで、t<2)が生成された場合
などには、テストパターンの反復は許可されない。この
ような場合には、t個のテストパターンに対する故障f
のエスケープ確率は以下によって得られる。
【0117】
【数15】 ここで、Vは故障fのテストベクトル数である。2
im>>tの場合、式8は以下のように概算できる。
【0118】
【数16】 エスケープ確率がε以下の場合において故障fの検出に
必要なランダム・パターン長は、以下によって得ること
ができる。
【0119】
【数17】 故障セットF={f,f,…,f}を、テスト
キューブ・セットC内のテストキューブによって検出
される故障とする。故障セットF内のすべての故障を
検出するためには、検出確率が最低の故障を検出するの
に十分な長さを有するランダム・シーケンスを適用する
必要がある。1サイクルごとに1個の新規のパターンが
生成される場合には、時間間隔Tの間にT個のパターン
を生成することができる。よって、時間間隔Tとテスト
シーケンス長Tは区別なく使用することができる。この
ようなシーケンスTmaxは、以下によって得られる。
【0120】
【数18】 すべてのテストキューブの競合ビット数はM以上なの
で、各故障の検出確率は1/2以下である。よって、
式11は以下のように書き換えることができる。
【0121】
【数19】 全数実験を実施した結果、0.2未満のeを使用した場
合には、いずれのM入力にも、2Mビットのパターン
を適用することができた。表1に、ε=0.2及び0.
1の場合に、異なるMに必要とされるパターン長T
maxを示す。
【0122】
【表1】 単純なハードウェアについて、2の累乗でテストクロッ
クを除算することによりパターン長Tmaxのクロック
が生成される場合、時間間隔は、パターン長T max
上の2の最小累乗を算出することによって得られる。
【0123】2個の明確なMビット・パターンがすべ
てM競合入力に適用され、かつ他の入力は時間間隔Tの
間に生成器gen(C)に応じて固定された場合に
は、故障セットF内のすべての故障が検出されること
が保証される。上記の条件を満たすパターンは、疑似全
数テスト用に設計されたテストパターン生成器によって
も生成できる。このようなパターンを生成できるテスト
生成器としては、シンドローム・ドライバ・カウンタ、
定数重みカウンタ、組み合わせLFSR/SR、組み合
わせLFSR/XOR、及び圧縮LFSRが挙げられ
る。定数重みカウンタの詳細な背景情報については、D.
T. Tang and L. S. Woo, Exhaustive TestPattern Gen
eration with Constant Weight Vectors(定数重みベク
トルを有する全数テストパターンの生成). IEEE Trans.
on Computers, Vol. C-32(12), December 1983、及び
E. J. McCluskey, Verification Testing−A Pseudoexh
austiveTest Techniques(確認テスト−疑似全数テスト
手法), IEEE Trans. on Computers, Vol. C-33(6), Jun
e 1984を参照。組み合わせLSFR/SRの詳細な背景情報に
ついては、D.T.Tang and C.L. Chen, Logic Test Patte
rn Generation Using Linear Code (線形コードを使用
した論理テストパターンの生成)、IEEE Trans. Compute
rs, Vol. C-33(9), September 1984を参照。組み合わせ
LFSR/XORの詳細な背景情報については、S. B. Akers, O
n the Use of Linear Sums in Exhaustive Testing(全
数テストにおける算術和の使用), in Proceedings IEEE
International Conference on Fault-Tolerant Comput
ing, pages 148-153, 1985を参照。圧縮LFSRの詳細
な背景情報については、L.-T. Wang and E. J. McClusk
ey, ANew Condensed Linear Feedback Shift Register
Design for VLSI/System Testing(VLSI/システムテス
トのための新規の圧縮線形フィードバック・シフト・レ
ジスタ設計), in Proceedings IEEE International Con
ference on Fault-Tolerant Computing, pages 360-36
5, 1984、及びL.-T. Wang and E. J. McCluskey.Conden
sed Linear Feedback Shift Register (LFSR) Testing
−A Pseudoexhaustive Test Techniques(圧縮線形フィ
ードバック・シフト・レジスタ(LFSR)のテスト−擬似全
数テスト手法). IEEE Trans. on Computers, Vol. C-35
(4), April 1986を参照。
【0124】「スキャン方式ビルトイン・セルフテスト
への適用」本項では、改良型スキャン方式ビルトイン・
セルフテスト回路アーキテクチャの好適な実施例につい
て論じる。Touba’96及びWunderlich
et alとは対照的に、本発明のBISTでは全2
個のMビット・パターンを競合入力に適用するのに十分
な長さの各時間間隔Tの間にランダム・シーケンスが生
成されるので(本明細書の「各生成器のためのテストシ
ーケンス」の項目を参照)、本発明のBISTで達成さ
れる故障適用範囲は生成されるランダム・パターン・シ
ーケンスによって左右されない。N. A. Touba and E.
J. McCluskey, Altering a Pseudo-Random Bit Sequenc
e for Scan-Based BIST(スキャン方式BISTのための疑似
ランダム・ビット・シーケンスの改変), in Proceeding
s IEEE International Test Conference, pages 167-17
5, 1996、及びH.-J. Wunderlich and G. Kiefer, Bit-F
lipping BIST(ビットフリップBIST), In ProceedingsVL
SI Testing Symposium, pages 337-343, 1996を参照。
2種類のスキャン方式ビルトイン・セルフテストを開示
する。ここでは、これら2種類のアーキテクチャを具体
化する好適な実施例について論じる。
【0125】「並列型スキャン方式ビルトイン・セルフ
テスト」最初のタイプのスキャン方式ビルトイン・セル
フテストは「並列型スキャン方式ビルトイン・セルフテ
スト」と呼ばれるもので、test−per−cloc
k BISTといくつかの点で類似している。図6は、
本発明の手法を具体化する並列タイプスキャン方式ビル
トイン・セルフテストの実装を示す。図6では、図1、
図2に示す生成器及びオーバーライド信号と同じ回路例
が使用されている。test−per−clock B
ISTと同様に、オーバーライド信号はカウンタ6.3
0の出力を入力としてデコーダ6.10から生成され
る。ただし、test−per−clock BIST
ではオーバーライド信号が対応するAND及びORゲー
トを駆動するのとは異なり、並列型スキャン方式ビルト
イン・セルフテストでは、オーバーライド信号がスキャ
ン回路6.60〜6.64内のスキャン要素のS(同期
プリセット)及びR(同期リセット)ピンを駆動する。
そのため、並列型スキャン方式ビルトイン・セルフテス
トでは、グローバル生成器glob_genにおいて、
スキャン要素hによって駆動されるスキャン入力p
に1、0、またはBが割り当てられている場合には、S
またはRピンを有さないスキャン要素h、(i=1,
2,…)をSまたはRピン、またはその両方を有するス
キャン要素に置換する必要がある。入力gg=1の場
合、スキャン要素hはSピンを有するスキャン要素
6.62に置換される。入力gg=0の場合、スキャ
ン要素hはRピンを有するスキャン要素6.61に置
換される。最後に、入力gg=Bの場合、スキャン要
素hはS及びRピンの両方を有するスキャン要素6.
63に置換される。スキャン要素がすでに1つ以上の生
成器において、対応するスキャン入力に1が割り当てら
れたハイ・アクティブSピンを有する場合は、Sピンと
通常のプリセット信号の間に2入力ORゲート(また
は、Sピンがロー・アクティブの場合は2入力ANDゲ
ート)が挿入されなければならない。同様に、スキャン
要素がすでに1つ以上の生成器において、対応するスキ
ャン入力に0が割り当てられたハイ・アクティブRピン
を有する場合は、Rピンと通常のリセット信号の間に2
入力ORゲート(または、Rピンがロー・アクティブの
場合は2入力ANDゲート)が挿入されなければならな
い。例えば、図6に示す回路の入力pを駆動するスキ
ャン要素6.60は、元の回路内にその通常動作時にセ
ット/リセット論理によって制御されるSピンを有して
いたので、オーバーライド信号s1/0とスキャン要素
6.60のSピンの間にORゲートが挿入される。LF
SR6.20は、ランダム・テストパターンを生成す
る。
【0126】test−per−clock BIST
と並列型test−per−clock BISTのも
う1つの違いは、test−per−clock BI
STではデコーダ3.10の入力ピンENはイネーブル
信号3.30によって直接駆動されるのに対し、並列型
test−per−clock BISTではデコーダ
6.10のENは、2個の入力がそれぞれLAST_S
CAN信号とイネーブル信号によって駆動される2入力
ANDゲート6.40によって駆動されることである。
スキャン方式ビルトイン・セルフテストはn個のテスト
クロックを必要とする。ここでnは、スキャン・シフト
操作時にテストベクトルをスキャン回路にスキャンによ
り取り込むための、スキャン回路内のスキャン要素数で
ある。続いて、スキャン回路内のスキャン要素は、通常
モードにおいてスキャンにより取り込まれたテストベク
トルへの応答を捕捉するように構成される。LAST_
SCANは、各スキャン・シフト操作の終わりの1サイ
クルについては1に設定され、他のサイクルでは0に設
定される。従ってデコーダ6.10は、スキャン・シフ
ト操作の終わり、すなわちランダム・パターン生成器に
よって生成されたベクトルがスキャン回路内に完全にロ
ードされた時点にのみ有効化される。デコーダが有効化
されると、オーバーライド信号は、現在適用中の生成器
内で2値データが割り当てられたスキャン要素のSまた
はRピン、もしくはその両方を活動化することによって
スキャン回路にロードされるランダム・ベクトルを変更
する。
【0127】並列型スキャン方式ビルトイン・セルフテ
ストの領域オーバーヘッドは、test−per−cl
ock BISTのそれに近い。また、並列型スキャン
方式ビルトイン・セルフテストには、オーバーライド信
号を減少させるためのマージ手法(本明細書の「オーバ
ーライド信号のマージ」の項目を参照)を適用できる。
【0128】「逐次タイプのスキャン方式ビルトイン・
セルフテスト」図7に、「逐次タイプのスキャン方式ビ
ルトイン・セルフテスト」と呼ばれる本発明による第2
のタイプのスキャン方式ビルトイン・セルフテストの好
適な実施例を示す。デコーダ7.40の2個の出力信号
DO及びDlによってそれぞれ駆動されるOR7.20
ゲートとAND7.30ゲートは、LFSR7.10に
よって生成されるランダム・パターン・シーケンスを改
変する。カウンタ7.60及びスキャンカウンタ7.5
0は、デコーダの2個の出力信号の状態を決定する。ス
キャンカウンタは、(n+1)モジュロ・カウンタであ
る。ここで、nはスキャン回路7.70内のスキャン要
素数である。スキャンカウンタには、少なくとも[lo
g(n)]段のカウンタが必要である。スキャンカウン
タはすべてのスキャン方式ビルトイン・セルフテスト手
法に必要とされるものであり、本発明の3重みWRPT
BISTだけに必要とされるのではないことに注意さ
れたい。
【0129】並列タイプスキャン方式ビルトイン・セル
フテスト及びtest−per−clock BIST
と同様に、逐次タイプのスキャン方式ビルトイン・セル
フテストのデコーダの領域オーバーヘッドは、生成器数
(カウンタの段数)及びグローバル生成器glob_g
en内で指定されるオーバーライド信号数によって決ま
る。典型的には、ランダム・パターン耐性が同等な場合
は、回路内のスキャン要素が多いほど必要なオーバーラ
イド信号も多くなる。そのため、多数のスキャン要素を
有する回路では、デコーダを実装するために必要とされ
る領域オーバーヘッドは高レベルとなる。test−p
er−clock BIST及び並列型スキャン方式ビ
ルトイン・セルフテストでは、現在適用中の生成器内で
2値データが割り当てられたすべての入力が、同じサイ
クルにおいて並行して対応する2値データに固定される
ため、すべての互換のオーバーライド信号を1個のオー
バーライド信号にマージすることが可能である。
【0130】一方、逐次タイプのスキャン方式ビルトイ
ン・セルフテストにはわずか2個のオーバーライド信号
しかないため、現在適用中の生成器内で2値データが割
り当てられたスキャン入力用の値は、この2個のオーバ
ーライド信号によって(異なるサイクルにおいて)逐次
的に改変される。そのため、本明細書の「オーバーライ
ド信号のマージ」の項目にて説明した、デコーダの領域
オーバーヘッドを減少させるために互換のオーバーライ
ド信号をマージする手法は、逐次タイプのスキャン方式
ビルトイン・セルフテストには適用できない。逐次タイ
プのスキャン方式ビルトイン・セルフテストでは、図1
1に示すデコーダの出力とAND及びORゲートの間に
トグル・フリップフロップ9.10及び9.20を挿入
することによってデコーダの領域オーバーヘッドを低減
することができる。
【0131】ここでは、図8に示す、2個の生成器ge
n(C)及び生成器gen(C)のための逐次タイ
プスキャン方式ビルトイン・セルフテストを実装する場
合を取り上げる。最初に、図7に示す実装について考察
する。この実装ではデコーダの出力はAND及びORゲ
ートに直接接続されており、デコーダの一方の出力信号
はANDゲートの入力に、デコーダのもう一方の出
力信号DはORゲートの入力にそれぞれ接続されてい
る。スキャンカウンタの初期値を0とし、スキャン入力
の値は最初にスキャンされ、スキャン入力pの値
は最後にスキャンされるものと想定する。スキャンカウ
ンタは各スキャン・シフト・サイクルのポジティブ(ま
たはネガティブ)エッジにおいて1増分され、スキャン
入力の新規の値がスキャンによりスキャン回路に取り込
まれる。これは、スキャン入力全8個の新規の値がスキ
ャンにより取り込まれるまで繰り返される。現在カウン
タの値がi及びスキャンカウンタの値がjであれば、入
力g によって決定される入力pの値がスキャンに
より取り込まれる。すなわち、入力g =1の場合に
は1がスキャンにより取り込まれ、入力g =0の場
合には0がスキャンにより取り込まれ、入力g =U
またはXの場合にはランダム2値データがスキャンによ
り取り込まれる。
【0132】図8では、生成器gen(C)内におい
て入力g 、g 、及びg に1が割り当てら
れ、生成器gen(C)内においては入力g 、g
、及びg に1が割り当てられている。従ってs
は、カウンタの値が0及びスキャンカウンタの値が
3、5、6の場合と、カウンタの値が1及びスキャンカ
ウンタの値が4、5、7の場合に1に設定される。s
の関数のオンセットは6個の最小項を有する。同様にs
は、カウンタの値が0及びスキャンカウンタの値が
1、2、4の場合と、カウンタの値が1及びスキャンカ
ウンタの値が0、2、3の場合に1に設定される。s
の関数のオンセットもやはり6個の最小項を有する(図
9参照)。
【0133】図11は、前項で説明した逐次タイプスキ
ャン方式ビルトイン・セルフテストの別の実装を示す。
トグル・フリップフロップTF 9.10はデコーダ
9.30の出力DとORゲート9.40の入力の間に
挿入され、トグル・フリップフロップTF 9.20
はデコーダのもう一方の出力信号DとANDゲート
9.50の入力の間に挿入されることに注意されたい。
各スキャン・シフト操作の開始前に、トグル・フリップ
フロップTFは1に初期化され、トグル・フリップフ
ロップTFは0に初期化されると想定する。カウンタ
及びスキャンカウンタは、それぞれ9.60と9.70
である。最初に、生成器gen(C)を適用する場合
について考察する。TFの状態は、カウンタの値が0
及びスキャンカウンタの値が2になるまで0であり、こ
の状態は入力pの値がスキャンされたとき、すなわち
カウンタの値が0及びスキャンカウンタの値が3になっ
たときに1にトグルされる。入力pは、生成器gen
(C)内で1を割り当てられたスキャン回路の最初の
スキャン入力である。入力g =0なので、トグル・
フリップフロップTFの状態は次のサイクル、すなわ
ちスキャンカウンタの値が4で0にトグルされなければ
ならない。さらに、トグル・フリップフロップTF
状態はカウンタの値が0及びスキャンカウンタの値が5
でもう一度トグルされ、スキャン・シフト・サイクルの
終わりまで1にとどまる必要がある。入力pは生成器
gen(C)において1を割り当てられたスキャン回
路内の最初のスキャン入力なので、カウンタの値が1、
すなわち生成器gen(C)の適用中には、トグル・
フリップフロップTFの状態はスキャンカウンタの値
が4のときに1度だけトグルされる必要がある。そし
て、入力pに続くスキャン回路内のその他すべてのス
キャン入力には、生成器gen(C)内でXまたは1
だけが割り当てられる。以上の説明は、次のようにまと
めることができる。s の関数のオンセットはわずか4
個の最小項、すなわち、カウンタの値が0及びスキャン
カウンタの値が3、カウンタの値が0及びスキャンカウ
ンタの値が4、カウンタの値が0及びスキャンカウンタ
の値が5、カウンタの値が1及びスキャンカウンタの値
が4を有している。同様に、sの関数のオンセットも
やはり4個の最小項を有する(図10参照)。入力g
=1、すなわちトグル・フリップフロップTF=1
の場合、ORゲートの出力にはトグル・フリップフロッ
プTFの状態には関係なくトグル・フリップフロップ
TF=1によって1を割り当てることができるので、
トグル・フリップフロップTFの状態はドントケアで
ある。従って、トグル・フリップフロップTFの状態
はカウンタの値が0及びスキャンカウンタの値が5で変
更されなければならない。この例では、トグル・フリッ
プフロップを挿入することによる最小項の減少数は4個
だけであるが、長いスキャン回路を有する実際の回路で
は、トグル・フリップフロップを挿入することによって
最小項の数は劇的に減少する。
【0134】必要な領域オーバーヘッドが最小のデコー
ダを得るためには、各生成器のためのスキャン・シフト
操作中にトグル・フリップフロップが状態を変更する回
数が最小になるように、スキャン回路の順序を変更する
必要がある。本発明では、スキャン回路の順序変更の問
題は、遺伝的アルゴリズムを使用することによって確立
される。遺伝的アルゴリズムの詳細な背景情報について
は、D. E. Goldberg.Genetic Algorithms in Search, O
ptimization, and Machine Learning(探索、最適化、及
び機械学習における遺伝的アルゴリズム). Addison Wes
ley, Reading, M.A., 1989を参照。
【0135】遺伝的アルゴリズムは、Hollandによって
紹介されて以来、適度な計算時間内にNP完全問題を解
決できる手法として広く使用されてきた。J. H. Hollan
d, Adaptation in Natural and Artificial Systems(自
然及び人工システムでの適用), University of Michiga
n Press, Ann Arbor, M.I., 1975を参照。遺伝的アルゴ
リズムは、ランダムに生成される初期母集団から開始す
る。各個体には遺伝コードが割り当てられ、さらに、遺
伝コードから計算された適合関数が与えられる。各世代
の個体は、遺伝的進化の過程で存続または消滅する。良
好な適合関数を有する個体は、存続して次の世代に送ら
れる可能性が高まる。存続する個体はしばしば、他の個
体と遺伝子コードを交換し(クロスオーバー)、遺伝コ
ードの一部をフリップする(突然変異)ことにより、部
分的に異なる遺伝コードを持つ子孫を生み出す。
【0136】本発明で使用される遺伝的アルゴリズムで
は、スキャン回路に含まれるスキャン要素の順列が各個
体の遺伝子コードとしてコード化される。本発明で使用
される遺伝的アルゴリズムの目的は、最小数の最小項を
有するデコーダの関数に到達するスキャン要素の順序を
発見することにある。各生成器において割り当てられた
値に応じてランダム・テストシーケンスを改変するため
に、2個のトグル・フリップフロップにおいて必要とさ
れるトグルの回数を意味する非負適合関数が、現世代の
各個体について以下の式により計算される。
【0137】
【数20】 ここで、dは生成器数、nはスキャン入力数であり、To
ggle0(i、j)及びToggle(i,j)は以下によっ
て得られる。
【0138】
【数21】 ここで、トグル・フリップフロップTF(i,j−
1)及びトグル・フリップフロップTF(i,j−
1)は、直前のスキャン・シフト・サイクルにおけるト
グル・フリップフロップの状態である。初期状態は(j
=1)、トグル・フリップフロップTF(i,j)=
1、トグル・フリップフロップTF(i,j)=0で
あり、それ以降は、トグル・フリップフロップTF
(i,j)(TF(i,j))はToggle(i,
j)=1(Toggle(i,j)=1)の場合に常にその
状態をトグルする。
【0139】test−per−clock BIST
と並列型スキャン方式ビルトイン・セルフテストで互換
のオーバーライド信号のマージが可能であるのと同様
に、逐次タイプのスキャン方式ビルトイン・セルフテス
トでも互換のスキャン入力のマージは可能である。この
マージは、遺伝的アルゴリズムによるスキャン回路の順
序付けの前に行われる。任意の2個のスキャン入力p
及び入力pは、入力g の値が入力g の値と同
じか、あるいは各生成器において入力g または入力
のいずれかにXが割り当てられていれば互換であ
る。これ以外の場合は、入力pと入力pは互換では
ない。個々のスキャン入力を順序付けする代わりに、互
換のスキャン入力同士を1つのスキャン入力グループに
マージしてグループ単位で順序付けを行うことにより、
遺伝的アルゴリズムへの入力数が減少し、最小数の最小
項に到達する順序が得られるまでの遺伝的アルゴリズム
の実行時間が短縮される。
【0140】スキャン要素にはルーティングまたはロー
ド・キャパシタンスの制約(またはタイミングの制約)
があるので、一部のスキャン要素を近隣に配置すること
により、ルーティングやロード・キャパシタンスの制約
違反を回避する必要がある。スキャン回路の順序変更に
おけるこの制約は、スキャン入力の互換性を活用するこ
とにより緩和できる。逐次タイプのスキャン方式ビルト
イン・セルフテストのトグル・フリップフロップは、互
換のスキャン入力の値がスキャンにより取り込まれてい
る間は、その状態をトグルしない。そのため、互換スキ
ャン入力グループ内でスキャン入力を並び替えることに
よってスキャン要素のルーティングやロード・キャパシ
タンスの制約を満足することができ、しかもオーバーラ
イド信号を生成するデコーダを実装するための関数の最
小項数は増大しない。典型的には、各生成器ではかなり
の数のスキャン入力にXが割り当てられる。これらのス
キャン入力はスキャン回路内の他のどのスキャン入力と
も互換性があるので、スキャン回路内の任意の場所に配
置できる。これらのスキャン入力を適切な場所に配置す
ると、デコーダを実装するための最小項数を増大させる
ことなく、スキャン要素のルーティングやロード・キャ
パシタンスの制約を満たすことができる。
【0141】逐次タイプのスキャン方式ビルトイン・セ
ルフテストはデコーダを実装するためのハードウェア・
オーバーヘッドは、並列型スキャン方式ビルトイン・セ
ルフテストのそれよりも多いのが典型的である。ただ
し、逐次タイプのスキャン方式ビルトイン・セルフテス
トでは、R及び/またはSピンを有するスキャン要素
や、並列型スキャン方式ビルトイン・セルフテストでス
キャン入力を固定するために必要とされる追加の2入力
ORまたはANDゲートが不要なので、逐次タイプのス
キャン方式ビルトイン・セルフテストを実装するための
全体的なハードウェア・オーバーヘッドは、並列型スキ
ャン方式ビルトイン・セルフテストを実装するためのそ
れと同等である。さらに、並列型スキャン方式ビルトイ
ン・セルフテストでは、オーバーライド信号をデコーダ
の出力ピンから固定されるべきスキャン入力の対応する
S及び/またはRピンまで接続するためのルーティング
・オーバーヘッドが必要とされるのに対し、逐次タイプ
のスキャン方式ビルトイン・セルフテストでは、オーバ
ーライド信号は2個しかないのでルーティング・オーバ
ーヘッドはほとんど必要とされない。従って、BIST
が挿入される設計にルーティングの輻輳が存在する場合
には、逐次タイプのスキャン方式ビルトイン・セルフテ
ストの方が適している。
【0142】「実験結果」表2に、本発明のBISTを
使用したシミュレーションの実験結果を示す。これらの
実験は、論理合成ベンチマーク回路とISCASベンチ
マーク回路を使用して実施した。ほとんどの論理合成ベ
ンチマーク回路には、ISCASベンチマーク回路のそ
れよりもはるかに多い検出困難故障が存在する。また、
本発明の改良型ATPGのスケーラビリティを実証する
ため、大規模なISCASベンチマーク回路に関する実
験結果も報告する。実験は、1ギガバイトのメモリを搭
載した300MHz Sun Ultra2上で実施し
た。
【0143】test−per−clock BIST
の実験では、回路のすべてのフリップフロップの出力を
一次入力とし、すべてのフリップフロップの入力を一次
出力とする。スキャン方式ビルトイン・セルフテストの
実験ではさらに、どの一次入力も回路内の全フリップフ
ロップを含む同じスキャン回路によって駆動され、これ
らのフリップフロップはすべてスキャン要素に変換され
ると想定する。「#PI」のラベルが付けられたカラム
は一次入力数を示し、「#lines」のそれは各回路
の回路ライン数を示す。「#HF」のラベルが付けられ
たカラムは、疑似ランダム・パターン・セットの適用後
に未検出のまま残る故障数を示す。このカラムの括弧内
の数字は、検出容易な故障(短くて検出が容易な故障)
を検出するために適用される疑似ランダム・パターン数
である。「EFC」のラベルが付けられたカラムは、疑
似ランダム・パターンを適用することによって得られる
故障適用範囲を示す。本発明の手法は多数の検出困難故
障が含まれる回路にも適用可能であることを実証するた
め、非常に短いランダム・パターン・シーケンスを適用
する。「#RPL」のラベルが付けられたカラムは、1
00%かそれに近い故障適用範囲が達成されるまでにm
段のLFSR(mは入力数)によって生成される純粋な
疑似ランダム・パターン数を示す。「Tlen」のラベ
ルが付けられたカラムは、100%の故障適用範囲が達
成されるまでに本発明のBISTによって生成されるテ
ストパターンの総数を示す。このカラムの括弧内の数字
は本発明のBIST手法によるテストシーケンス長の減
少率である。競合入力へのランダム・パターンの適用
は、m段のLFSR(mは入力数)を使用して行われ
る。「M」のラベルが付けられたカラムは、各テストキ
ューブ・セットの最大競合入力を示す。「T」のラベル
が付けられたカラムは、各生成器が回路に適用される時
間を示す。「#G」のラベルが付けられたカラムは、本
発明の改良型ATPGによって生成される生成器数を示
す。「前 #OS」のラベルが付けられたカラムは、互
換のオーバーライド信号がマージされる前のオーバーラ
イド信号数を示し、「後 #OS」は互換のオーバーラ
イド信号がマージされた後のオーバーライド信号数を示
す。「実行時間」のラベルが付けられたカラムに示され
る実行時間は、本発明の改良型ATPGの実行時間と、
本発明のBISTによって生成されるテストシーケンス
(容易な故障を検出するために適用される疑似ランダム
・パターン・シーケンスと、3重みWRPTシーケン
ス)全体の故障シミュレーション時間の合計である。い
ずれの回路についても、バックトラック限度は500と
する。
【0144】
【表2】 上記回路のランダム・パターン・シーケンスは(AK9
6)で報告されている。
【0145】「デコーダ」の見出しのカラムは、ゲート
等価としてオーバーライド信号を生成するデコーダを実
装したことによるハードウェア・オーバーヘッドを示
す。「TPC」のラベルが付けられたカラムはtest
−per−clock BIST実装のハードウェア・
オーバーヘッドを示し、「TPS」のラベルが付けられ
たカラムは逐次タイプスキャン方式ビルトイン・セルフ
テストのハードウェア・オーバーヘッドを示す。2個の
トグル・フリップフロップを挿入し、スキャン回路の順
序を変更する(セクション6.2参照)ことによりデコ
ーダの実装によるハードウェア・オーバーヘッドの縮小
が可能なことを実証するため、両実装のゲート等価につ
いても報告する。これは、トグル・フリップフロップと
順序を変更したスキャン回路を使用する実装(カラム左
側の数字)と、トグル・フリップフロップを使用しない
実装(このカラムの括弧内の数字)として示す。デコー
ダ回路は、2層回路実装用のSISを実行することによ
って得られる。デコーダの合成には、NAND、NOR
ゲート、及びインバータのみを使用する。ゲート等価
は、J. Hartmannで提案される方法で算出す
る。この方法では、in入力NANDまたはNORゲー
トについては0.5n、インバータについては0.5が
使用される。I. Hartmann and G. Kemnitz, How to Do
Weighted RandomTesting for BIST(BISTのための加重ラ
ンダムテストの実行方法), in Proceedings IEEE Inter
national Conference on Computer-Aided Design, page
s 568-571, 1993を参照。
【0146】すべてのベンチマーク回路について、10
0%故障適用範囲が達成される。100%故障適用範囲
の達成に要する時間は、本発明のBIST手法によって
劇的に短縮される。M.F.AlShaibiには、s
838で100%の故障適用範囲を達成するためには1
億個以上のテストパターンが必要であると報告されてい
る。M. F. AlShaibi and C. R. Kime, MFBIST: A BIST
Method for Random Pattern Resistant Circuits(ラン
ダム・パターン耐性回路のためのBIST法), In Proceedi
ngs IEEE International Test Conference, pages 176-
185, 1996を参照。一方、本発明のBISTによって生
成されるテストパターンは、わずか512+6×512
=3,072個のテストパターンで同じ故障適用範囲を
達成する。ここで、512は容易な故障を検出するため
に適用される純粋ランダム・パターン数である。これ
は、全故障の検出に必要とされるテスト長が、約32×
10分の1に短縮されることを意味する。長い純粋ラ
ンダム・テストシーケンスを必要とするランダム・パタ
ーン耐性回路の場合は、これを上回る短縮が実現される
ことは明らかである。例えば、xparc、s838、
c5315、c7552などではテストシーケンス長は
数桁分も縮小されるので、顕著な効果が期待できる。実
験では3428個という非現実的なほどに多数の検出困
難故障が使用されるにもかかわらず、最も多くの生成器
を必要とするs38417でさえもわずか26個の生成
器しか必要としないことに注目する必要がある。これ
は、検出のための入力割り当てが多数の検出困難故障の
テストの間で共有されるためである。本発明のBIST
に必要とされるテストシーケンス長は生成器数によって
決定されることから、この実験結果により、多数の検出
困難故障を含む回路の場合でもテストシーケンス長は増
大しないことが実証される。事実、すべての回路で非常
に多くの検出困難故障が使用されているが、3重みWR
PTのテストシーケンス長は、容易な故障を検出するた
めにほとんどの回路で適用される疑似ランダム・テスト
シーケンス長さえも下回る。このことから、大きなMに
ついて適度な長さのテストシーケンスを算出することに
より、本発明のBISTを実装するためのハードウェア
・オーバーヘッドはほとんどの実用設計において低レベ
ルに抑えられることは明らかである。
【0147】本発明のBIST手法を実装するためにL
FSRなどの疑似ランダムBIST回路に追加されるハ
ードウェア・オーバーヘッドを推定するため、オーバー
ライド信号を生成するデコーダのゲート等価を列挙す
る。test−per−clock BISTにおいて
ランダム信号をオーバーライドするために疑似ランダム
BIST回路に追加すべきAND及び/またはORゲー
トの数(図3参照)は、互換の入力がマージされる前の
オーバーライド信号の数(「前 #OS」のカラムに示
す数)と同じである。各生成器を選択するカウンタを実
装するためのフリップフロップ数は、単に[log
(生成器数)]として算出できる。よって、生成器数
の増大に伴う増大はごくわずかである。26個の生成器
を必要とするs38417でも、5ビットのカウンタで
十分である。test−per−clock BIST
及び並列型スキャン方式ビルトイン・セルフテストのた
めのデコーダを実装するために必要とされるハードウェ
アは非常に少ない。s38417のような大規模な回路
に3428個の困難な故障が含まれる場合でも、ゲート
等価は169.5に過ぎない。デコーダを実装するため
のハードウェア・オーバーヘッドについては、逐次タイ
プのスキャン方式ビルトイン・セルフテストの方がte
st−per−clock BISTよりも多いが、デ
コーダを実装するためのハードウェア・オーバーヘッド
の影響はごくわずかである。例えば、組み合わせ部分が
約24,500ゲート等価となるs38417の場合、
逐次タイプスキャン方式ビルトイン・セルフテストのデ
コーダを実装するために必要とされるゲート等価はわず
か626である。これは、s38417の組み合わせ部
分のゲート等価に対する比率でいえば2.6%に過ぎな
い。このことから、本発明のBISTを適用することに
より、多数の検出困難故障を有する大規模な回路でも低
コストで故障を検出できることは明らかである。
【0148】実験結果は、逐次タイプのスキャン方式ビ
ルトイン・セルフテストの実装に伴うハードウェア・オ
ーバーヘッドは、トグル・フリップフロップの挿入とス
キャン回路の順序変更によって大幅に削減されることを
示している。xparc、bc0、rcklなどの少数
の回路を除いて、ほとんどの回路ではゲート等価が大幅
に減少する(前述の回路においても、ゲート等価の増大
はごくわずかである)。特に減少幅が大きいのは、s9
234(76%の減少)、s13207(68.5%の
減少)、s38417(66.4%の減少)、s385
84(66.3%の減少)などの大規模な回路である。
【0149】表に示される実行時間からも、本発明の改
良型ATPGの有効性は明らかである。ほとんどの回路
では、本発明の改良型ATPGの実行時間よりもむし
ろ、本発明のBISTシーケンスにより得られた故障適
用範囲を測定するための故障シミュレーションの方に多
くの実行時間が費やされることが、実験により示されて
いる。
【0150】表3は、テストシーケンス長(「Tle
n」の見出し)と純粋疑似ランダムBISTに追加され
るハードウェア・オーバーヘッドに関して、本発明のt
est−per−clock BISTの結果と、類似
のランダム・パターンテスト手法を使用する他のtes
t−per−clock BIST法の結果を比較した
ものである。これらの他の方法の詳細な背景情報につい
ては、I. Pomeranz andS, Reddy, 3-Weight Pseudo-Ran
dom Test Generation Based on a Deterministic Test
Set for Combinational and Sequential Circuits(組み
合わせ回路及び順次回路のための決定用テストセットに
基づく3重み疑似ランダム・テスト生成器), IEEE Tran
s. On Computer-Aided Design of Integrated Circuit
and System, Vol. 12:1050-1058, July 1993、M. Bersh
teyn, Calculation of MultipleSets of Weights for W
eighted Random Testing(加重ランダムテストのための
複数重みセットの計算), in Proceedings IEEE Interna
tional Test Conference,pages 1031-1040, 1993、M.
F. AlShaibi and C. R. Kime, Fixed-Biased Pseudoran
dom Built-In Self-Test For Random Pattern Resistan
t Circuits(ランダム・パターン耐性回路のための固定
バイアス疑似ランダム・ビルトイン・セルフテスト), i
n Proceedings IEEE International Test Conference,
pages 929-938, 1994、及びN. Touba and E. McCluske
y, Synthesis of Mapping Logic for Generating Trans
-formed Pseudo-Random Patterns for BIST(BIST用の変
換疑似ランダム・パターンを生成するためのマッピング
論理の合成), in ProceedingsIEEE International Test
Conference, pages 674-682, 1995を参照。
【0151】ハードウェア・オーバーヘッドは、フリッ
プフロップFFとゲートGEについて個別に推定され
る。Bershetyn、Pomeranz et al及びTouba '95のGEは、
Touba'95. M. Bershteyn, Calculation of Multiple Se
ts of Weights for WeightedRandom Testing(加重ラン
ダムテストのための複数重みセットの計算), In Procee
dings IEEE International Test Conference, pages 10
31-1040, 1993、I. Pomeranz and S. Reddy, 3-Weight
Pseudo-Random Test Generation Based on a Determini
stic Test Set for Combinational and Sequential Cir
cuits(組み合わせ回路及び順次回路のための決定用テス
トセットに基づく3重み疑似ランダム・テスト生成器),
IEEE Trans. On Computer-Aided Design of Integrate
d Circuit and System, Vol. 12:1050-1058, July 199
3、N. Touba and E. McCluskey, Synthesis of Mapping
Logic for Generating Trans-formed Pseudo-Random P
atterns for BIST(BIST用の変換疑似ランダム・パター
ンを生成するためのマッピング論理の合成), In Procee
dings IEEE International Test Conference, pages674
-682, 1995に述べられる方法で計算される。
【0152】
【表3】 M. Bershteynでは、従来の加重ランダム・
パターンBISTが様々な重みと共に使用される。M. B
ershteyn. Calculation of Multiple Sets ofWeights f
or Weighted Random Testing(加重ランダムテストのた
めの複数重みセットの計算). In Proceedings IEEE Int
ernational Test Conference, pages 1031-1040, 1993
を参照。「WS」のカラムは、重みセット数を示す。フリ
ップフロップ、FF、及びゲートGEは以下のように計算さ
れる。
【0153】FF=log(重みセット数) GE(4+(1.5)WS)(CUT内の入力数) Pomeranzでは、本発明のBISTと類似した手法が使用
されている。I. Pomeranz and S. Reddy, 3-Weight Pse
udo-Random Test Generation Based on a Deterministi
c Test Set for Combinational and Sequential Circui
ts(組み合わせ回路及び順次回路のための決定用テスト
セットに基づく3重み疑似ランダム・テスト生成器), I
EEE Trans. On Computer-Aided Design of Integrated
Circuitand System, Vol. 12:1050-1058, July 1993を
参照。Pomeranzが本発明のBISTと異なっている点は、テ
ストキューブの生成方法である。I. Pomeranz and S. R
eddy, 3-Weight Pseudo-Random Test Generation Based
on a Deterministic TestSet for Combinational and
Sequential Circuits(組み合わせ回路及び順次回路のた
めの決定用テストセットに基づく3重み疑似ランダム・
テスト生成器), IEEE Trans. On Computer-Aided Desig
n of Integrated Circuit and System, Vol. 12:1050-1
058, July 1993を参照。
【0154】本発明の手法とは対照的に、Pomeranzで
は、固定すべき入力を発見するための手順を適用する前
にすべての検出困難故障のテストキューブが生成され
る。I. Pomeranz and S. Reddy, 3-Weight Pseudo-Rand
om Test Generation Based on aDeterministic Test Se
t for Combinational and Sequential Circuits(組み合
わせ回路及び順次回路のための決定用テストセットに基
づく3重み疑似ランダム・テスト生成器), IEEE Trans.
On Computer-Aided Design of Integrated Circuit an
d System, Vol. 12:1050-1058, July 1993を参照。入力
のサブセットは、3ゲート・モジュールを使用して「拡
張テスト」と呼ばれる決定用ベクトルに固定される。ハ
ードウェア・オーバーヘッドは以下のように計算され
る。
【0155】FF=log(拡張テスト数) GE=(3ゲート・モジュール数)(1+平均ファンイ
ン) Touba’95では、新規故障を検出しないランダム
・ベクトルは、TPGとCUTの入力の間に配置された
マッピング論理によって、検出困難故障の決定用ベクト
ルにマッピングされる。N. Touba and E. McCluskey, S
ynthesis of Mapping Logic for Generating Trans-for
med Pseudo-Random Patterns for BIST(BIST用の変換疑
似ランダム・パターンを生成するためのマッピング論理
の合成),in Proceedings IEEE International Test Con
ference, pages 674-682, 1995を参照。「GE」のカラ
ムは、マッピング論理のゲート等価を示す。ゲート等価
の推定は、J.Hartmannに基づき、n入力NA
NDまたはNORについては0.5nGE、in入力X
ORについては2.5(n−1)GE、及び2−to−
1MUXについては1.5GEを使用して行われる。J.
Hartmann and G.Kemnitz, How to Do Weighted Random
Testing for BIST(BISTのための加重ランダムテストの
実行方法), in Proceedings IEEE International Confe
rence onComputer-Aided Design, pages 568-571, 1993
を参照。マッピング論理は回路のパフォーマンスを著し
く減じる可能性があるので、通常動作時にはMUXを使用
してマッピング論理をバイパスすることが推奨される。
「GE」のカラムに括弧で示した数字には、これらのM
UXのゲート等価が含まれる。M. F. AlShaibi and C.
R. Kime, MFBIST: A BIST Method for Random Pattern
Resistant Circuits(ランダム・パターン耐性回路のた
めのBIST法), In Proceedings IEEE International Tes
t Conference, pages 176-185, 1996を参照。
【0156】M.F.AlShaibi’96は、複数のアイドラ・レ
ジスタを使用してランダム・パターンまたは固定値を回
路の入力に適用するM.F.AlShaibi’94の改良版である。
M. F. AlShaibi and C. R. Kime, MFBIST: A BIST Meth
od for Random Pattern Resistant Circuits(ランダム
・パターン耐性回路のためのBIST法), in ProceedingsI
EEE International Test Conference, pages 176-185,
1996、及びM. F. AlShaibi and C. R. Kime, Fixed-Bia
sed Pseudorandom Built-In Self-Test For Random Pat
tern Resistant Circuits(ランダム・パターン耐性回路
のための固定バイアス疑似ランダム・ビルトイン・セル
フテスト), in Proceedings IEEE International Test
Conference, pages 929-938, 1994を参照。
【0157】固定すべき各入力にSFNセルが割り当て
られる。2個のフリップフロップからなる各SFNセル
は、2個のフリップフロップの接続部を再構成すること
により4種類のモードで機能できる。各構成シーケンス
について、メモリ装置に記憶される新規の固定値セット
が対応するSNFセルにロードされる。報告されるハー
ドウェア・オーバーヘッドは、SFNセル(固定入力
数)分のゲート等価のみを含む。
【0158】GE=(SFNcostxF=7xF) 他の方法では、複合回路の可能性があるBISTコント
ローラのハードウェア・オーバーヘッドはカウントされ
ない。これに対し本発明のBISTのGEには、入力が
固定されるゲートだけでなく、BISTコントローラを
実装するためのゲートも含まれる。それでもなお、本発
明のBISTのGEはほとんどの回路よりも小規模であ
る。その主な理由は、本発明の改良型ATPGを導入し
たことにあると考えられる。多数のフリップフロップを
必要とするM.F.AlShaibiに比較して、本発
明のBISTではフリップフロップはほとんど必要とさ
れない(最大規模の回路でもわずか5個)。同様に、
M.F.AlShaibiでは複雑な制御方式が必要と
されるのに対し、本発明のBISTのアーキテクチャは
ごく単純である。M. F. AlShaibi and C. R. Kime, MFB
IST: A BIST Method for Random Pattern Resistant Ci
rcuits(ランダム・パターン耐性回路のためのBIST法),
In Proceedings IEEE International Test Conference,
pages 176-185, 1996を参照。
【0159】一部の回路は、テストシーケンス長が本発
明のBISTよりも短い。N. Toubaand E. McCluskey,
Synthesis of Mapping Logic for Generating Trans-fo
rmed Pseudo-Random Patterns for BIST(BIST用の変換
疑似ランダム・パターンを生成するためのマッピング論
理の合成), in Proceedings IEEE International Test
Conference, pages 674-682, 1995、M. F. AlShaibi an
d C.R. Kime, MFBIST:A BIST Method for Random Patte
rn Resistant Circuits (ランダム・パターン耐性回路
のためのBIST法), In Proceedings IEEE International
Test Conference, pages 176-185, 1996を参照。ただ
し、本発明の手法では、生成器gen(C)が適用さ
れる時間Tは、どの生成器gen(C)(ここで、
i=0,1,2,…)についても同じである。そのため
本発明のBISTでは、全T個のテストパターンが適
用される前に生成器gen(C)がターゲットとする
全故障の検出が完了するが、生成器gen(C)のテ
ストパターンの生成は全T 個のテストパターンを生成
し終わるまで続けられる。多数のテストパターンが新規
故障を検出することなく破棄されるので、テストシーケ
ンス長は不必要に長くなる。各生成器がターゲットとす
る全故障の検出完了と同時に各生成器の適用が終了する
ように可変時間を使用するとテストシーケンス長を短縮
できるが、これによりBISTコントローラが複雑とな
る。BISTコントローラの実装によるハードウェア・
オーバーヘッドを含めても、本発明のBISTのGEが
ほとんどの回路のそれよりも小さいのは、1つにはこの
理由による。すべての生成器に同じ時間を使用すること
のもう1つの利点は、固定されていない入力に適用され
るランダム・パターン・シーケンスへの実装されたBI
STの依存度が低減することである。これにより、BI
STコントローラの実装後でも、本発明のBISTのラ
ンダム・パターン・シーケンスを生成するために使用さ
れるLFSRのフィードバック多項式またはシードを変
更することが可能になる。各生成器を適用する時間は2
の累乗(ランダム・パターン・シーケンスが容易な故障
を検出するための時間も2の累乗)であることに注意さ
れたい。これもまた本発明のBISTのテストシーケン
ス長を増大させるが、ハードウェア・オーバーヘッドは
減少する。
【0160】表4は、本発明のスキャン方式ビルトイン
・セルフテストの結果と他のスキャン方式ビルトイン・
セルフテスト法のそれを比較したものである。N. A. To
ubaand E. J. McCluskey, Altering a Pseudo-Random B
it Sequence for Scan-Based BIST(スキャン方式BISTの
ための疑似ランダム・ビット・シーケンスの改変),In P
roceedings IEEE International Test Conference, pag
es 167-175, 1996、H.-J. Wunderlich and G. Kiefer.
Bit-Flipping BIST(ビットフリップBIST), In Proceedi
ngs VLSI Testing Symposium, pages 337-343, 1996、
及びM. Karkala, N A. Touba, and H.-J. Wunderlich.
Special ATPG to Correlate Test Patterns for Low-Ov
erhead Mixed-Mode BIST(低オーバーヘッド混合モードB
IST用のテストパターンを相関するための専用ATPG), In
proceedings 7γrd Asian TestSymposIum, 1998を参
照。テストシーケンス長とハードウェア・オーバーヘッ
ドの結果を比較した。本発明のBISTのハードウェア
・オーバーヘッドは、逐次タイプのスキャン方式ビルト
イン・セルフテスト実装のそれである。
【0161】Touba’96及びKarkala et alで
は、「LFSRサイズ」のラベルが付けられたカラム
は、疑似ランダム・シーケンスの生成に使用されるLF
SRの段数を示す。「Seq.ID Reg.サイズ」
のラベルが付けられたカラムは、ビット固定シーケンス
生成論理の入力の1つを駆動するそのシーケンスIDの
レジスタのサイズを示す。N. A. Touba and E. J. McCl
uskey. Altering a Pseudo-Random Bit Sequence for S
can-Based BIST(スキャン方式BISTのための疑似ランダ
ム・ビット・シーケンスの改変), in Proceedings IEEE
International Test Conference, pages 167-175, 199
6、及びM. Karkala, N A. Touba, and H.-J.Wunderlic
h, Special ATPG to Correlate Test Patterns for Low
-Overhead Mixed-Mode BIST(低オーバーヘッド混合モー
ドBIST用のテストパターンを相関するための専用ATPG),
In proceedings 7γrd Asian Test Symposium, 1998を
参照。ビット固定シーケンス生成論理のもう一方の入力
は、本発明のBISTの スキャンカウンタ(図7参
照)に対応するMod−(m+1)カウンタによって駆
動される。ビット固定シーケンス生成論理は、本発明の
BISTにおけるオーバーライド信号を生成するデコー
ダに対応する。この論理は、無用な疑似ランダム・シー
ケンスを決定用テストキューブに変換するための制御信
号を生成する。「Lit.カウント」のカラムは、多層
ビット固定シーケンス生成論理のリテラル数を示す。T
ouba’96及びKarkala et alで発表された結果
は、すべてのケースで10,000個のテストパターン
を適用することによって得られる。N. A. Touba and E.
J. McCluskey, Altering a Pseudo-Random Bit Sequen
ce for Scan-Based BIST(スキャン方式BISTのための疑
似ランダム・ビット・シーケンスの改変), In Proceedi
ngs IEEE International Test Conference, pages 167-
175, 1996、及びM. Karkala, N A. Touba, and H.-J. W
underlich. Special ATPGto Correlate Test Patterns
for Low-Overhead Mixed-Mode BIST(低オーバーヘッド
混合モードBIST用のテストパターンを相関するための専
用ATPG), In proceedings 7γrd Asian Test Symposiu
m, 1998を参照。
【0162】
【表4】 H.−J.Wunderlichの「Hard Fau
lt」のラベルが付けられたカラムは、10,000個
の疑似ランダム・パターンを適用した後にも未検出のま
ま残る非冗長故障数を示す。H.-J. Wunderlich and G.
Kiefer. Bit-Flipping BIST(ビットフリップBIST). In
Proceedings VLSI Testing Symposium,pages 337-343,
1996を参照。「Prod. Term」のラベルが付け
られたカラムは、ビットフリップ関数を実装するために
必要とされた積項数を示す。これは、本発明のBIST
におけるビット固定シーケンス生成論理とデコーダに対
応する。N. A. Touba and E. J. McCluskey, Altering
a Pseudo-Random Bit Sequence for Scan-Based BIST
(スキャン方式BISTのための疑似ランダム・ビット・シ
ーケンスの改変), In Proceedings IEEE International
Test Conference,pages 167-175, 1996、及びM. Karka
la, N A. Touba, and H.-J. Wunderlich. Special ATPG
to Correlate Test Patterns for Low-Overhead Mixed
-Mode BIST(低オーバーヘッド混合モードBIST用のテス
トパターンを相関するための専用ATPG), In Proceeding
s 7γrd Asian Test Symposium, 1998を参照。ベンチマ
ーク回路のためのビットフリップ関数はいずれも、1
0,000個のパターンが適用されたときに100%の
故障適用範囲を達成するように設計されている。いずれ
のケースについても、疑似ランダム・シーケンスは32
段のLFSRを使用して生成される。H.−J.Wun
derlichでは、10,000個のパターンが適用
された後も未検出のまま残る困難な故障についてのみ考
慮していることに注意されたい。H.-J. Wunderlich and
G. Kiefer. Bit-Flipping BIST(ビットフリップBIST).
In Proceedings VLSI Testing Symposium, pages 337-
343, 1996を参照。従って、容易な故障を検出するため
に適用される10,000個のパターンを含めると、各
回路に適用される全テストパターンは20,000個で
ある。
【0163】本発明のBISTでは、デコーダを実装す
るために必要とされる積項数及びリテラル数のいずれも
報告されている。もし、本発明のBISTで生成される
シーケンスが他のBISTで生成されるシーケンスより
も短かかったとしても、本発明のBISTの実装に伴う
ハードウェア・オーバーヘッドはs1196を除くすべ
ての回路で最も低レベルである。(N. A. Touba and E.
3. McCluskey, Altering a Pseudo-Random Bit Sequenc
e for Scan-Based BIST(走査方式BISTのための疑似ラン
ダム・ビット・シーケンスの改変), In Proceedings IE
EE International Test Conference, pages 167-175, 1
996; and M. Karkala, N A. Touba、及びH. -J. Wunder
lich. Special ATPG to Correlate Test Patterns for
Low-Overhead Mixed-Mode BIST(低オーバーヘッド混合
モードBIST用のテストパターンを相関するための専用AT
PG), In proceedings 7γrd Asian Test Symposium, 19
98の場合は10,000パターン、H.-J. Wunderlich and G.
Kiefer. Bit-Flipping BIST(ビットフリップBIST), In
Proceedings VLSI Testing Symposium, pages 337-34
3, 1996の場合は20,000パターン)。
【0164】本発明のBISTのカウンタに対応するシ
ーケンスIDのレジスタのサイズは、すべての回路につ
いて本発明によるBISTのカウンタのサイズを上回っ
ている。N. A. Touba and E. J. McCluskey, Altering
a Pseudo-Random Bit Sequence for Scan-Based BIST
(スキャン方式BISTのための疑似ランダム・ビット・シ
ーケンスの改変), In Proceedings IEEE International
Test Conference, pages 167-175, 1996、及びM. Kark
ala, N A. Touba, and H.-J. Wunderlich. Special ATP
G to Correlate Test Patterns for Low-Overhead Mixe
d-Mode BIST(低オーバーヘッド混合モードBIST用のテス
トパターンを相関するための専用ATPG), In proceeding
s 7γrd Asian Test Symposium, 1998を参照。特に、c
7552やc2670のように多数の故障を含む大規模
な回路では、本発明のBISTの実装に伴うハードウェ
ア・オーバーヘッドは他のBISTのそれよりも大幅に
少ない。
【0165】以上好ましい実施の形態及び実施例をあげ
て本発明を説明したが、本発明は必ずしも上記実施の形
態及び実施例に限定されるものではなく、その技術的思
想の範囲内において様々に変形して実施することができ
る。
【0166】
【発明の効果】以上説明したように本発明によれば、以
下のような効果が達成される。
【0167】3重みWRPT BIST(test−p
er−clock及びスキャン方式)におけるテストシ
ーケンス長及びハードウェア・オーバーヘッドを削減す
る手法について提示する。本発明の3重みWRPT B
ISTでは、対応するオーバーライド信号が活動化され
たときにランダム・パターン信号をオーバーライドする
ことにより入力が所要の値に固定される。3重みWRP
T BISTの実装に伴うハードウェア・オーバーヘッ
ドは、典型的には、生成器数及びオーバーライド信号の
数によって決定される。生成器数とオーバーライド信号
を減少させるため、検出困難故障用の特殊なテストキュ
ーブが生成される、PODEMを基礎とする改良型AT
PGが開示される。本発明のATPGにおける選択は、
各目標を達成するために必要とされる生成器数及びオー
バーライド信号を推定するコスト関数によって誘導され
る。本発明のBISTのアーキテクチャは非常に単純な
ので、BISTを制御する回路を低コストで実装でき
る。さらに、本発明の改良型ATPGでは、生成器数だ
けでなくオーバーライド信号数も最小化される。最後
に、本発明の改良型ATPGによって生成されたオーバ
ーライド信号は、互換性分析とスキャン回路の順序変更
によりさらに最小化される。合成ベンチマーク回路に関
する実験結果によって、本発明の方法が必要とするハー
ドウェア・オーバーヘッドが非常に少ないことが実証さ
れている。
【0168】本発明の方法では、カレント・テストキュ
ーブ・セット内のテストキューブとの競合入力数が最も
少ない適切なテストキューブだけが生成されるので、多
数のテストキューブから適切なテストキューブを選択す
る手順が不要である。通常、この手順は高レベルの時間
複雑性を伴う。さらに、コスト関数の算出に伴う複雑性
は回路ライン数に直線的に現れるので、本発明の改良型
ATPGの時間複雑性は単純な組み合わせATPGのそ
れとほぼ同じである。従って、本発明のBIST設計法
は大規模な回路にも適用できる。
【0169】特殊設計のフリップフロップを必要とする
一部の従来手法とは異なり、本発明の手法では特殊なラ
イブラリ・モジュールは必要とされない。そのため、本
発明の手法はそれをサポートするための特殊なライブラ
リ・モジュールを設計することなく、どんなASIC環
境においても実装できる。本書のために実施された実験
では純粋ランダム・パターン・シーケンスの生成にLF
SRSが使用されているが、本発明のBIST用のラン
ダム・パターン・シーケンスの生成には、M.Bers
hteynなどの従来の加重ランダム・パターン生成器
を含むあらゆるランダム・パターン生成器を使用でき
る。M. Bershteyn. Calculation of Multiple Sets of
Weights for Weighted Random Testing(加重ランダムテ
ストのための複数重みセットの計算). In Proceedings
IEEE International Test Conference, pages 1031-104
0, 1993を参照。さらに、本発明のBISTは、テスト
ポイント挿入などの他のテスト容易化設計手法と組み合
わせて使用することも可能である。
【0170】回路によっては、単一の内部ラインを所望
の値に設定するために多数の入力が必要な場合がある。
テストポイントの挿入によってこのようなラインを所望
の値に直接設定すれば、オーバーライド信号数も削減さ
れる。
【図面の簡単な説明】
【図1】 テストキューブの例を示す。
【図2】 生成器、及び5入力を有する回路のオーバー
ライド信号を示す。
【図3】 図1、図2に対応するtest−per−c
lock BISTのための3重みWRPT回路の実装
を示す。
【図4】 本発明の改良型ATPG手法を説明するフロ
ーチャートを示す。
【図5】 マージされたオーバーライド信号を有するt
est−per−clock BIST実装を示す。
【図6】 3重みWRPTを有する本発明の並列タイプ
スキャン方式ビルトイン・セルフテスト回路の好適な実
施例を示す。
【図7】 3重みWRPTを有する本発明の逐次タイプ
スキャン方式ビルトイン・セルフテスト回路の好適な実
施例を示す。
【図8】 トグルを使用した最小項数の最小化を示す。
【図9】 トグルを使用した最小項数の最小化を示す。
【図10】 トグルを使用した最小項数の最小化を示
す。
【図11】 トグル・フリップフロップを有する逐次タ
イプスキャン方式ビルトイン・セルフテスト回路を示
す。
【符号の説明】
3.10 デコーダ 3.20 カウンタ 3.30 イネーブル信号 3.40 CUT 5.10 デコーダ 5.20 カウンタ 5.30 イネーブル信号 5.40 CUT 6.10 デコーダ 6.20 LFSR 6.30 カウンタ 6.40 2入力ANDゲート 6.60 スキャン要素 6.61 スキャン要素 6.62 スキャン要素 6.63 スキャン要素 6.50 CUT 7.10 LFSR 7.20 ORゲート 7.30 ANDゲート 7.40 デコーダ 7.50 カウンタ 7.60 スキャンカウンタ 7.70 スキャン回路 9.10 トグル・フリップフロップTF 9.20 トグル・フリップフロップTF 9.30 デコーダ 9.40 ORゲート 9.50 ANDゲート 9.60 カウンタ 9.70 スキャンカウンタ

Claims (35)

    【特許請求の範囲】
  1. 【請求項1】 検出困難故障用のテストセットを生成す
    るテストセット生成方法であって、下記のステップを備
    える。 (a)検出困難故障セットを識別するステップと、 (b)グローバル生成器の機能と、ハードウェア・オー
    バーヘッド及びテストシーケンス長を考慮して前記ハー
    ドウェア・オーバーヘッドが各テストキューブがテスト
    セットに追加された時点で発生するように当てはめる機
    能とを備える自動テストパターン生成器を使用すること
    により、検出困難故障用の前記テストセットを生成する
    ステップ。
  2. 【請求項2】 前記ステップbのテストセットが、下記
    ステップをさらに備えるプロセスによって生成されるこ
    とを特徴とする請求項1に記載のテストセット生成方
    法。 (b)(i)検出困難故障の各々について、コスト関数
    を使用して推定コストを計算し、 (b)(ii)最小コストの検出困難故障から、それま
    で未選択のターゲット故障を選択し、 (b)(iii)選択されたターゲット故障のテストキ
    ューブを生成し、 (b)(iv)選択された困難な故障の実質コストと推
    定コストを比較し、 (b)(v)実質コストが推定コストと予定誤差の総計
    を上回る場合は、下記のサブステップのうち適切なステ
    ップを実行し、 検出困難故障セット内に未選択の故障が残っている場合
    は、ステップ(b)(ii)に戻り、検出困難故障セッ
    トに未選択の故障が残っていない場合は、実質コストが
    最小のテストキューブを選択し、 (b)(vi)実質コストが推定コストと予定誤差の総
    計を上回る場合は、ステップ(b)(iii)で生成さ
    れたテストキューブを選択されたテストキューブとして
    保持し、 (b)(vii)選択されたテストキューブによって検
    出されたすべての故障をマークし、 (b)(viii)選択されたテストキューブをカレン
    ト・テストセットに追加し、カレント生成器を更新す
    る。
  3. 【請求項3】 前記コスト関数が、可制御性コスト、可
    観測性コスト、及びテスト生成コストを備えることを特
    徴とする請求項2に記載のテストセット生成方法。
  4. 【請求項4】 ステップ(b)(iii)において、テ
    ストキューブの特定入力数がビット・ストリッピングに
    よって最小化されることを特徴とする請求項2に記載の
    テストセット生成方法。
  5. 【請求項5】 下記の数式に基づいて入力の前記可制御
    性コストが計算されることを特徴とする請求項3に記載
    のテストセット生成方法。 【数1】 ここで、vは2値データ「0」または「1」、Xはドン
    トケア入力、 Cv(p)は入力pのコスト、 gはカレント生成器への入力、 及びggはグローバル生成器への入力であり、 各入力の可制御性コストは、2値データvにラインを設
    定することにより生じる入力競合とオーバーライド信号
    の数の推定に使用される。
  6. 【請求項6】 回路内の内部回路ラインlの前記可制御
    性コストは下記数式に基づいて計算されることを特徴と
    する請求項3に記載のテストセット生成方法。 【数2】 ここで、l及びlはそれぞれ、制御値c及び反転iを
    有するゲートの入力及び出力。
  7. 【請求項7】 前記テスト生成コストが、あるライン上
    の特定の故障を活動化するためのコストと、その故障を
    ライン上に伝播するためのコストの合計からなることを
    特徴とする請求項3に記載のテストセット生成方法。
  8. 【請求項8】 検出困難故障からなる故障リストに関し
    てテストセットを生成するテストセット生成方法におい
    て、下記ステップを備えることを特徴とする。 (a)添え字i←0及びグローバル生成器glob_g
    en←{N,N,…,N)を初期化する。 (b)カレント・テストキューブ・セットC←φを初
    期化する。 (c)故障リスト内のすべての故障のマークを解除す
    る。 (d)カレント生成器gen(C)={X,X,…,
    X}及びj←0を初期化する。 (e)故障リストに故障がなくなったら、加重ランダム
    パターンテスト生成ステップ(m)に進む。 (f)自動テストパターン生成器を使用してテストキュ
    ーブcを生成する。 (g)テストキューブcをカレント・テストキューブ
    ・セットC←C∪cに追加する。 (h)テストキューブcによって検出された故障をマ
    ークする。 (i)j←j+1を設定する。 (k)カレント・テストキューブ・セットC内のいず
    れかのテストキューブの競合入力数が正の整数Mを上回
    る場合には、C←C−c,i←i+1となり、グ
    ローバル生成器を更新し、ステップ(b)に進む。 (l)カレント・テストキューブ・セットC内のいず
    れかのテストキューブの競合入力数が正の整数Mを上回
    らない場合には、生成器gen(C)を更新し、ステ
    ップ(e)に進む。 (m)生成器gen(C)に応じて、入力を固定する
    かもしくは純粋なランダム・パターンを入力に適用する
    ことにより、3重みランダム・パターンテスト用パター
    ンを生成する。及び、 (n)故障シミュレーションを実行して、生成された3
    重みランダムパターンテスト用パターンによって検出さ
    れた故障を除去する。
  9. 【請求項9】 (o)互換のオーバーライド信号をマー
    ジするステップを備えることを特徴とする請求項8に記
    載のテストセット生成方法。
  10. 【請求項10】 前記ステップ(f)の前記テストキュ
    ーブの生成が、下記ステップを備えるプロセスによって
    実行されることを特徴とする請求項8に記載のテストセ
    ット生成方法。 (f)(i)検出困難故障の各々について、コスト関数
    を使用して推定コストを計算する。 (f)(ii)最小コストの検出困難故障から、それま
    で未選択のターゲット故障を選択する。 (f)(iii)選択されたターゲット故障のテストキ
    ューブを生成する。 (f)(iv)選択された困難な故障の実質コストと推
    定コストを比較する。 (f)(v)実質コストが推定コストと予定誤差の総計
    を上回る場合は、下記のサブステップのうち適切な方を
    実行する。 (1)検出困難故障セット内に未選択の故障が残ってい
    る場合は、ステップ(f)(ii)に戻る。または (2)検出困難故障セットに未選択の故障が残っていな
    い場合は、実質コストが最小のテストキューブを選択す
    る。 (f)(vi)実質コストが推定コストと予定誤差の総
    計を上回らない場合は、ステップ(f)(ii)で生成
    されたテストキューブを選択されたテストキューブとし
    て保持する。 (f)(vii)選択されたテストキューブによって検
    出されたすべての故障をマークする。 (f)(viii)選択されたテストキューブをカレン
    ト・テストセットに追加し、カレント生成器を更新す
    る。
  11. 【請求項11】 信号をゲートへの供給前にさらに反転
    することにより、逆互換の入力がマージされることを特
    徴とする請求項10に記載のテストセット生成方法。
  12. 【請求項12】 入力の可制御性コストが下記数式に基
    づいて計算されることを特徴とする請求項10に記載の
    テストセット生成方法。 【数3】 ここで、vは2値データ「0」または「1」、 Xはドントケア入力、 Cv(pk)は入力pkのコスト、 gkはカレント生成器への入力、及びggkはグローバ
    ル生成器への入力であって、 各入力の可制御性コストは、2値データvにラインを設
    定することにより生じる入力競合とオーバーライド信号
    の数を推定するために使用される。
  13. 【請求項13】 回路内の内部回路ラインlの可制御性
    コストが下記数式に基づいて計算されることを特徴とす
    る請求項10に記載のテストセット生成方法。 【数4】 ここで、l及びlはそれぞれ、制御値c及び反転iを
    有するゲートの入力及び出力である。
  14. 【請求項14】 テスト生成コストはあるライン上の特
    定の故障を活動化するためのコストと、その故障をライ
    ン上に伝播するためのコストの合計であることを特徴と
    する請求項10に記載のテストセット生成方法。
  15. 【請求項15】 並列型スキャン方式ビルトイン・セル
    フテスト回路において、 入力を備えるテスト中の回路と、 各スキャン・フリップフロップの各々は少なくとも同期
    リセット(R)ピンまたは同期プリセット(S)ピンを
    有する、入力に接続されるスキャン・フリップフロップ
    ・セットと、 スキャン・フリップフロップ・セットに入力を供給する
    ランダム・ベクトルをロードするための線形フィードバ
    ックシフトレジスタと、 グローバル生成器の機能を備え、前記スキャン・フリッ
    プフロップ内のR及びSピンを制御するデコーダ出力を
    供給するデコーダと、 前記デコーダ出力の状態を決定する前記デコーダに入力
    を供給するカウンタと、 前記デコーダ用のイネーブル信号の入力手段を備え、 前記デコーダはR及びSピンへの入力を制御することに
    よりR及びSピンにオーバーライド信号を供給し、前記
    オーバーライド信号は困難な故障用テストパターンの生
    成器内のテストに基づいてランダム・ベクトルをオーバ
    ーライドし、前記テストは自動テストパターン生成器に
    よって生成されることを特徴とするスキャン方式ビルト
    イン・セルフテスト回路。
  16. 【請求項16】 前記イネーブル信号は、オーバーライ
    ド・イネーブル入力信号及び最終スキャン入力信号に対
    してAND演算を実行するANDゲートによって供給さ
    れることを特徴とする請求項15に記載のスキャン方式
    ビルトイン・セルフテスト回路。
  17. 【請求項17】 「1」の入力をオーバーライド・イネ
    ーブル入力信号に供給することにより、ランダム・パタ
    ーンがオーバーライドされ、ビルトインセルフテストが
    有効化されることを特徴とする請求項16に記載のスキ
    ャン方式ビルトイン・セルフテスト回路。
  18. 【請求項18】 最終スキャン入力信号は、各スキャン
    ・シフト操作の最終サイクルでのみ1に設定されること
    を特徴とする請求項16に記載のスキャン方式ビルトイ
    ン・セルフテスト回路。
  19. 【請求項19】 前記カウンタは、初期に「0」に設定
    され、所定数(T)のランダム・パターンが入力されて
    いる間は「0」に維持し、前記ランダム・パターンはデ
    コーダによって供給される生成器に基づいて変更され、
    前記カウンタはさらに、増分されて新規の生成器により
    T個のランダム・パターンが適用されるようにし、前記
    増分はデコーダによってすべての生成器が適用されるま
    で反復されることを特徴とする請求項15に記載のスキ
    ャン方式ビルトイン・セルフテスト回路。
  20. 【請求項20】 マージ可能な互換のオーバーライド信
    号が、デコーダの同じ出力信号によって駆動され、それ
    によりデコーダ出力数が低減されることを特徴とする請
    求項15に記載のスキャン方式ビルトイン・セルフテス
    ト回路。
  21. 【請求項21】 逆互換のオーバーライド信号が、デコ
    ーダ出力によって直接駆動され、インバータを通過後に
    も同じデコーダ出力によって駆動されることを特徴とす
    る請求項15に記載のスキャン方式ビルトイン・セルフ
    テスト回路。
  22. 【請求項22】 グローバル生成器によってスキャン入
    力に「1」が割り当てられた場合は、対応するスキャン
    ・フリップフロップがSピンを有することを特徴とする
    請求項15に記載のスキャン方式ビルトイン・セルフテ
    スト回路。
  23. 【請求項23】 グローバル生成器によってスキャン入
    力に「0」が割り当てられた場合は、対応するスキャン
    ・フリップフロップがRピンを有することを特徴とする
    請求項15に記載のスキャン方式ビルトイン・セルフテ
    スト回路。
  24. 【請求項24】 グローバル生成器によってスキャン入
    力に「0」及び「1」が割り当てられた場合は、対応す
    るスキャン・フリップフロップがRピンとSピンの両方
    を有することを特徴とする請求項15に記載のスキャン
    方式ビルトイン・セルフテスト回路。
  25. 【請求項25】 前記スキャン・フリップフロップがす
    でにハイ・アクティブSピンを有し、グローバル生成器
    内の対応するスキャン入力に「1」が割り当てられた場
    合は、Sピンと通常存在する信号の間に2入力ORゲー
    トが挿入されることを特徴とする請求項15に記載のス
    キャン方式ビルトイン・セルフテスト回路。
  26. 【請求項26】 前記スキャン・フリップフロップがす
    でにハイ・アクティブRピンを有し、グローバル生成器
    内の対応するスキャン入力に0が割り当てられた場合
    は、Sピンと通常存在する信号の間に2入力ORゲート
    が挿入されることを特徴とする請求項15に記載のスキ
    ャン方式ビルトイン・セルフテスト回路。
  27. 【請求項27】 前記スキャン・フリップフロップがす
    でにロー・アクティブSピンを有し、グローバル生成器
    内の対応するスキャン入力に「1」が割り当てられた場
    合は、Sピンと通常存在する信号の間に2入力ANDゲ
    ートが挿入されることを特徴とする請求項15に記載の
    スキャン方式ビルトイン・セルフテスト回路。
  28. 【請求項28】 前記スキャン・フリップフロップがす
    でにロー・アクティブRピンを有し、グローバル生成器
    内の対応するスキャン入力に「0」が割り当てられた場
    合は、Sピンと通常存在する信号の間に2入力ANDゲ
    ートが挿入されることを特徴とする請求項15に記載の
    スキャン方式ビルトイン・セルフテスト回路。
  29. 【請求項29】 逐次タイプのスキャン方式ビルトイン
    ・セルフテスト回路において、 入力を備えるテスト中の回路と、 入力に接続されるスキャン・フリップフロップ・セット
    と、 スキャン・フリップフロップ・セットに入力を供給する
    ランダム・ベクトルをロードするための線形フィードバ
    ックシフトレジスタと前記線形フィードバックシフトレ
    ジスタとスキャン・フリップフロップ・セットの間に挿
    入されるANDゲート及びORゲートと、 2個のデコーダ出力信号D及びDをANDゲート及
    びORゲートに供給するデコーダと、 前記デコーダに入力を供給する生成器を選択する生成器
    カウンタと、 前記デコーダに入力を供給するスキャン・カウンタと、 前記デコーダ用のイネーブル信号の入力手段を備え、 前記デコーダ出力の状態は、前記生成器カウンタ入力及
    び前記スキャン・カウンタ入力の両方によって決定さ
    れ、 前記デコーダは、オーバーライド信号を供給し、前記オ
    ーバーライド信号は困難な故障のテストパターン用の生
    成器のテストに基づいてランダム・ベクトルをオーバー
    ライドし、前記テストは自動テストパターン生成器によ
    って生成されることを特徴とするスキャン方式ビルトイ
    ン・セルフテスト回路。
  30. 【請求項30】 前記デコーダの領域オーバーヘッド
    は、前記デコーダの2つの出力と前記ANDゲート及び
    前記ORゲートへの入力の間に、トグル・フリップフロ
    ップを挿入することによって低減されることを特徴とす
    る請求項29に記載のスキャン方式ビルトイン・セルフ
    テスト回路。
  31. 【請求項31】 前記生成器内の競合入力に対応するラ
    ンダム・ベクトルからの入力はオーバーライドされない
    ことを特徴とする請求項29に記載のスキャン方式ビル
    トイン・セルフテスト回路。
  32. 【請求項32】 前記スキャン・カウンタが、1回のス
    キャン・クロックのポジティブ・エッジごとに1増分
    し、スキャン入力用の新規値は、すべてのピンに対応す
    る入力がスキャンで取り込まれるまで逐次スキャンさ
    れ、 このとき、生成器内の値が「1」の場合、対応するピン
    内のスキャン入力として、ランダム・ベクトルによって
    供給される値の代わりに「1」がスキャンによって取り
    込まれ、 生成器内の値が「0」の場合、対応するピン内のスキャ
    ン入力として、ランダム・ベクトルによって供給される
    値の代わりに「0」がスキャンによって取り込まれ、 生成器内の値がドントケアまたは競合値の場合は、ラン
    ダム・ベクトル内の値がスキャンによって取り込まれる
    ことを特徴とする請求項29に記載のスキャン方式ビル
    トイン・セルフテスト回路。
  33. 【請求項33】 スキャンの順序は遺伝的アルゴリズム
    を使用して決定され、その際には、スキャン回路内のス
    キャン要素の順列が遺伝子コードとして使用され、遺伝
    的アルゴリズムを使用して最小項が最小数となるように
    スキャン要素の順序が決定されることを特徴とする請求
    項30に記載のスキャン方式ビルトイン・セルフテスト
    回路。
  34. 【請求項34】 互換のオーバーライド信号は、遺伝的
    アルゴリズムを適用する前にマージされることを特徴と
    する請求項33に記載のスキャン方式ビルトイン・セル
    フテスト回路。
  35. 【請求項35】 互換のスキャン・グループ内のスキャ
    ン入力は、ルーティングまたはロード容量を満たすよう
    に並び替えられることを特徴とする請求項34に記載の
    スキャン方式ビルトイン・セルフテスト回路。
JP2001399268A 2001-02-07 2001-12-28 スキャン方式3重み加重ランダムス・ビルトイン・セルフテストのテストセット生成方法 Expired - Fee Related JP3758155B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US26684501P 2001-02-07 2001-02-07
US09/805,899 US6886124B2 (en) 2001-02-07 2001-03-15 Low hardware overhead scan based 3-weight weighted random BIST architectures
US09/805899 2001-03-15
US60/266845 2001-03-15

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2004183137A Division JP4039397B2 (ja) 2001-02-07 2004-06-21 スキャン方式3重み加重ランダムス・ビルトイン・セルフテスト回路

Publications (2)

Publication Number Publication Date
JP2002286810A true JP2002286810A (ja) 2002-10-03
JP3758155B2 JP3758155B2 (ja) 2006-03-22

Family

ID=27624940

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2001399268A Expired - Fee Related JP3758155B2 (ja) 2001-02-07 2001-12-28 スキャン方式3重み加重ランダムス・ビルトイン・セルフテストのテストセット生成方法
JP2004183137A Expired - Fee Related JP4039397B2 (ja) 2001-02-07 2004-06-21 スキャン方式3重み加重ランダムス・ビルトイン・セルフテスト回路

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2004183137A Expired - Fee Related JP4039397B2 (ja) 2001-02-07 2004-06-21 スキャン方式3重み加重ランダムス・ビルトイン・セルフテスト回路

Country Status (2)

Country Link
US (1) US6886124B2 (ja)
JP (2) JP3758155B2 (ja)

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2394571B (en) * 2002-10-23 2005-08-10 Motorola Inc Arrangement system and method for vector permutation in single-instruction multiple-data microprocessors
JP3606525B2 (ja) * 2002-12-05 2005-01-05 沖電気工業株式会社 スキャンテスト回路
US7296249B2 (en) * 2003-10-10 2007-11-13 Thomas Hans Rinderknecht Using constrained scan cells to test integrated circuits
US7707472B1 (en) * 2004-05-17 2010-04-27 Altera Corporation Method and apparatus for routing efficient built-in self test for on-chip circuit blocks
US7356747B2 (en) * 2004-08-04 2008-04-08 Virginia Tech Intellectual Properties, Inc. Decision selection and associated learning for computing all solutions in automatic test pattern generation (ATPG) and satisfiability
US20060195737A1 (en) * 2005-02-11 2006-08-31 International Business Machines Corporation System and method for characterization of certain operating characteristics of devices
US20060236185A1 (en) * 2005-04-04 2006-10-19 Ronald Baker Multiple function results using single pattern and method
US7458046B2 (en) * 2005-07-19 2008-11-25 Fujitsu Limited Estimating the difficulty level of a formal verification problem
US7689873B1 (en) * 2005-09-19 2010-03-30 Google Inc. Systems and methods for prioritizing error notification
US7441171B2 (en) * 2006-03-24 2008-10-21 International Business Machines Corporation Efficient scan chain insertion using broadcast scan for reduced bit collisions
US7840865B2 (en) * 2007-03-23 2010-11-23 Mentor Graphics Corporation Built-in self-test of integrated circuits using selectable weighting of test patterns
JP5145167B2 (ja) * 2008-08-20 2013-02-13 ルネサスエレクトロニクス株式会社 クロックドメインチェック方法及びクロックドメインチェック用プログラム並びに記録媒体
US9599671B2 (en) * 2011-02-24 2017-03-21 New York University Architecture, system, method, and computer-accessible medium for partial-scan testing
CN102662144B (zh) * 2012-03-30 2017-07-25 北京大学 一种基于活性测度的硬件木马检测方法
US8640063B1 (en) * 2012-12-31 2014-01-28 King Fahd University Of Petroleum And Minerals Method for synthesizing soft error tolerant combinational circuits
US9003248B2 (en) * 2013-06-17 2015-04-07 Mentor Graphics Corporation Fault-driven scan chain configuration for test-per-clock
US9552449B1 (en) 2016-01-13 2017-01-24 International Business Machines Corporation Dynamic fault model generation for diagnostics simulation and pattern generation
US10527674B2 (en) * 2017-08-21 2020-01-07 International Business Machines Corporation Circuit structures to resolve random testability
US10502782B2 (en) * 2017-11-10 2019-12-10 International Business Machines Corporation Synthesis for random testability using unreachable states in integrated circuits
CN109490753B (zh) * 2018-11-13 2020-12-08 吉林大学 一种结合极小碰集约简集成电路测试模式集的方法
US11507721B2 (en) * 2020-09-25 2022-11-22 International Business Machines Corporation Scan chain wirelength optimization using Q-learning based reinforcement learning
KR102450484B1 (ko) * 2020-12-18 2022-09-30 연세대학교 산학협력단 테스트 포인트 삽입을 통하여 향상된 검출율을 가지는 고장 검출 방법, 고장 검출 장치 및 가중치 인가 회로

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2584172B2 (ja) * 1991-08-23 1997-02-19 インターナショナル・ビジネス・マシーンズ・コーポレイション デイジタル試験信号発生回路
US5323400A (en) * 1991-09-09 1994-06-21 Northern Telecom Limited Scan cell for weighted random pattern generation and method for its operation
US6061818A (en) * 1997-05-08 2000-05-09 The Board Of Trustees Of The Leland Stanford Junior University Altering bit sequences to contain predetermined patterns
US6067651A (en) * 1998-02-20 2000-05-23 Hewlett-Packard Company Test pattern generator having improved test sequence compaction
US6662327B1 (en) * 1998-05-13 2003-12-09 Janusz Rajski Method for clustered test pattern generation
US6694466B1 (en) * 1999-10-27 2004-02-17 Agere Systems Inc. Method and system for improving the test quality for scan-based BIST using a general test application scheme

Also Published As

Publication number Publication date
US6886124B2 (en) 2005-04-26
JP4039397B2 (ja) 2008-01-30
JP2004301856A (ja) 2004-10-28
US20030149927A1 (en) 2003-08-07
JP3758155B2 (ja) 2006-03-22

Similar Documents

Publication Publication Date Title
JP2002286810A (ja) スキャン方式3重み加重ランダムス・ビルトイン・セルフテストのテストセット生成方法、スキャン方式ビルトイン・セルフテスト回路
Lesser et al. An experimental delay test generator for LSI logic
US5414716A (en) Weighting system for testing of circuits utilizing determination of undetected faults
Touba et al. Synthesis of mapping logic for generating transformed pseudo-random patterns for BIST
Touba et al. Bit-fixing in pseudorandom sequences for scan BIST
JP4177807B2 (ja) 回路テストシステム
US5377197A (en) Method for automatically generating test vectors for digital integrated circuits
JP3148130B2 (ja) テストセット短縮および削減方法
JP2003515174A (ja) 擬似ランダムテストパターンを生成する移相器の線形依存性を低減する方法および線形依存性を低減した移相器
EP0803814A1 (en) Increasing testability by clock transformation
Chatterjee et al. A BIST pattern generator design for near-perfect fault coverage
Li et al. Capture-power-safe test pattern determination for at-speed scan-based testing
Tsai et al. Efficient test-point selection for scan-based BIST
Pomeranz Storage-based logic built-in self-test with partitioned deterministic compressed tests
JP2000258500A (ja) 半導体集積回路及び記憶媒体
Bhawmik et al. DFT expert: Designing testable VLSI circuits
Seuring et al. A structural approach for space compaction for concurrent checking and BIST
US7051302B2 (en) Method for reducing pin overhead in non-scan design for testability
Golubeva BDD and DNF based algorithms for constructing all testability functions of combinational circuit
Ohtake et al. A method of test generation for path delay faults in balanced sequential circuits
Elm et al. Scan chain organization for embedded diagnosis
Kim et al. BIDES: A BIST design expert system
Pomeranz Simultaneous generation of functional and low-power non-functional broadside tests
JP2004077356A (ja) スキャンチェーン回路、スキャンチェーン構築方法およびそのプログラム
Lai et al. Logic BIST using constrained scan cells

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20040421

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040621

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050607

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20050808

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20051209

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20051222

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100113

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110113

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110113

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120113

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130113

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130113

Year of fee payment: 7

LAPS Cancellation because of no payment of annual fees