JP2001267328A - Semiconductor device and its manufacturing method - Google Patents

Semiconductor device and its manufacturing method

Info

Publication number
JP2001267328A
JP2001267328A JP2000073411A JP2000073411A JP2001267328A JP 2001267328 A JP2001267328 A JP 2001267328A JP 2000073411 A JP2000073411 A JP 2000073411A JP 2000073411 A JP2000073411 A JP 2000073411A JP 2001267328 A JP2001267328 A JP 2001267328A
Authority
JP
Japan
Prior art keywords
layer
semiconductor layer
region
semiconductor
insulating film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
JP2000073411A
Other languages
Japanese (ja)
Inventor
Takashi Yamada
敬 山田
Shigeru Kawanaka
繁 川中
Tomoaki Shino
智彰 篠
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP2000073411A priority Critical patent/JP2001267328A/en
Publication of JP2001267328A publication Critical patent/JP2001267328A/en
Abandoned legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Electrodes Of Semiconductors (AREA)
  • Bipolar Transistors (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Bipolar Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)

Abstract

PROBLEM TO BE SOLVED: To provide a semiconductor device which can reduce the collector resistance of a bipolar transistor having an intrinsic emitter region, intrinsic base region and intrinsic collector region arranged in the vertical direction in a thin SOI layer of several tens to several hundreds of nanometers, and avoid deteriorating characteristics due to current concentration on the intrinsic collector region. SOLUTION: The semiconductor device (5, 6, 8, 18), provided in a semiconductor layer on an insulation film (1) through which a current flows in the thickness direction of the semiconductor layer and comprises a conductive layer (17) which adjoins the semiconductor device and is embedded in the insulation film, and an electrode (29) electrically connected to the conductive layer, and the current flows to the electrode via the interface between the semiconductor device and the conductive layer.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明はバイポーラトランジ
スタに関し、特にトランジスタの真性エミッタ、真性ベ
ース及び真性コレクタが絶縁層上の厚さ数10nm〜数
100nm程度の薄膜半導体層中において垂直方向に配
列している縦型SOI−バイポーラトランジスタに関す
る。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a bipolar transistor, and more particularly, to a transistor in which an intrinsic emitter, an intrinsic base, and an intrinsic collector of a transistor are vertically arranged in a thin film semiconductor layer having a thickness of about several tens nm to several hundreds nm on an insulating layer. Vertical SOI-bipolar transistor.

【0002】[0002]

【従来の技術】近年、いわゆるSOI(Silicon
On Insulator)基板技術の進歩により、
厚さ数10nm〜数100nm程度の薄膜SOI層に主
にMOS型トランジスタからなるLSIチップの開発が
活発化してきている。これは、MOS型トランジスタを
薄膜SOI層上に形成することで、そのソース・ドレイ
ン拡散層が埋め込み絶縁膜まで到達するように形成で
き、これにより接合容量を削減できるため、同様サイズ
のトランジスタを用いても、通常のBulk基板に対し
て数十%程度、回路の高速化や低消費電力化が達成でき
るメリットがあるためである。
2. Description of the Related Art In recent years, a so-called SOI (Silicon) has been developed.
On Insulator) With the progress of substrate technology,
The development of LSI chips mainly composed of MOS transistors on a thin film SOI layer having a thickness of about several tens nm to several hundreds nm has been activated. This is because, when a MOS transistor is formed on a thin film SOI layer, the source / drain diffusion layers can be formed so as to reach the buried insulating film, thereby reducing the junction capacitance. However, this is because there is an advantage that the circuit can be speeded up and power consumption can be reduced by about several tens of percent compared to a normal Bulk substrate.

【0003】一方で、近年、無線通信装置のマーケット
が拡大し、RF(Radio Frequency)機
能を持ったチップの需要が飛躍的に増してきている。R
F回路を構成するトランジスタとしては、主にバイポー
ラ型トランジスタが使われており、周波数帯の上昇に対
応できる高速性と、携帯電話などバッテリーバックアッ
プによる装置への応用のための低消費電力性とが重要と
なる。
On the other hand, in recent years, the market for wireless communication devices has expanded, and the demand for chips having an RF (Radio Frequency) function has increased dramatically. R
Bipolar transistors are mainly used as the transistors that make up the F circuit, and high-speed operation can cope with an increase in the frequency band and low power consumption for application to battery-backed devices such as mobile phones. It becomes important.

【0004】以上より、今後、薄膜SOI層上にMOS
型トランジスタを形成したLSIチップの重要性が高ま
るにつれ、同一薄膜SOI層上に高速かつ低消費電力な
バイポーラ型トランジスタを形成する技術が重要になっ
てくる。
As described above, in the future, a MOS transistor will be formed on a thin film SOI layer.
As the importance of an LSI chip on which a transistor is formed increases, a technique for forming a high-speed and low-power-consumption bipolar transistor on the same thin-film SOI layer becomes important.

【0005】図12を用いて、従来例1の薄膜SOI層
上に形成したバイポーラ型トランジスタ構造とその問題
点を説明する。USP−5,087,580で公開され
ている構造は、n型の真性エミッタ74に対して、外部
ベース領域であるp+型ベース71と外部コレクタ領域
であるn+型コレクタ75とが両サイドに分けて設けら
れている。このような構造は、外部エミッタ領域である
+型エミッタ73をゲート電極と見立てて、その両側
に不純物タイプが異なるソース・ドレインが設けられた
MOS型トランジスタのような構造であることから、MOS型
トランジスタとのプロセスの相性が良く、工程短縮が可
能となる。また、薄膜SOI層上に形成することで、外部
ベース71と外部コレクタ75の拡散層が埋め込み絶縁
膜(不図示)に達しているため、外部ベース71、外部
コレクタ75、外部ベース71−真性コレクタ76間の
それぞれの寄生容量を低減できるため、高速化と低消費
電力化には都合の良い構造となっている。
Referring to FIG. 12, a bipolar transistor structure formed on a thin-film SOI layer of Conventional Example 1 and its problems will be described. The structure disclosed in US Pat. No. 5,087,580 discloses that an n + -type intrinsic emitter 74 has a p + -type base 71 as an external base region and an n + -type collector 75 as an external collector region on both sides. Are provided separately. In such a structure, an n + -type emitter 73 as an external emitter region is regarded as a gate electrode, and sources and drains having different impurity types are provided on both sides thereof.
Since it has a structure like a MOS transistor, the compatibility with the process of the MOS transistor is good, and the process can be shortened. Further, since the diffusion layers of the external base 71 and the external collector 75 reach the buried insulating film (not shown) by being formed on the thin film SOI layer, the external base 71, the external collector 75, the external base 71 and the intrinsic collector 71 Since the respective parasitic capacitances between the capacitors 76 can be reduced, the structure is convenient for high speed and low power consumption.

【0006】しかしながら、外部コレクタ75が素子の
真性領域である真性エミッタ74、真性ベース72、真
性コレクタ76の直下にないため、コレクタ抵抗が増大
しやすいという問題点がある。さらに、真性コレクタ7
6から外部コレクタ75にかけて流れる電流はL字型に
曲げられる部分で最短距離を通ろうとするため電流の集
中が起こり、さらにコレクタ抵抗を増加させるととも
に、いわゆるカーク効果によりfTが著しく低下すると
いう問題を引き起こし高速化が困難であった。
However, since the external collector 75 is not located immediately below the intrinsic emitter 74, the intrinsic base 72, and the intrinsic collector 76, which are the intrinsic regions of the device, there is a problem that the collector resistance tends to increase. In addition, the intrinsic collector 7
The current flowing from 6 to the external collector 75 tends to pass through the shortest distance in a portion bent into an L-shape, causing current concentration, further increasing the collector resistance and remarkably reducing fT due to the so-called Kirk effect. It was difficult to raise the speed.

【0007】一方、図13に示す従来例2のUSP−
5,102,809で公開されている構造は、従来の厚
さ1μm程度以上の厚膜に形成されたバイポーラ型トラ
ンジスタをそのまま絶縁層(不図示)上に形成したもの
である。この構造では、外部コレクタ領域であるn+
コレクタ85をトランジスタの真性領域直下に形成して
いるため著しい高速性能劣化は起こらず、むしろ、従来
のp型バルク基板上のトランジスタに対してn+型コレ
クタ85が絶縁層(不図示)に接して形成されること
で、コレクタ−基板間の寄生容量が低減でき、その分の
高速性・低消費電力性が図れる可能性がある。
On the other hand, USP-
The structure disclosed in US Pat. No. 5,102,809 is a conventional bipolar transistor formed as a thick film having a thickness of about 1 μm or more on an insulating layer (not shown) as it is. In this structure, n and n + -type collector 85 is an external collector region significant speed performance degradation for forming the intrinsic region directly under the transistor does not occur, but rather, the conventional p-type bulk transistor on the substrate + Since the mold collector 85 is formed in contact with the insulating layer (not shown), the parasitic capacitance between the collector and the substrate can be reduced, and high speed and low power consumption may be achieved.

【0008】しかしながら、この構造では、p+型ベー
ス81とn-型真性コレクタ86とが膜厚方向に重なり
を持った構造であるため、USP−5087580に記
載されている従来例1のタイプと比較すると、ベース,
コレクタおよび両者間の寄生容量が大きく、この分、高
速性・低消費電力性に対しては理想的ではない。また、
従来例2のバイポーラ型トランジスタ構造では、主に外
部コレクタ85などのために、1μm程度以上の厚いS
OI層が必要であることから、厚さ数10nm〜数10
0nm程度の薄膜上に形成するMOS型トランジスタと
のプロセス上の相性が悪いという問題もある。
However, in this structure, since the p + -type base 81 and the n -- type intrinsic collector 86 are overlapped in the film thickness direction, the structure of the conventional example 1 described in US Pat. By comparison, the base,
The collector and the parasitic capacitance between the two are large, which is not ideal for high-speed operation and low power consumption. Also,
In the bipolar transistor structure of Conventional Example 2, a thick S of about 1 μm or more is mainly used for the external collector 85 and the like.
Since the OI layer is required, the thickness is several tens nm to several tens nm.
There is also a problem that the process compatibility with a MOS transistor formed on a thin film of about 0 nm is poor.

【0009】尚、図13に示す従来例2のバイポーラト
ランジスタを厚さ数10〜数100nmの薄膜SOI層
に形成しようとすると、トランジスタの真性領域である
n型真性エミッタ領域84、p型真性ベース領域82及
びn-型真性コレクタ領域86の厚さは、トランジスタ
の耐圧を決定するための重要なパラメータであり、むや
みに変更することができない。従って、従来例2のバイ
ポーラトランジスタを薄膜SOI層に形成すると、真性
コレクタ領域86直下のn+型外部コレクタ領域85を
薄くする必要がある。外部コレクタ領域85を薄くして
いくと、最終的には、図12に示した従来例1のバイポ
ーラトランジスタのように、外部コレクタ領域が真性コ
レクタ領域の横側にしか形成できず、従来例1と同様の
問題が発生する。また、たとえ真性コレクタ領域直下
に、外部コレクタ領域が残っていた場合であっても、こ
の場合の外部コレクタ領域は非常に薄いものであり、真
性コレクタ領域直下の外部コレクタ領域は抵抗が高くな
るので、電流は真性コレクタ領域の横側にある外部コレ
クタ領域に流れ、従来例1と同様の電流集中が起きる。
When the bipolar transistor of the prior art 2 shown in FIG. 13 is to be formed on a thin SOI layer having a thickness of several tens to several hundreds of nm, an n-type intrinsic emitter region 84 which is an intrinsic region of the transistor, a p-type intrinsic base The thicknesses of the region 82 and the n -type intrinsic collector region 86 are important parameters for determining the breakdown voltage of the transistor, and cannot be changed unnecessarily. Therefore, when the bipolar transistor of Conventional Example 2 is formed on a thin film SOI layer, it is necessary to reduce the thickness of the n + -type external collector region 85 immediately below the intrinsic collector region 86. When the external collector region 85 is made thinner, the external collector region can be formed only on the lateral side of the intrinsic collector region like the bipolar transistor of the conventional example 1 shown in FIG. The same problem occurs. Even if the external collector region remains immediately below the intrinsic collector region, the external collector region in this case is very thin, and the external collector region immediately below the intrinsic collector region has a high resistance. The current flows to the external collector region on the side of the intrinsic collector region, and the same current concentration as in the first conventional example occurs.

【0010】[0010]

【発明が解決しようとする課題】本発明の目的は、厚さ
数10nm〜数100nm程度の薄膜SOI層中に、ト
ランジスタの真性エミッタ領域、真性ベース領域及び真
性コレクタ領域が垂直方向に配列しているバイポーラ・
トランジスタのコレクタ抵抗の低減と、この真性コレク
タ領域での電流の集中による特性劣化を防ぐことであ
る。
SUMMARY OF THE INVENTION It is an object of the present invention to provide a thin film SOI layer having a thickness of about several tens to several hundreds of nm, in which an intrinsic emitter region, an intrinsic base region and an intrinsic collector region of a transistor are vertically arranged. Bipolar
It is an object of the present invention to reduce the collector resistance of a transistor and to prevent characteristic deterioration due to current concentration in the intrinsic collector region.

【0011】[0011]

【課題を解決するための手段】本願第1の発明は、絶縁
膜上の半導体層に設けられ、前記半導体層の厚さ方向に
電流が流れる半導体装置であって、前記半導体装置と接
し、前記絶縁膜に埋め込まれた導電層と、前記導電層と
電気的に接続された電極を有し、前記電流は前記半導体
装置と前記導電層との界面を経由して前記電極に流れる
ことを特徴とする半導体装置である。
According to a first aspect of the present invention, there is provided a semiconductor device provided on a semiconductor layer on an insulating film, wherein a current flows in a thickness direction of the semiconductor layer. A conductive layer embedded in an insulating film; and an electrode electrically connected to the conductive layer, wherein the current flows to the electrode via an interface between the semiconductor device and the conductive layer. Semiconductor device.

【0012】本願第2の発明は、絶縁膜上の第1半導体
層内に設けられ、前記絶縁膜側に選択的に形成された第
1導電型の第2半導体層と、前記第1半導体層内に設け
られ、前記第2半導体層上に形成され、前記第2半導体
層と同じ導電型であり低不純物濃度の第3半導体層と、
前記第1半導体層内に設けられ、前記第3半導体層上に
形成された第2導電型の第4半導体層と、前記第1半導
体層内に設けられ、前記第4半導体層上に設けられた第
1導電型の第5半導体層と、前記第2半導体層に接し、
前記絶縁膜に埋め込まれた導電層と、前記導電層と電気
的に接続された電極を備える半導体装置である。
According to a second aspect of the present invention, there is provided a first conductive type second semiconductor layer provided in a first semiconductor layer on an insulating film and selectively formed on the insulating film side. A third semiconductor layer formed on the second semiconductor layer and having the same conductivity type as the second semiconductor layer and having a low impurity concentration;
A fourth semiconductor layer of a second conductivity type provided in the first semiconductor layer and formed on the third semiconductor layer; and a fourth semiconductor layer provided in the first semiconductor layer and provided on the fourth semiconductor layer. Contacting the first conductive type fifth semiconductor layer and the second semiconductor layer,
A semiconductor device including a conductive layer embedded in the insulating film and an electrode electrically connected to the conductive layer.

【0013】本願第3の発明は、前記第1半導体層の膜
厚が数10〜数100nmであることを特徴とする本願
第2の発明に記載の半導体装置である。
A third invention of the present application is the semiconductor device according to the second invention of the present application, characterized in that the first semiconductor layer has a thickness of several tens to several hundreds nm.

【0014】本願第4の発明は、前記導電層がシリコン
層とタングステンの複合層からなることを特徴とする本
願第2の発明に記載の半導体装置である。
According to a fourth aspect of the present invention, there is provided the semiconductor device according to the second aspect, wherein the conductive layer comprises a composite layer of a silicon layer and tungsten.

【0015】本願第5の発明は、前記第1半導体層内に
設けられ、前記第4半導体層と電気的に接続し、前記第
4半導体層と同じ導電型であり高不純物濃度の第6半導
体層を備えることを特徴とする本願第2の発明に記載の
半導体装置である。
According to a fifth aspect of the present invention, there is provided a sixth semiconductor provided in the first semiconductor layer, electrically connected to the fourth semiconductor layer, having the same conductivity type as the fourth semiconductor layer and having a high impurity concentration. A semiconductor device according to a second aspect of the present invention, comprising a layer.

【0016】本願第6の発明は、前記第6半導体層から
広がる空乏層が前記絶縁膜と接することを特徴とする本
願第5の発明に記載の半導体装置である。
The sixth invention of the present application is the semiconductor device according to the fifth invention, wherein a depletion layer extending from the sixth semiconductor layer is in contact with the insulating film.

【0017】本願第7の発明は、前記第6半導体層が前
記絶縁膜と接することを特徴とする本願第5の発明に記
載の半導体装置である。
According to a seventh aspect of the present invention, there is provided the semiconductor device according to the fifth aspect, wherein the sixth semiconductor layer is in contact with the insulating film.

【0018】本願第8の発明は、絶縁膜上に第1半導体
層を形成する工程と、前記第1半導体層に下から順に第
1導電型の第2半導体層、第2導電型の第3半導体層お
よび第1導電型の第4半導体層の3層からなる真性トラ
ンジスタ部を形成する工程と、前記第1半導体層のうち
前記真性トランジスタ部と異なる位置をエッチングし、
前記絶縁膜を露出する工程と、前記露出された絶縁膜を
等方性エッチングし、前記第4半導体層の下面を露出す
る工程と、前記第4半導体層の下面と接触するように、
前記等方性エッチングされた絶縁膜に導電層を埋め込む
工程と、前記第4半導体層の下層側に、前記第4半導体
層と同じ導電型であり高不純物濃度の第5半導体層を形
成する工程と、 前記導電層と電気的に接続された電極
を形成する工程を備える半導体装置の製造方法である。
According to an eighth aspect of the present invention, there is provided a step of forming a first semiconductor layer on an insulating film, and forming a second semiconductor layer of a first conductivity type and a third semiconductor layer of a second conductivity type on the first semiconductor layer in order from the bottom. Forming an intrinsic transistor portion composed of three layers of a semiconductor layer and a first conductive type fourth semiconductor layer; and etching a position of the first semiconductor layer different from the intrinsic transistor portion;
Exposing the insulating film, isotropically etching the exposed insulating film, exposing a lower surface of the fourth semiconductor layer, and contacting the lower surface of the fourth semiconductor layer.
Embedding a conductive layer in the isotropically etched insulating film; and forming a fifth semiconductor layer having the same conductivity type and a high impurity concentration as the fourth semiconductor layer below the fourth semiconductor layer. And a step of forming an electrode electrically connected to the conductive layer.

【0019】本発明は、前述したUSP−508758
0に記載されている縦型SOI−バイポーラトランジス
タの不具合を改良するもので、薄膜SOI上に形成した
エミッタ、ベース、コレクタの真性領域に対して、これ
と横(平面)方向に設けられ、かつ、その底部又は底部
から伸びる空乏層が埋め込み絶縁層に達するごとく設け
られる外部ベースと、真性領域に少なくとも一部が厚さ
方向に重なり領域を有するように設けられ、かつ外部ベ
ースとは厚さ方向に重なりを有さないように設けられる
外部コレクタを備える構造にした。
The present invention relates to the above-mentioned USP-508758.
0, which is provided in the lateral (planar) direction with respect to the intrinsic regions of the emitter, base, and collector formed on the thin film SOI, and An external base provided so that the bottom or a depletion layer extending from the bottom reaches the buried insulating layer, and an intrinsic region is provided so that at least a part thereof has an overlapping region in the thickness direction, and the external base is in the thickness direction. And an external collector provided so as not to have an overlap.

【0020】また、上記構造を可能にするため、外部コ
レクタを、トランジスタの真性領域に対して自己整合的
に設けられ、一部がSOI基板の埋め込み絶縁膜をエッ
チングした領域に埋め込まれた導電体を用いて形成して
いる。
In order to enable the above structure, an external collector is provided in a self-aligned manner with respect to the intrinsic region of the transistor, and a part of the conductor is buried in a region of the SOI substrate where the buried insulating film is etched. It is formed by using.

【0021】以上の手段により、本発明では、外部コレ
クタを真性領域と深さ方向に重なるように設置している
ため、真性コレクタから外部コレクタにかけて、コレク
タ抵抗の増加やカーク効果の増大などの不具合が生じな
い。また、外部ベース、外部コレクタ、両者間の寄生容
量を低減できる構造である長所は失っていない。さら
に、外部コレクタ領域の一部を埋め込み絶縁膜中に埋め
込み形成することで、SOI層中の外部コレクタ領域の
厚さを必要最小限に薄膜化できるため、トータルで必要
なSOI層の膜厚も数100nmレベルに抑えられ、B
iCMOS化した場合のMOSプロセスとの整合性にも
支障が無く形成可能である。これより、高速・低消費電
力・低コストのチップが実現できる。
According to the present invention, since the external collector is disposed so as to overlap with the intrinsic region in the depth direction, problems such as an increase in the collector resistance and an increase in the Kirk effect occur from the intrinsic collector to the external collector. Does not occur. Further, the advantage of the structure that can reduce the external base, the external collector, and the parasitic capacitance between them is not lost. Further, by forming a part of the external collector region buried in the buried insulating film, the thickness of the external collector region in the SOI layer can be reduced to the minimum necessary. B is suppressed to several hundred nm level
It can be formed without any problem with the compatibility with the MOS process when iCMOS is used. Thus, a chip with high speed, low power consumption and low cost can be realized.

【0022】[0022]

【発明の実施の形態】以下、図面を参照しながら、本発
明の実施形態について説明する。図1は、本発明の実施
形態について示した断面図である。すなわち、MOS型
トランジスタ部とバイポーラ型トランジスタ部に同等の
膜厚のSOI層を用いている。ここで、埋め込み絶縁膜
(以下、単に絶縁膜という)1上に設けられたシリコン
層をSOI層と呼ぶ。SOI層の膜厚に関しては後述す
る。MOS型トランジスタのソース領域19・ドレイン
領域20ならびに、バイポーラ型トランジスタのn型外
部ベース領域25は、絶縁膜1に達するように形成され
寄生容量が低減されている。また、特徴としてバイポー
ラ型トランジスタのコレクタ埋め込み領域17は、トラ
ンジスタの真性領域であるn型真性エミッタ8、p型真
性ベース6、n型真性コレクタ5に対して横方向に自己
整合的に形成されるように、絶縁膜1をエッチング除去
したことで露出したSOI層の裏面からコンタクトをと
るように埋め込まれたpolyシリコンなどからなる導
電体と、その裏面より不純物拡散して形成した拡散層で
あるn型外部コレクタ領域18で形成されている。この
外部コレクタ領域18がトランジスタの真性領域直下に
あることによって、トランジスタの真性領域から外部コ
レクタ領域18へ流れこむ電流は、SOI層の厚さ方向
に真っ直ぐに流れる。すなわち、トランジスタの真性領
域を流れる電流は外部コレクタ領域18とコレクタ埋め
込み領域17との界面を経由してコレクタ引出し電極2
9に流れるため、真性コレクタ領域で電流の集中が起こ
りにくい構造となっている。また、耐圧を決めるベース
とn型コレクタ埋め込み領域17間の距離も、横方向に
偏りが無く一様に設定できることになる。尚、外部コレ
クタ領域18がトランジスタの真性領域下面の半分以上
を覆っていれば、真性領域から外部コレクタ領域へ流れ
こむ電流は、絶縁膜1に対して垂直に流れる。
Embodiments of the present invention will be described below with reference to the drawings. FIG. 1 is a sectional view showing an embodiment of the present invention. That is, the SOI layers having the same thickness are used for the MOS transistor portion and the bipolar transistor portion. Here, the silicon layer provided on the buried insulating film (hereinafter, simply referred to as an insulating film) 1 is called an SOI layer. The thickness of the SOI layer will be described later. The source region 19 and the drain region 20 of the MOS transistor and the n-type external base region 25 of the bipolar transistor are formed so as to reach the insulating film 1 and the parasitic capacitance is reduced. Further, as a feature, the collector buried region 17 of the bipolar transistor is formed in a laterally self-aligned manner with respect to the n-type intrinsic emitter 8, the p-type intrinsic base 6, and the n-type intrinsic collector 5, which are the intrinsic regions of the transistor. As described above, the conductor made of poly silicon or the like buried so as to make contact from the back surface of the SOI layer exposed by removing the insulating film 1 by etching, and the diffusion layer n formed by impurity diffusion from the back surface. It is formed by the mold external collector region 18. Since the external collector region 18 is immediately below the intrinsic region of the transistor, the current flowing from the intrinsic region of the transistor to the external collector region 18 flows straight in the thickness direction of the SOI layer. That is, the current flowing through the intrinsic region of the transistor passes through the interface between the external collector region 18 and the collector buried region 17 so that the collector extraction electrode 2
9, the structure is such that current concentration hardly occurs in the intrinsic collector region. Also, the distance between the base for determining the breakdown voltage and the n-type collector buried region 17 can be set uniformly without any deviation in the lateral direction. If the external collector region 18 covers at least half of the lower surface of the intrinsic region of the transistor, the current flowing from the intrinsic region to the external collector region flows perpendicular to the insulating film 1.

【0023】図2は、図1で説明したバイポーラ・トラ
ンジスタと図11に示した従来例1のバイポーラ・トラ
ンジスタとの性能比較を行ったシミュレーション結果を
示すグラフである。n+型真性エミッタ(膜厚30n
m)(図2のE),p型真性ベース(膜厚110n
m),n型真性コレクタ(膜厚250nm),n+型外
部コレクタ(膜厚30nm)(図2のC)及び外部ベー
ス(図2のB)の濃度は、それぞれ5×1021,2×1
18,1×1017,5×1020及び5×1020cm-3
ある。真性エミッタと真性ベースの接合面と真性ベース
と真性コレクタの接合面の深さは、従来のバルク半導体
層(膜厚1μm)でのバイポーラ型トランジスタと同
様、半導体層表面からそれぞれ30nmと110nmと
した。また、両者の耐圧を同等にするため、真性ベース
と外部コレクタ間の最小距離は250nmと共通にし
た。この結果、SOI膜厚としては、400nm程度と
なる。尚、SOI膜厚としては、SOI−MOSFET
とのプロセス上の相性から数10〜500nmであるこ
とが望ましい。また、真性エミッタ(図2のE)のエミ
ッタ幅Weを0.15μmと0.3μmの2種類の場合
を想定して、シミュレーションを行った。
FIG. 2 is a graph showing simulation results of performance comparison between the bipolar transistor described with reference to FIG. 1 and the bipolar transistor of the conventional example 1 shown in FIG. n + type intrinsic emitter (film thickness 30n
m) (E in FIG. 2), p-type intrinsic base (film thickness 110 n)
m), the concentration of the n-type intrinsic collector (film thickness 250 nm), the concentration of the n + -type external collector (film thickness 30 nm) (C in FIG. 2) and the concentration of the external base (B in FIG. 2) are 5 × 10 21 and 2 ×, respectively. 1
0 18 , 1 × 10 17 , 5 × 10 20 and 5 × 10 20 cm −3 . The depth of the junction between the intrinsic emitter and the intrinsic base and the junction between the intrinsic base and the intrinsic collector was 30 nm and 110 nm from the surface of the semiconductor layer, respectively, as in the case of a conventional bipolar transistor with a bulk semiconductor layer (1 μm thick). . In addition, the minimum distance between the intrinsic base and the external collector was set to 250 nm in order to make the breakdown voltage of both of them equal. As a result, the SOI film thickness is about 400 nm. The SOI film thickness is SOI-MOSFET
Is preferably several tens to 500 nm in view of compatibility with the process. In addition, simulation was performed assuming two types of intrinsic emitters (E in FIG. 2) having an emitter width We of 0.15 μm and 0.3 μm.

【0024】従来例1の外部コレクタを横に引き出すタ
イプでは、コレクタ抵抗増大やカーク効果により、低電
流で遮断周波数fTが頭落ちとなるとともに、その状況
がエミッタ幅に大きく依存して変動してしまうことがわ
かる。これに対して、本発明の構造では、従来例1に対
して約1ケタ近く大きなコレクタ電流領域までfTが上
昇しつづけ、エミッタ幅Weが変化しても、単に電流レ
ベルが平行シフトするだけで、fTはほとんど変わらぬ
高性能が得られていることがわかる。
In the conventional example 1 in which the external collector is drawn laterally, the cut-off frequency f T drops at a low current due to an increase in the collector resistance and the Kirk effect, and the situation fluctuates greatly depending on the emitter width. You can see that In contrast, in the structure of the present invention, the conventional example 1 to about 1 digit f T to a large collector current region nearly continues to rise with respect to only the emitter width We can be varied simply the current level parallel shift in, f T, it can be seen that almost unchanging high performance is obtained.

【0025】次に、図3〜図8を用いて、本実施形態に
係るバイポーラ・トランジスタの製造工程を説明する。
SOI膜厚は、寄生容量の低減効果を用いる場合は、ソ
ース・ドレインや外部ベースの拡散層厚以内にすること
が望ましい。MOS型トランジスタはnMOSやpMO
Sの両方が考えられ、ここでは特に指定していない。ま
た、バイポーラ型トランジスタに関してはnpn型を想
定してプロセス条件を示すが、pnp型を、あるいは両
者を同一に形成することは、イオン種を換えることで可
能であることは明らかである。
Next, a manufacturing process of the bipolar transistor according to the present embodiment will be described with reference to FIGS.
When the effect of reducing the parasitic capacitance is used, the SOI film thickness is desirably within the thickness of the diffusion layer of the source / drain or the external base. MOS transistors are nMOS or pMO
Both S are conceivable, and are not specified here. Although the process conditions are shown assuming the npn type for the bipolar transistor, it is clear that the pnp type or both can be formed identically by changing the ion species.

【0026】まず図3に示すように、公知のSTI(S
hallow Trench Isolation)法
などを用いて絶縁層1上のSOI層を選択的にエッチン
グ除去した溝部にシリコン酸化膜,シリコン窒化膜ある
いはpolyシリコン等のシリコン酸化膜との複合膜な
どを埋め込み、素子分離領域2を形成する。次に、所望
の方法で真性コレクタ領域5と同じ不純物濃度である不
純物層及び真性ベース領域6と同じ不純物濃度である不
純物層を形成する。コレクタ5はリンやヒ素やアンチモ
ンなどのn型不純物を必要に応じて複数の条件に分けて
イオン注入することで、深さ方向に所望のプロファイル
を持たせて形成でき、ベース6は数keV程度の低加速
電圧を用いてシャロウに形成することができる。MOS
のチャネルイオン注入もこのタイミングで行なうことが
でき、コレクタなどと一部共有することで工程の短縮化
が図れる。次に厚さ数nm〜数十nmのゲート絶縁膜3
及びゲート電極11を形成する。このとき、ゲート電極
材としては厚さ数100nmのpolyシリコンを用い
ることで、バイポーラ部の外部エミッタ領域10となる
エミッタpoly構造もゲート電極と同時形成できる。
ただし、通常、エミッタpolyとベース拡散層間の寄
生容量低減のため、バイポーラ領域では、数10nm以
上の厚めの絶縁膜7を介してエミッタpolyを形成す
る必要があるため、ゲート絶縁膜形成とは別に、厚めの
絶縁膜をバイポーラ領域に形成しているほか、真性エミ
ッタ領域8を形成するために、エミッタ開口も行ってい
る。ゲートpolyやエミッタpolyへは、nMOS
とpMOSあるいはnpnバイポーラとpnpバイポー
ラなど、それぞれに必要な不純物をイオン注入してドー
ピングした上で、後工程のコレクタ穴開け工程及び後述
する埋め込み層polyを形成する工程で必要となるシ
リコン窒化膜などの保護膜11および12を積層形成し
てpolyシリコンとともにパターニングする。本実施
形態ではMOS型トランジスタがLDD型トランジスタ
である場合について説明しているので、不純物をイオン
注入して不純物層13を形成する。この不純物層13は
図4で説明するSOI層エッチング終了後に行っても良
い。
First, as shown in FIG. 3, a known STI (S
A silicon oxide film, a silicon nitride film, or a composite film with a silicon oxide film such as poly silicon is buried in a groove portion where the SOI layer on the insulating layer 1 is selectively etched and removed by using, for example, a hallow trench isolation method. Region 2 is formed. Next, an impurity layer having the same impurity concentration as the intrinsic collector region 5 and an impurity layer having the same impurity concentration as the intrinsic base region 6 are formed by a desired method. The collector 5 can be formed to have a desired profile in the depth direction by ion-implanting n-type impurities such as phosphorus, arsenic, and antimony under a plurality of conditions as necessary. Can be formed using the low accelerating voltage. MOS
Can also be performed at this timing, and the process can be shortened by partially sharing it with a collector or the like. Next, a gate insulating film 3 having a thickness of several nm to several tens nm.
And a gate electrode 11 are formed. At this time, by using poly silicon having a thickness of several hundred nm as the gate electrode material, the emitter poly structure serving as the external emitter region 10 of the bipolar portion can be formed simultaneously with the gate electrode.
However, usually, in order to reduce the parasitic capacitance between the emitter poly and the base diffusion layer, in the bipolar region, it is necessary to form the emitter poly through the thick insulating film 7 of several tens nm or more. In addition to forming a thicker insulating film in the bipolar region, an emitter opening is also formed in order to form the intrinsic emitter region 8. NMOS to gate poly and emitter poly
, PMOS or npn bipolar and pnp bipolar, etc., and then ion-implanting the necessary impurities, respectively, and then doping. Then, a silicon nitride film required in a later step of forming a collector hole and a step of forming a buried layer poly described later. Of protective films 11 and 12 are laminated and patterned together with poly silicon. In the present embodiment, the case where the MOS transistor is an LDD transistor is described. Therefore, the impurity layer 13 is formed by ion-implanting impurities. The impurity layer 13 may be formed after the etching of the SOI layer described with reference to FIG.

【0027】次に、図4に示すように、外部エミッタ領
域に一部オーバーラップするようなレジストパターン1
5を用いてSOI層を異方性エッチングすることで、コ
レクタ取出しのための穴を外部エミッタ領域に対して自
己整合的にSOI層中に形成し、絶縁膜1を露出させ
る。先ほどゲート電極上に形成したシリコン窒化膜12
により外部エミッタ領域10は保護される。すなわち、
この場合の保護膜としては、シリコンエッチングに対し
て大きな選択比を有する様なシリコン窒化膜やシリコン
酸化膜である必要がある。
Next, as shown in FIG. 4, the resist pattern 1 partially overlaps the external emitter region.
By performing anisotropic etching of the SOI layer with the use of 5, a hole for taking out the collector is formed in the SOI layer in a self-aligned manner with respect to the external emitter region, and the insulating film 1 is exposed. The silicon nitride film 12 previously formed on the gate electrode
Thereby, the external emitter region 10 is protected. That is,
In this case, the protective film needs to be a silicon nitride film or a silicon oxide film having a large selectivity to silicon etching.

【0028】次に、図5に示すように、全面にシリコン
窒化膜などの側壁絶縁膜14を堆積する。その後、図6
に示すように、レジスト15’をマスクに異方性エッチ
ングを行いコレクタ穴の底部の埋め込み絶縁膜1を再度
露出させる。こののち、一部露出した外部エミッタ領域
上の保護膜12と側壁絶縁膜14および16をマスク
に、フッ化アンモニウム溶液やフッ酸溶液などのウエッ
トエッチングなどの等方性エッチングを用いて穴底部に
露出した埋め込み絶縁膜(本実施形態では酸化膜)を等
方的にエッチングすることで、真性領域直下のSOI層
の裏面を露出させる。通常のフッ化アンモニウム溶液を
用いる場合、100nm/分のエッチングレートで2.
5分程度と簡単に必要な裏面領域を露出することが可能
である。この場合、外部エミッタ領域の側面を側壁絶縁
膜で、また上部を保護膜若しくは側壁絶縁膜で保護する
ためには、両者をシリコン窒化膜などのフッ化アンモニ
ウム溶液でエッチングされない膜を用いる必要がある。
Next, as shown in FIG. 5, a sidewall insulating film 14 such as a silicon nitride film is deposited on the entire surface. Then, FIG.
As shown in FIG. 7, anisotropic etching is performed using the resist 15 'as a mask to expose the buried insulating film 1 at the bottom of the collector hole again. Thereafter, using the protective film 12 and the sidewall insulating films 14 and 16 on the partially exposed external emitter region as a mask, the hole bottom is formed by isotropic etching such as an ammonium fluoride solution or a hydrofluoric acid solution by wet etching. The back surface of the SOI layer immediately below the intrinsic region is exposed by isotropically etching the exposed buried insulating film (the oxide film in this embodiment). When a normal ammonium fluoride solution is used, the etching rate is 100 nm / min.
It is possible to easily expose the necessary back surface area in about 5 minutes. In this case, in order to protect the side surface of the external emitter region with a sidewall insulating film and the upper portion with a protective film or a sidewall insulating film, it is necessary to use a film which is not etched by an ammonium fluoride solution such as a silicon nitride film. .

【0029】さらに、n型にドーピングしたpolyシ
リコンの堆積、あるいは堆積したpolyシリコンに砒
素やリンやアンチモンなどのn型不純物をイオン注入な
どでドーピングし熱工程を加えることで、SOI層裏面
から真性領域側へ伸びる外部コレクタ領域18を形成
し、polyをエッチバックすることで、埋め込み層p
olyをコレクタ穴内に埋め込むことができる(図
7)。この時、選択エピタキシャル技術を用いてSOI
層裏面からエピタキシャル層を埋め込み絶縁膜のエッチ
ング領域からコレクタ穴に渡って成長させることも可能
である。
Further, by depositing n-type doped poly silicon or doping the deposited poly silicon with n-type impurities such as arsenic, phosphorus, and antimony by ion implantation or the like and applying a thermal process, the intrinsic silicon from the back surface of the SOI layer can be formed. The external collector region 18 extending to the region side is formed, and the poly is etched back to form the buried layer p.
The poly can be embedded in the collector hole (FIG. 7). At this time, SOI is performed using selective epitaxial technology.
It is also possible to grow an epitaxial layer from the backside of the layer over the buried insulating film etching region to the collector hole.

【0030】図8に示すように、この後は、従来のMO
Sプロセスと同様に側壁絶縁膜14を異方性エッチング
して側壁を残し、ソース・ドレイン拡散層19,20の
形成を行う。また、バイポーラ型トランジスタの外部ベ
ース領域25にも、埋め込み絶縁膜まで達するようなp
型拡散層を形成する。このとき、pMOSのソース・ド
レインと工程を兼ねることも可能である。ソース・ドレ
イン領域はサリサイドプロセスなどを用いてTiSi2
やCoSi2などのシリサイド(21,22)で低抵抗
化を図ることが可能で、その場合、外部ベース領域や外
部コレクタ部埋め込み多結晶シリコンの両表面も同様に
サリサイド工程を適用してシリサイド(23,24)を
形成して低抵抗化することが可能である。こののちも従
来の層間絶縁膜26工程やコンタクト、配線工程(2
7,28,29,30)を経ることで、BiCMOS素
子の主要部が形成できる。
After that, as shown in FIG.
Similarly to the S process, the side wall insulating film 14 is anisotropically etched to leave the side walls, and the source / drain diffusion layers 19 and 20 are formed. The external base region 25 of the bipolar transistor also has a p
A mold diffusion layer is formed. At this time, the source and drain of the pMOS can also be used as a process. The source / drain regions are made of TiSi 2 using a salicide process or the like.
It is possible to reduce the resistance by silicide (21, 22) such as CoSi 2 or CoSi 2. In this case, the salicide process is similarly applied to both surfaces of the external base region and the polycrystalline silicon buried in the external collector portion. 23, 24) can be formed to reduce the resistance. After this, the conventional interlayer insulating film 26 process, contact and wiring process (2
7, 28, 29, 30), the main part of the BiCMOS device can be formed.

【0031】以上説明したように、MOSトランジスタ
との整合性が良いプロセスで、高性能な薄膜SOI上縦
型バイポーラ型トランジスタが形成可能となる。
As described above, a high performance vertical bipolar transistor on a thin film SOI can be formed by a process having good matching with the MOS transistor.

【0032】本実施形態では、薄膜SOIの膜厚として
特に言及しなかったが、近年のゲート長が0.25μm
程度以下の微細MOSトランジスタでは、ソース・ドレ
インの拡散層深さは0.15μm程度以下が一般的で、
たとえば図3のシミュレーションで用いた400nmの
膜厚設定は、バイポーラ型トランジスタの真性領域を形
成するには必要であるが、同時にMOSトランジスタの
低寄生容量を実現するには実際的でない可能性もある。
このような場合、通常はMOSトランジスタ部のみを選
択的に薄膜化する処理を施すことが考えられるが、ここ
では、それ以外の方法を示す。
In this embodiment, although the thickness of the thin film SOI is not particularly mentioned, the recent gate length is 0.25 μm.
In the case of a fine MOS transistor having a thickness of about 0.15 μm or less, the depth of the source / drain diffusion layer is generally about 0.15 μm or less.
For example, the 400 nm film thickness setting used in the simulation of FIG. 3 is necessary to form an intrinsic region of a bipolar transistor, but may not be practical at the same time to realize a low parasitic capacitance of a MOS transistor. .
In such a case, it is usually conceivable to perform a process of selectively thinning only the MOS transistor portion. However, here, other methods will be described.

【0033】図9は、SOI層の厚さがソース・ドレイ
ン拡散層の深さよりも厚い場合である。ただし、SOI
層を低不純物濃度の高抵抗基板を用いたり、ドーピング
によって調節することでソース・ドレインからの空乏層
41の伸びを0.2μm以上にさせている。ただし、ト
ランジスタのチャネル領域は所望の濃度にドーピングす
ることで、トランジスタ特性を劣化させることはない。
たとえば、比抵抗1000Ω・cm程度の一般的な高抵
抗基板であれば不純物濃度としては1×1014cm-3
下であり、1um程度の空乏層が伸びることになり、し
たがって400nm厚の場合でも問題なく低容量性を維
持できることになる。また、この様な場合、外部ベース
からの空乏層42が空乏層41同様に伸びるので、外部
ベース拡散層も、埋め込み絶縁膜まで達するような深い
拡散層とする必要はなくなる。
FIG. 9 shows a case where the thickness of the SOI layer is larger than the depth of the source / drain diffusion layers. However, SOI
The growth of the depletion layer 41 from the source / drain is made to be 0.2 μm or more by using a high resistance substrate having a low impurity concentration or adjusting the layer by doping. However, by doping the channel region of the transistor to a desired concentration, the transistor characteristics do not deteriorate.
For example, in the case of a general high-resistance substrate having a specific resistance of about 1000 Ω · cm, the impurity concentration is 1 × 10 14 cm −3 or less, and a depletion layer of about 1 μm extends. Low capacity can be maintained without any problem. In such a case, since the depletion layer 42 from the external base extends similarly to the depletion layer 41, the external base diffusion layer does not need to be a deep diffusion layer reaching the buried insulating film.

【0034】図10は、SOI層の膜厚としてはMOS
部のソース・ドレインが埋め込み絶縁膜に達する値に設
定するが、バイポーラ型トランジスタ部では、エミッタ
・コレクタ間やベース・コレクタ間の耐圧確保のため、
さらに膜厚を厚くする必要があり、エピタキシャル成長
層などを用いて確保追加形成している。このとき、SO
I層でどの領域を形成し、エピタキシャル層などでどの
領域を追加形成するかに関しては、予め設定したSOI
層の膜厚などによって種々の組み合わせが考えられる。
ここでは、外部ベース領域を表面からのエピタキシャル
成長層を用いて形成するとともに、外部コレクタ領域あ
るいは真性コレクタ領域の一部をSOI層の裏面側に設
けられたエピタキシャル成長層(シリコン成長層)54
に形成する。これにより、表面側におけるMOS部との
表面高さの違いを低減でき、したがってコンタクトや配
線プロセスなど通常と全く変わらずに形成できる。ま
た、エピ技術によるSiGe層(図示せず)を伴うベー
ス領域の形成も適宜行うことができる。
FIG. 10 shows that the thickness of the SOI layer is MOS.
The source / drain of the part is set to the value that reaches the buried insulating film, but in the bipolar transistor part, in order to secure the breakdown voltage between the emitter and collector and between the base and collector
It is necessary to further increase the film thickness, and it is additionally formed by using an epitaxial growth layer or the like. At this time, SO
As to which region is to be formed in the I layer and which region is to be additionally formed in the epitaxial layer or the like, a predetermined SOI
Various combinations are conceivable depending on the thickness of the layer.
Here, the external base region is formed using an epitaxial growth layer from the front surface, and a part of the external collector region or the intrinsic collector region is formed on an epitaxial growth layer (silicon growth layer) 54 provided on the back side of the SOI layer.
Formed. As a result, the difference in surface height from the MOS portion on the front surface side can be reduced, and thus the contact portion and the wiring process can be formed without any change from the usual. In addition, formation of a base region with a SiGe layer (not shown) by an epi technique can be appropriately performed.

【0035】また、このようにエピタキシャル層を表面
と裏面とから形成できる本実施形態のバイポーラ・トラ
ンジスタでは、図11のように、SOI層の表面側を外
部コレクタ領域65とし裏面側を真性エミッタ領域62
とする構造も可能となる。この場合、外部ベース67
は、ベース抵抗削減などのため、真性ベースとの距離を
近づけるのが好ましく、このために、例えば図示したよ
うに、深くなるほど真性領域方向に近づくようなプロフ
ァイルが良い。これは、斜め(図面右方向からの)イオ
ン注入などによって形成することが可能である。
Further, in the bipolar transistor of the present embodiment in which the epitaxial layer can be formed from the front surface and the back surface, as shown in FIG. 11, the front side of the SOI layer is the external collector region 65 and the back side is the intrinsic emitter region. 62
Is also possible. In this case, the external base 67
In order to reduce the base resistance, it is preferable to shorten the distance from the intrinsic base. For this reason, for example, as shown in the figure, it is preferable that the profile becomes closer to the intrinsic region as the depth increases. This can be formed by oblique ion implantation (from the right side in the drawing) or the like.

【0036】また、コレクタ埋め込み領域としてはpo
lyシリコン層に限らず、CVD法やメッキ法などのカ
バレッジの良い成長法による膜であれば、低抵抗材を用
いることが可能である。例えば、CVD法によるタング
ステンなどの導電層あるいはシリコン層とタングステン
の複合膜が適用できる。また、この場合、ドープトSi
層の選択成長技術などを用いて、あらかじめ外部コレク
タを形成しておくことが望ましい。
The collector buried region is po
Not limited to the ly silicon layer, a low-resistance material can be used as long as the film is formed by a growth method with good coverage such as a CVD method or a plating method. For example, a conductive layer such as tungsten by a CVD method or a composite film of a silicon layer and tungsten can be used. In this case, the doped Si
It is desirable to form an external collector in advance using a layer selective growth technique or the like.

【0037】上述した本発明の実施形態によれば、薄膜
SOIの低寄生容量性を活かして、MOSおよびバイポ
ーラトランジスタの性能向上を図るとともに、プロセス
のマッチングも良く、これにより、高速・低消費電力・
低コストの通信用LSIが実現できる。
According to the above-described embodiment of the present invention, the performance of MOS and bipolar transistors is improved by utilizing the low parasitic capacitance of the thin film SOI, and the process matching is improved.・
A low-cost communication LSI can be realized.

【0038】[0038]

【発明の効果】本発明によれば、厚さ数10nm〜数1
00nm程度の薄膜SOI層中に、トランジスタの真性
エミッタ領域、真性ベース領域及び真性コレクタ領域が
垂直方向に配列しているバイポーラ・トランジスタのコ
レクタ抵抗の低減と、この真性コレクタ領域での電流の
集中による特性劣化を防ぐことができる。
According to the present invention, the thickness is several tens nm to several tens.
The collector resistance of the bipolar transistor in which the intrinsic emitter region, the intrinsic base region and the intrinsic collector region of the transistor are vertically arranged in the thin film SOI layer of about 00 nm, and the current concentration in the intrinsic collector region Characteristics deterioration can be prevented.

【図面の簡単な説明】[Brief description of the drawings]

【図1】 本発明の実施形態に係るバイポーラ・トラン
ジスタの断面概略図。
FIG. 1 is a schematic cross-sectional view of a bipolar transistor according to an embodiment of the present invention.

【図2】 本発明の実施形態に係るバイポーラ・トラン
ジスタと従来例1のバイポーラ・トランジスタのコレク
タ電流と遮断周波数の関係を示すグラフ。
FIG. 2 is a graph showing the relationship between the collector current and the cutoff frequency of the bipolar transistor according to the embodiment of the present invention and the bipolar transistor of Conventional Example 1;

【図3】 本発明の実施形態に係るバイポーラ・トラン
ジスタの製造工程の断面概略図。
FIG. 3 is a schematic cross-sectional view of a manufacturing process of the bipolar transistor according to the embodiment of the present invention.

【図4】 本発明の実施形態に係るバイポーラ・トラン
ジスタの製造工程の断面概略図。
FIG. 4 is a schematic cross-sectional view of a manufacturing process of the bipolar transistor according to the embodiment of the present invention.

【図5】 本発明の実施形態に係るバイポーラ・トラン
ジスタの製造工程の断面概略図。
FIG. 5 is a schematic cross-sectional view of a manufacturing process of the bipolar transistor according to the embodiment of the present invention.

【図6】 本発明の実施形態に係るバイポーラ・トラン
ジスタの製造工程の断面概略図。
FIG. 6 is a schematic cross-sectional view of a manufacturing process of the bipolar transistor according to the embodiment of the present invention.

【図7】 本発明の実施形態に係るバイポーラ・トラン
ジスタの製造工程の断面概略図。
FIG. 7 is a schematic cross-sectional view of a manufacturing process of the bipolar transistor according to the embodiment of the present invention.

【図8】 本発明の実施形態に係るバイポーラ・トラン
ジスタの製造工程の断面概略図。
FIG. 8 is a schematic cross-sectional view of a manufacturing process of the bipolar transistor according to the embodiment of the present invention.

【図9】 本発明の実施形態に係るバイポーラ・トラン
ジスタの断面概略図。
FIG. 9 is a schematic cross-sectional view of a bipolar transistor according to an embodiment of the present invention.

【図10】 本発明の実施形態の変形例1に係るバイポ
ーラ・トランジスタの製造工程の断面概略図。
FIG. 10 is a schematic cross-sectional view showing a manufacturing process of the bipolar transistor according to the first modification of the embodiment of the present invention.

【図11】 本発明の実施形態の変形例2に係るバイポ
ーラ・トランジスタの製造工程の断面概略図。
FIG. 11 is a schematic cross-sectional view of a manufacturing process of a bipolar transistor according to Modification 2 of the embodiment of the present invention.

【図12】 従来例1のバイポーラ・トランジスタの断
面概略図。
FIG. 12 is a schematic cross-sectional view of a bipolar transistor of Conventional Example 1.

【図13】 従来例2のバイポーラ・トランジスタの断
面概略図。
FIG. 13 is a schematic cross-sectional view of a bipolar transistor of Conventional Example 2.

【符号の説明】[Explanation of symbols]

1 (埋め込み)絶縁膜 2 素子分離領域 3 ゲート絶縁膜 4 チャネル領域 5 真性コレクタ領域 6 真性ベース領域 7 絶縁膜 8 真性エミッタ領域 9 ゲート電極 10 外部エミッタ領域 11 ゲート電極形成用マスク 12 外部エミッタ領域形成用マスク 13 n-不純物層 14 側壁絶縁膜 15,15’ レジスト 16 側壁絶縁膜 17 コレクタ埋め込み領域 18 外部コレクタ領域 19 ソース領域 20 ドレイン領域 21,22,23,24 TiSi2やCoSi2などの
シリサイド 25 外部ベース領域 26 層間絶縁膜 27 ソース引出し電極 28 ドレイン引出し電極 29 コレクタ引出し電極 30 ベース引出し電極 41 ソース・ドレインから広がる空乏層 42 外部ベース領域から広がる空乏層 52 外部ベース領域 53 コレクタ埋め込み領域 54 シリコン成長層 55,56,57,58,59 TiSi2やCoSi
などのシリサイド 61 エミッタ埋め込み領域 62 真性エミッタ領域 63 真性ベース領域 64 真性コレクタ領域 65 外部コレクタ領域 66 コレクタ電極 67 外部ベース領域 71,81 外部ベース領域 72,82 真性ベース領域 73,83 外部エミッタ領域 74,84 真性エミッタ領域 75,85 外部コレクタ領域 76,86 真性コレクタ領域
REFERENCE SIGNS LIST 1 (embedded) insulating film 2 element isolation region 3 gate insulating film 4 channel region 5 intrinsic collector region 6 intrinsic base region 7 insulating film 8 intrinsic emitter region 9 gate electrode 10 external emitter region 11 gate electrode forming mask 12 external emitter region formation Mask 13 n - Impurity layer 14 Side wall insulating film 15, 15 ′ Resist 16 Side wall insulating film 17 Collector buried region 18 External collector region 19 Source region 20 Drain region 21, 22, 23, 24 Silicide such as TiSi 2 or CoSi 2 25 External base region 26 Interlayer insulating film 27 Source extraction electrode 28 Drain extraction electrode 29 Collector extraction electrode 30 Base extraction electrode 41 Depletion layer extending from source / drain 42 Depletion layer extending from external base region 52 External base region 53 Collector filling Write area 54 silicon growth layer 55,56,57,58,59 TiSi2 and CoSi
Silicide 61 Emitter buried region 62 Intrinsic emitter region 63 Intrinsic base region 64 Intrinsic collector region 65 External collector region 66 Collector electrode 67 External base region 71, 81 External base region 72, 82 Intrinsic base region 73, 83 External emitter region 74, 84 Intrinsic emitter region 75, 85 External collector region 76, 86 Intrinsic collector region

───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) H01L 21/8249 H01L 29/44 B 27/12 29/50 B 29/41 29/78 613Z 29/417 29/786 (72)発明者 篠 智彰 神奈川県横浜市磯子区新杉田町8番地 株 式会社東芝横浜事業所内 Fターム(参考) 4M104 AA09 BB01 BB18 BB20 BB25 CC01 DD04 DD15 DD16 DD43 DD50 DD52 DD53 DD55 DD65 DD82 DD84 EE09 EE17 FF02 FF04 FF21 GG06 GG09 GG10 GG14 GG15 HH16 5F003 AP01 AP05 AZ03 BA27 BA29 BA91 BA96 BB08 BC01 BC02 BC05 BC07 BC08 BE07 BG03 BG10 BH11 BH18 BJ15 BP31 BP93 BP94 BP97 BS08 5F048 AA10 AC05 AC07 BA16 BB05 BF03 BF06 BG07 CA04 CA13 CA14 CA15 DA25 5F082 AA06 AA10 AA33 BA06 BA21 BA22 BA26 BA39 BA47 BA50 BC09 DA03 DA10 EA15 EA16 EA22 5F110 AA02 AA03 AA09 CC02 DD05 DD13 EE09 EE32 GG02 GG25 HK05 HM15 NN62 NN71 QQ08──────────────────────────────────────────────────続 き Continued on the front page (51) Int.Cl. 7 Identification symbol FI theme coat ゛ (Reference) H01L 21/8249 H01L 29/44 B 27/12 29/50 B 29/41 29/78 613Z 29/417 29 / 786 (72) Inventor Tomoaki Shino 8 Shinsugita-cho, Isogo-ku, Yokohama-shi, Kanagawa Prefecture F-term in the Toshiba Yokohama Office (Reference) 4M104 AA09 BB01 BB18 BB20 BB25 CC01 DD04 DD15 DD16 DD43 DD50 DD52 DD53 DD55 DD65 DD82 DD84 EE09 EE17 FF02 FF04 FF21 GG06 GG09 GG10 GG14 GG15 HH16 5F003 AP01 AP05 AZ03 BA27 BA29 BA91 BA96 BB08 BC01 BC02 BC05 BC07 BC08 BE07 BG03 BG10 BH11 BH18 BJ15 BP31 BP93 BP94 BP97 CA08 BF97 A0815F07A08 AA06 AA10 AA33 BA06 BA21 BA22 BA26 BA39 BA47 BA50 BC09 DA03 DA10 EA15 EA16 EA22 5F110 AA02 AA03 AA09 CC02 DD05 DD13 EE09 EE32 GG02 GG25 HK05 HM15 NN62 NN71 QQ 08

Claims (8)

【特許請求の範囲】[Claims] 【請求項1】 絶縁膜上の半導体層に設けられ、前記半
導体層の厚さ方向に電流が流れる半導体装置であって、 前記半導体装置と接し、前記絶縁膜に埋め込まれた導電
層と、 前記導電層と電気的に接続された電極を有し、 前記電流は前記半導体装置と前記導電層との界面を経由
して前記電極に流れることを特徴とする半導体装置。
1. A semiconductor device provided on a semiconductor layer on an insulating film, wherein current flows in a thickness direction of the semiconductor layer, wherein the conductive layer is in contact with the semiconductor device and embedded in the insulating film; A semiconductor device having an electrode electrically connected to a conductive layer, wherein the current flows to the electrode via an interface between the semiconductor device and the conductive layer.
【請求項2】 絶縁膜上の第1半導体層内に設けられ、
前記絶縁膜側に選択的に形成された第1導電型の第2半
導体層と、 前記第1半導体層内に設けられ、前記第2半導体層上に
形成され、前記第2半導体層と同じ導電型であり低不純
物濃度の第3半導体層と、 前記第1半導体層内に設けられ、前記第3半導体層上に
形成された第2導電型の第4半導体層と、 前記第1半導体層内に設けられ、前記第4半導体層上に
設けられた第1導電型の第5半導体層と、 前記第2半導体層に接し、前記絶縁膜に埋め込まれた導
電層と、 前記導電層と電気的に接続された電極を備える半導体装
置。
2. A semiconductor device comprising: a first semiconductor layer on an insulating film;
A second semiconductor layer of a first conductivity type selectively formed on the insulating film side; a second semiconductor layer provided in the first semiconductor layer and formed on the second semiconductor layer and having the same conductivity as the second semiconductor layer; A third semiconductor layer having a low impurity concentration, a fourth semiconductor layer of a second conductivity type provided in the first semiconductor layer and formed on the third semiconductor layer; A fifth semiconductor layer of the first conductivity type provided on the fourth semiconductor layer; a conductive layer in contact with the second semiconductor layer and embedded in the insulating film; A semiconductor device comprising an electrode connected to the semiconductor device.
【請求項3】 前記第1半導体層の膜厚が数10〜数1
00nmであることを特徴とする請求項2記載の半導体
装置。
3. The method according to claim 1, wherein the first semiconductor layer has a thickness of several tens to several tens.
3. The semiconductor device according to claim 2, wherein the thickness is 00 nm.
【請求項4】 前記導電層がシリコン層とタングステン
の複合層からなることを特徴とする請求項2記載の半導
体装置。
4. The semiconductor device according to claim 2, wherein said conductive layer comprises a composite layer of a silicon layer and tungsten.
【請求項5】 前記第1半導体層内に設けられ、前記第
4半導体層と電気的に接続し、前記第4半導体層と同じ
導電型であり高不純物濃度の第6半導体層を備えること
を特徴とする請求項2記載の半導体装置。
5. A semiconductor device comprising: a sixth semiconductor layer provided in the first semiconductor layer, electrically connected to the fourth semiconductor layer, having the same conductivity type as the fourth semiconductor layer and having a high impurity concentration. 3. The semiconductor device according to claim 2, wherein:
【請求項6】 前記第6半導体層から広がる空乏層が前
記絶縁膜と接することを特徴とする請求項5記載の半導
体装置。
6. The semiconductor device according to claim 5, wherein a depletion layer extending from said sixth semiconductor layer is in contact with said insulating film.
【請求項7】 前記第6半導体層が前記絶縁膜と接する
ことを特徴とする請求項5記載の半導体装置。
7. The semiconductor device according to claim 5, wherein said sixth semiconductor layer is in contact with said insulating film.
【請求項8】 絶縁膜上に第1半導体層を形成する工程
と、 前記第1半導体層に下から順に第1導電型の第2半導体
層、第2導電型の第3半導体層および第1導電型の第4
半導体層の3層からなる真性トランジスタ部を形成する
工程と、 前記第1半導体層のうち前記真性トランジスタ部と異な
る位置をエッチングし、前記絶縁膜を露出する工程と、 前記露出された絶縁膜を等方性エッチングし、前記第4
半導体層の下面を露出する工程と、 前記第4半導体層の下面と接触するように、前記等方性
エッチングされた絶縁膜に導電層を埋め込む工程と、 前記第4半導体層の下層側に、前記第4半導体層と同じ
導電型であり高不純物濃度の第5半導体層を形成する工
程と、 前記導電層と電気的に接続された電極を形成する工程を
備える半導体装置の製造方法。
8. A step of forming a first semiconductor layer on an insulating film; and forming a first conductive type second semiconductor layer, a second conductive type third semiconductor layer, and a first conductive layer on the first semiconductor layer in order from the bottom. 4th of conductivity type
Forming a three-layer intrinsic transistor portion of a semiconductor layer; etching a portion of the first semiconductor layer different from the intrinsic transistor portion to expose the insulating film; Isotropically etched, said fourth
Exposing a lower surface of the semiconductor layer; burying a conductive layer in the isotropically etched insulating film so as to be in contact with the lower surface of the fourth semiconductor layer; A method of manufacturing a semiconductor device, comprising: forming a fifth semiconductor layer having the same conductivity type as the fourth semiconductor layer and having a high impurity concentration; and forming an electrode electrically connected to the conductive layer.
JP2000073411A 2000-03-16 2000-03-16 Semiconductor device and its manufacturing method Abandoned JP2001267328A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2000073411A JP2001267328A (en) 2000-03-16 2000-03-16 Semiconductor device and its manufacturing method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2000073411A JP2001267328A (en) 2000-03-16 2000-03-16 Semiconductor device and its manufacturing method

Publications (1)

Publication Number Publication Date
JP2001267328A true JP2001267328A (en) 2001-09-28

Family

ID=18591654

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000073411A Abandoned JP2001267328A (en) 2000-03-16 2000-03-16 Semiconductor device and its manufacturing method

Country Status (1)

Country Link
JP (1) JP2001267328A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013105982A (en) * 2011-11-16 2013-05-30 Renesas Electronics Corp Semiconductor device and semiconductor device manufacturing method

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013105982A (en) * 2011-11-16 2013-05-30 Renesas Electronics Corp Semiconductor device and semiconductor device manufacturing method

Similar Documents

Publication Publication Date Title
JP4448462B2 (en) Bipolar transistor fabrication method
US7485537B2 (en) Method of fabricating a vertical bipolar transistor with a majority carrier accumulation layer as a subcollector for SOI BiCMOS with reduced buried oxide thickness
US7361534B2 (en) Method for fabricating SOI device
US8373236B2 (en) Semiconductor device and method of manufacturing such a device
US10014397B1 (en) Bipolar junction transistors with a combined vertical-lateral architecture
JP3890202B2 (en) Manufacturing method of semiconductor device
US6830967B1 (en) Method for forming CMOS transistor spacers in a BiCMOS process
US20090212394A1 (en) Bipolar transistor and method of fabricating the same
US20110304019A1 (en) Method of manufacturing a bipolar transistor semiconductor device and semiconductor devices obtained thereby
US7939416B2 (en) Method of making bipolar transistor
JP4202389B2 (en) Bipolar semiconductor component, in particular bipolar transistor, and corresponding bipolar semiconductor component manufacturing method
US8329519B2 (en) Methods for fabricating a semiconductor device having decreased contact resistance
JP2004080012A (en) Bipolar transistor and method of manufacturing the same
JP2001267328A (en) Semiconductor device and its manufacturing method
US7534685B2 (en) Method for fabrication of a capacitor, and a monolithically integrated circuit comprising such a capacitor
JP2001267326A (en) Semiconductor device and its manufacturing method
US7638386B2 (en) Integrated CMOS and bipolar devices method and structure
JP2001223355A (en) Semiconductor device and its manufacturing method
JP2004253722A (en) Bipolar transistor and its manufacturing method
JP2010141044A (en) Semiconductor device and method of manufacturing the same

Legal Events

Date Code Title Description
A977 Report on retrieval

Effective date: 20040521

Free format text: JAPANESE INTERMEDIATE CODE: A971007

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20040525

A762 Written abandonment of application

Free format text: JAPANESE INTERMEDIATE CODE: A762

Effective date: 20040722