JP2001127037A - Method of forming minute pattern, and manufacturing method of semiconductor device - Google Patents

Method of forming minute pattern, and manufacturing method of semiconductor device

Info

Publication number
JP2001127037A
JP2001127037A JP30167399A JP30167399A JP2001127037A JP 2001127037 A JP2001127037 A JP 2001127037A JP 30167399 A JP30167399 A JP 30167399A JP 30167399 A JP30167399 A JP 30167399A JP 2001127037 A JP2001127037 A JP 2001127037A
Authority
JP
Japan
Prior art keywords
photoresist
forming
fine pattern
etching
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP30167399A
Other languages
Japanese (ja)
Other versions
JP3342856B2 (en
Inventor
Ichiro Okabe
一朗 岡部
Toshiyuki Matsuki
俊行 松木
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Leading Edge Technologies Inc
Ushio Denki KK
Ushio Inc
Original Assignee
Semiconductor Leading Edge Technologies Inc
Ushio Denki KK
Ushio Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Leading Edge Technologies Inc, Ushio Denki KK, Ushio Inc filed Critical Semiconductor Leading Edge Technologies Inc
Priority to JP30167399A priority Critical patent/JP3342856B2/en
Publication of JP2001127037A publication Critical patent/JP2001127037A/en
Application granted granted Critical
Publication of JP3342856B2 publication Critical patent/JP3342856B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Abstract

PROBLEM TO BE SOLVED: To give a resist pattern an excellent etching resistance, concerning the method of forming a minute pattern including a new processing method for a resist pattern. SOLUTION: The film of a photoresist 1 is made on a silicon oxide film 2 (Fig. 2 (a)). The specified section of the photoresist is exposed to the light of 200 nm or under in wavelength (Fig. 2(b)). The photoresist 1a after exposure is developed and patterned (Fig. 2 (c)). The whole surface of the patterned photoresist 1a is irradiated with radiation 7 of 200 nm in wavelength (Fig. 2 (d)). After irradiation with radiation 7, a silicon oxide film 2 is etched, with the patterned photoresist 1a as a mask (Fig. 2 (e)).

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、微細パターンの形
成方法および半導体装置の製造方法に係り、特に、レジ
ストパターンの新規な処理方法を含む微細パターン形成
方法、およびその形成方法を用いた半導体装置の製造方
法に関する。
The present invention relates to a method for forming a fine pattern and a method for manufacturing a semiconductor device, and more particularly to a method for forming a fine pattern including a novel method for processing a resist pattern, and a semiconductor device using the method. And a method for producing the same.

【0002】[0002]

【従来の技術】LSI等の回路パターンを形成する工程
では、縮小投影露光法が広く用いられている。近年、露
光法において、露光光の短波長化による解像度の向上が
進みつつある。従来から広く用いられてきたKrFエキ
シマレーザ(波長248nm)に代わってArFエキシ
マレーザ(波長193nm)を用いることによって0.
1μm レベルの加工が可能になると考えられている。
2. Description of the Related Art In a process of forming a circuit pattern of an LSI or the like, a reduced projection exposure method is widely used. In recent years, in the exposure method, the resolution has been improved by shortening the wavelength of the exposure light. By using an ArF excimer laser (wavelength: 193 nm) instead of the KrF excimer laser (wavelength: 248 nm), which has been widely used in the past, it is possible to reduce the number of laser beams to 0.1.
It is believed that processing at the 1 μm level becomes possible.

【0003】ArFエキシマレーザによる露光では、フ
ォトレジストの光吸収によるパターン形状の劣化やフォ
トレジストの感度等が問題となる。このため、光吸収が
少なくかつ高感度な化学増幅型レジストが開発され、実
用化に向けて様々な検討がなされてきた。その中で、ポ
リメチルメタクリレート(PMMA)等の芳香環を含まない樹
脂を基本骨格とする化学増幅型レジストが検討されてき
た。しかしながら、これらの材料は芳香環を含まないた
め耐ドライエッチング耐性が十分ではない。そこで、樹
脂にアダマンチル基等の耐エッチング性の高い官能基を
エステル結合させることが提案された(特許2881969
号)。また、脂環式炭化水素部分を含有するモノマーと
無水マレイン酸モノマーとの重合生成物、あるいは、ノ
ルボルネンモノマーの重合生成物を主成分としたフォト
レジストも高い解像性を示すことがわかっている(特開
平10-10739, 特開平10-207070)。
In the exposure using an ArF excimer laser, problems such as deterioration of the pattern shape due to light absorption of the photoresist, sensitivity of the photoresist, and the like arise. For this reason, a chemically amplified resist with low light absorption and high sensitivity has been developed, and various studies have been made toward practical use. Among them, a chemically amplified resist having a basic skeleton of a resin containing no aromatic ring such as polymethyl methacrylate (PMMA) has been studied. However, since these materials do not contain an aromatic ring, their dry etching resistance is not sufficient. Therefore, it has been proposed that a functional group having high etching resistance such as an adamantyl group is ester-bonded to a resin (Japanese Patent No. 2881969).
issue). Also, it has been found that a photoresist containing a polymerization product of a monomer containing an alicyclic hydrocarbon moiety and a maleic anhydride monomer or a polymerization product of a norbornene monomer as a main component also exhibits high resolution. (JP-A-10-10739, JP-A-10-207070).

【0004】[0004]

【発明が解決しようとする課題】しかし、我々が行った
実験では、上記に示したような樹脂からなるレジストパ
ターンを介してフッ素原子を有するガスを用いて下地基
板をエッチングした場合、フォトレジストの表面に荒れ
が生ずることがわかった。図1(a)は荒れの生じたフ
ォトレジストの表面のSEM像であり、その一辺は1.7μm
に相当する。また、図1(b)はそのレジスト断面のSE
M像である。このようにフォトレジストの表面に荒れが
生ずるのは、そのレジストのエッチングが進行するにつ
れてフォトレジストのエッチングレートが局所的に大き
くなることに起因するものである。エッチングレートが
局所的に大きくなった部分では下地がエッチングされる
可能性が大きくなり、高精細な微細パターンの形成が困
難となる。
However, in experiments conducted by us, when the underlying substrate was etched using a gas containing fluorine atoms through a resist pattern made of a resin as described above, the photoresist was not etched. It was found that the surface became rough. FIG. 1A is an SEM image of a roughened photoresist surface, one side of which is 1.7 μm.
Is equivalent to FIG. 1B shows the SE of the cross section of the resist.
M image. The reason why the surface of the photoresist is roughened is that the etching rate of the photoresist locally increases as the etching of the photoresist proceeds. In a portion where the etching rate is locally increased, the possibility that the underlayer is etched increases, and it becomes difficult to form a high-definition fine pattern.

【0005】本発明は、上記のような課題を解決するた
めになされたもので、200nm以下の波長を用いたリソ
グラフィーで精度良くレジストパターンを形成すること
ができ、かつ、下地基板に微細パターンを形成する工程
においてフォトレジストのエッチングレートが局所的に
大きくなるのを防止することのできる微細パターンの形
成方法を提供することを第1の目的とする。また、本発
明は、そのような微細パターンの形成方法を用いた半導
体装置の製造方法を提供することを第2の目的とする。
SUMMARY OF THE INVENTION The present invention has been made to solve the above-described problems, and can accurately form a resist pattern by lithography using a wavelength of 200 nm or less, and can form a fine pattern on an underlying substrate. It is a first object of the present invention to provide a method for forming a fine pattern, which can prevent the etching rate of a photoresist from locally increasing in a forming step. A second object of the present invention is to provide a method of manufacturing a semiconductor device using such a method of forming a fine pattern.

【0006】[0006]

【課題を解決するための手段】フォトレジストの表面に
荒れが生ずる原因について検討を重ねた結果、エッチン
グ処理に先だってパターニングされたフォトレジストに
対して、波長が200nm以下の放射線を照射すると、レ
ジスト表面の荒れを抑制できることがわかった。 (1)そこで、本発明に係る微細パターンの形成方法
は、所望パターンにパターニングされたフォトレジスト
をマスクとして微細パターンを形成する方法であって、
下地基板上にフォトレジストの膜を形成する工程と、前
記フォトレジストの膜の所定部分を波長200nm以下の
光に露光させる工程と、露光後の前記フォトレジストを
現像して、そのフォトレジストを前記所望パターンにパ
ターニングする工程と、パターニングされた前記フォト
レジストの全面に、波長200nm以下の光を照射する前
処理を施す工程と、前記前処理の後に、パターニングさ
れた前記フォトレジストをマスクとして、前記フォトレ
ジストの下地層に微細パターンを形成する工程と、を含
むことを特徴とする。
The inventors of the present invention have studied the causes of roughness on the surface of the photoresist. As a result, when a photoresist having a wavelength of 200 nm or less is irradiated on the patterned photoresist prior to the etching process, the photoresist surface is exposed. It has been found that the roughness of the surface can be suppressed. (1) Therefore, a method for forming a fine pattern according to the present invention is a method for forming a fine pattern using a photoresist patterned into a desired pattern as a mask,
Forming a photoresist film on an underlying substrate, exposing a predetermined portion of the photoresist film to light having a wavelength of 200 nm or less, developing the exposed photoresist, and applying the photoresist to the photoresist. A step of patterning into a desired pattern, a step of performing a pretreatment of irradiating light having a wavelength of 200 nm or less to the entire surface of the patterned photoresist, and after the pretreatment, using the patterned photoresist as a mask, Forming a fine pattern on a photoresist underlayer.

【0007】本発明によれば、エッチングやイオン注入
などを伴う微細パターン形成工程において、フォトレジ
ストのエッチングレートが局所的に大きくなるのを防止
して、レジストパターンの表面に大きな荒れが生ずるの
を防ぐことができる。
According to the present invention, it is possible to prevent the etching rate of a photoresist from being locally increased in a fine pattern forming step involving etching, ion implantation, and the like, thereby preventing the surface of a resist pattern from being greatly roughened. Can be prevented.

【0008】(2)また、前記前処理は、前記フォトレ
ジストに対して、波長200nm以下の光を3(J/cm2)以
上の照射強度で照射する工程を含むことが望ましい。本
条件が満たされると、微細パターン形成工程におけるレ
ジスト表面の荒れを十分に抑制することができる。
(2) The pretreatment preferably includes a step of irradiating the photoresist with light having a wavelength of 200 nm or less at an irradiation intensity of 3 (J / cm 2 ) or more. When this condition is satisfied, the roughness of the resist surface in the fine pattern forming step can be sufficiently suppressed.

【0009】(3)また、前記微細パターンの形成工程
にて除去される前記フォトレジスト膜厚をT(nm)とした
とき、前記前処理で使用される光に対して、前記フォト
レジストが、膜厚T(nm)当たり10%以上の透過率を示
すことが望ましい。フォトレジストの透明度が低い場
合、フォトレジストの膜質を膜厚全体にわたって改質す
るためには非常に大きな光エネルギーが必要となる。前
処理で用いられる光がそのように大きなエネルギーを有
する場合、フォトレジストの表面近傍に供給される光エ
ネルギーが過剰となり、フォトレジスト中でポリマーの
切断反応が進行し易くなるため、フォトレジスト膜厚が
減少する事態が生ずる。本発明によれば、そのような不
都合を伴うことなく、フォトレジストの全体に優れた耐
エッチング特性を付与できるため、微細パターン形成工
程におけるプロセスマージンを十分に確保することがで
きる。
(3) When the thickness of the photoresist to be removed in the step of forming the fine pattern is T (nm), the photoresist is exposed to light used in the pre-processing. It is desirable to exhibit a transmittance of 10% or more per film thickness T (nm). When the transparency of the photoresist is low, very large light energy is required to modify the film quality of the photoresist over the entire film thickness. When the light used in the pretreatment has such a large energy, the light energy supplied near the surface of the photoresist becomes excessive, and the polymer cutting reaction easily proceeds in the photoresist. Is reduced. According to the present invention, since excellent etching resistance can be imparted to the entire photoresist without such inconvenience, a sufficient process margin in the fine pattern forming step can be ensured.

【0010】(4)また、前記前処理は、前記フォトレ
ジストの周囲の雰囲気に含まれるオゾン濃度が2×10
-7(mol/l)以下の状態で行われることが望ましい。大気
中に含まれる酸素は、波長200nm以下の光を受けると
容易にオゾンに変化する。オゾンは、フォトレジストを
化学的にエッチングするので、その濃度が高いとフォト
レジストの膜厚が減少する事態が生ずる。本発明によれ
ば、前処理の過程でフォトレジストの膜厚が減少するの
を有効に防止することができるため、微細パターン形成
工程におけるプロセスマージンを十分に確保することが
できる。
(4) In the pretreatment, the concentration of ozone contained in the atmosphere around the photoresist is 2 × 10
It is desirable to carry out in a state of -7 (mol / l) or less. Oxygen contained in the atmosphere easily changes to ozone when receiving light having a wavelength of 200 nm or less. Ozone chemically etches the photoresist, so that when its concentration is high, the thickness of the photoresist may decrease. According to the present invention, it is possible to effectively prevent the thickness of the photoresist from being reduced in the course of the pretreatment, and thus a sufficient process margin in the fine pattern forming step can be secured.

【0011】[0011]

【発明の実施の形態】以下、図面を参照して本発明の実
施の形態について説明する。尚、各図において共通する
要素には、同一の符号を付して重複する説明を省略す
る。
Embodiments of the present invention will be described below with reference to the drawings. Elements common to the drawings are denoted by the same reference numerals, and redundant description will be omitted.

【0012】実施の形態1.以下、図2および図3を参
照して本発明の実施の形態1について説明する。実施の
形態1では、本発明に係る微細パターン形成方法の実施
例1〜5、および、それらとの比較に用いる比較例1〜
4について説明する。
Embodiment 1 Hereinafter, the first embodiment of the present invention will be described with reference to FIGS. In Embodiment 1, Examples 1 to 5 of the method for forming a fine pattern according to the present invention, and Comparative Examples 1 to 5 used for comparison therewith.
4 will be described.

【0013】図2は、実施例1〜5および比較例1〜4
における微細パターンの形成方法を説明するための図で
ある。図2において符号1はフォトレジストを、符号1
aはパターニング後のフォトレジストを、符号1bは下
地基板エッチング後のフォトレジストを、符号2は酸化
シリコン(SiO2)膜を、符号3は窒化シリコン(SiN)膜
を、符号4はシリコン基板を、符号5および6は、フォ
トレジスト1の露光に用いられるマスクおよび露光光を
示す。また、7は放射線を示す。
FIG. 2 shows Examples 1 to 5 and Comparative Examples 1 to 4.
FIG. 4 is a view for explaining a method for forming a fine pattern in FIG. In FIG. 2, reference numeral 1 denotes a photoresist, and reference numeral 1 denotes
a is a photoresist after patterning, 1b is a photoresist after etching of a base substrate, 2 is a silicon oxide (SiO 2 ) film, 3 is a silicon nitride (SiN) film, and 4 is a silicon substrate. , Symbols 5 and 6 indicate a mask and exposure light used for exposing the photoresist 1. Reference numeral 7 denotes radiation.

【0014】実施例1〜5および比較例1〜4の微細パ
ターン形成方法では、日本ASM社製の平行平板型プラズ
マCVD装置を用いて、図2(a)に示すように、基板
4上に52nmの膜厚を有する窒化シリコン膜3、および
800nmの膜厚を有する酸化シリコン膜2が順次形成さ
れる。次に、酸化シリコン膜2の上に回転塗布法により
フォトレジスト1が形成される。
In the fine pattern forming methods of Examples 1 to 5 and Comparative Examples 1 to 4, as shown in FIG. 2A, a parallel plate type plasma CVD device manufactured by ASM Japan was used. A silicon nitride film 3 having a thickness of 52 nm and a silicon oxide film 2 having a thickness of 800 nm are sequentially formed. Next, a photoresist 1 is formed on the silicon oxide film 2 by a spin coating method.

【0015】フォトレジスト1の塗布工程では、先ず、
酸化シリコン膜2が形成された後の基板が、ヘキサメチ
ルジシラザン(HMDS)雰囲気中に90℃の加熱状態で60
秒間曝露される。次に、メタクリル酸エステルを含む住
友化学工業社製ArFエキシマレーザーリソグラフィー
用レジスト(PAR-101)が600nmの厚さで塗布される。
その後、ホットプレート上で基板が60秒間120℃に
加熱される。その結果、図2(a)に示す状態が形成さ
れる。
In the step of applying the photoresist 1, first,
After the silicon oxide film 2 is formed, the substrate is heated at 90 ° C. in a hexamethyldisilazane (HMDS) atmosphere at 60 ° C.
Exposure for seconds. Next, an ArF excimer laser lithography resist (PAR-101) containing methacrylic acid ester manufactured by Sumitomo Chemical Co., Ltd. is applied with a thickness of 600 nm.
Thereafter, the substrate is heated to 120 ° C. on a hot plate for 60 seconds. As a result, the state shown in FIG. 2A is formed.

【0016】次に、ISI社製プロトタイプArFエキ
シマレーザーステッパーにより、コンタクトホールパタ
ーンの露光が実行される(図2(b))。露光装置のN
A(Numerical Aperture)は0.6、σは0.7である。
Next, exposure of a contact hole pattern is performed by a prototype ArF excimer laser stepper manufactured by ISI (FIG. 2B). Exposure equipment N
A (Numerical Aperture) is 0.6 and σ is 0.7.

【0017】その後、ホットプレート上にて60秒間基
板が120℃に加熱され、テトラメチルアンモニウムヒ
ドロキシド(TMAH)水溶液等の有機アルカリ系水溶液を現
像液としてパドル法で現像処理が実行される。その結
果、160nm径のコンタクトホールパターンを有するフ
ォトレジスト1aが形成される(図2(c))。
Thereafter, the substrate is heated to 120 ° C. for 60 seconds on a hot plate, and a developing process is performed by a paddle method using an organic alkaline aqueous solution such as an aqueous solution of tetramethylammonium hydroxide (TMAH) as a developing solution. As a result, a photoresist 1a having a contact hole pattern having a diameter of 160 nm is formed (FIG. 2C).

【0018】次に、エッチングの前処理として、基板全
面に放射線7が照射される(図2(d))。表1に、比
較例1〜4および実施例1〜5のそれぞれにおいて用い
られる放射線7の種類および強度の設定を示す。尚、本
工程において、基板温度は25℃に設定した。
Next, as a pretreatment for etching, radiation 7 is applied to the entire surface of the substrate (FIG. 2D). Table 1 shows the type and intensity setting of the radiation 7 used in each of Comparative Examples 1 to 4 and Examples 1 to 5. In this step, the substrate temperature was set at 25 ° C.

【0019】[0019]

【表1】 [Table 1]

【0020】次に、上記の処理により得られたレジスト
パターン1aをマスクとして酸化シリコン膜2がエッチ
ングされる。その結果、酸化シリコン膜2にコンタクト
ホールのパターンが転写される(図2(e))。本実施
形態において、上記のエッチングは、東京エレクトロン
社製の平行平板型プロトタイプエッチング装置を用い
て、また、エッチングガス種としてC48(11sccm)
/O2(8sccm)/Ar(400sccm)混合ガスを用いて
行われる。この際、エッチングチャンバー内の圧力は3
0mtorrに、上部電極のプラズマパワーは2000W
(27MHz)に、下部電極のプラズマパワーは1200
W(800kHz)に、またウェハ温度は−20℃にそれ
ぞれ設定した。
Next, the silicon oxide film 2 is etched using the resist pattern 1a obtained by the above processing as a mask. As a result, the pattern of the contact hole is transferred to the silicon oxide film 2 (FIG. 2E). In this embodiment, the above-mentioned etching is performed using a parallel plate type prototype etching apparatus manufactured by Tokyo Electron Limited, and C 4 F 8 (11 sccm) is used as an etching gas.
This is performed using a mixed gas of / O 2 (8 sccm) / Ar (400 sccm). At this time, the pressure in the etching chamber is 3
0mtorr, plasma power of upper electrode is 2000W
(27 MHz), the plasma power of the lower electrode is 1200
W (800 kHz), and the wafer temperature was set at -20 ° C.

【0021】このようにして得られたレジストパターン
1bの断面を走査型電子線顕微鏡(日立製作所製S-5000)
で観察した結果、実施例1〜5の場合と、比較例1〜4
の場合とでレジストパターン1bの状態に明瞭な相違が
見られた。図3(a)は比較例1〜4の方法で加工され
た基板の断面図を、また、図3(b)は実施例1〜5の
方法で加工されたフォトレジスト1bの断面図を示す。
これらの図に示されるように、実施例1〜5の方法で形
成されたフォトレジスト1bの表面の荒れは、比較例1
〜4の方法で形成されたフォトレジスト1bの表面の荒
れに比して小さいことがわかった。
The cross section of the resist pattern 1b thus obtained is scanned with a scanning electron microscope (S-5000, manufactured by Hitachi, Ltd.).
As a result of observation in Examples 1 to 5, and Comparative Examples 1 to 4
A clear difference was found in the state of the resist pattern 1b between the case of FIG. FIG. 3A is a cross-sectional view of a substrate processed by the method of Comparative Examples 1-4, and FIG. 3B is a cross-sectional view of a photoresist 1b processed by the method of Examples 1-5. .
As shown in these figures, the roughness of the surface of the photoresist 1b formed by the method of Examples 1 to 5 is the same as that of Comparative Example 1.
4 was smaller than the roughness of the surface of the photoresist 1b formed by the method described in any one of (1) to (4).

【0022】次に、我々は、レジストパターンの表面荒
れを、「未露光部分のレジスト残膜量ばらつきの3σ
値」と定義して、その大きさdを定量化した(図2
(e)参照)。なお、残膜量のばらつきの測定には原子
間力顕微鏡(AFM)を用いた。我々の実験より表面荒れd
が50nm以下であれば、エッチングによって形成される
パターンの寸法精度が低下しないことがわかっている。
Next, we describe the surface roughness of the resist pattern as “3σ of the variation in the remaining amount of the resist in the unexposed portion.
The value d was quantified (see FIG. 2).
(E)). Note that an atomic force microscope (AFM) was used to measure the variation in the remaining film amount. Surface roughness d from our experiments
Is smaller than 50 nm, it is known that the dimensional accuracy of the pattern formed by etching does not decrease.

【0023】表1中「表面荒れd(nm)」の欄は、上述し
た方法で定量された表面荒れdの値を示す。表1より明
らかなように、エッチングの前処理として200nm以下
の波長を有する放射線をフォトレジストに照射すると、
表面荒れdを50nm以下に抑制することができる。この
ように、実施例1〜5の方法によれば、エッチング工程
におけるフォトレジスト1の表面荒れを有効に抑制する
ことができる。
The column of "surface roughness d (nm)" in Table 1 shows the value of the surface roughness d determined by the above-described method. As is clear from Table 1, when the photoresist is irradiated with radiation having a wavelength of 200 nm or less as a pretreatment for etching,
The surface roughness d can be suppressed to 50 nm or less. As described above, according to the methods of Embodiments 1 to 5, the surface roughness of the photoresist 1 in the etching step can be effectively suppressed.

【0024】ところで、実施の形態1では、フォトレジ
スト1の塗布直前にシリコン基板4をHMDS雰囲気に暴露
することで、基板の表面に、フォトレジスト1との密着
性を高めるための密着層を形成している。しかしなが
ら、本発明はこれに限定されるものではなく、フォトレ
ジスト1とその下地との密着が十分に確保できる場合に
は、この密着層の形成は省略してもよい。
In the first embodiment, by exposing the silicon substrate 4 to an HMDS atmosphere immediately before the application of the photoresist 1, an adhesion layer for improving the adhesion to the photoresist 1 is formed on the surface of the substrate. are doing. However, the present invention is not limited to this, and the formation of this adhesion layer may be omitted if the adhesion between the photoresist 1 and its base can be sufficiently ensured.

【0025】また、実施の形態1の方法では、レジスト
パターンの露光工程でArFエキシマレーザを用いた縮
小露光が行われるが、露光の手法はこれに限定されるも
のではなく他の方法を用いても良い。例えば、電子線露
光、KrFエキシマレーザ密着露光あるいは縮小投影露
光、F2エキシマレーザ密着露光あるいは縮小投影露
光、紫外線を光源とするステップアンドスキャン反射型
縮小投影露光、または軟X線等を用いることができる。
Further, in the method of the first embodiment, in the resist pattern exposure step, reduced exposure using an ArF excimer laser is performed. However, the exposure method is not limited to this, and other methods are used. Is also good. For example, electron beam exposure, KrF excimer laser contact exposure or reduction projection exposure, F 2 excimer laser contact exposure or reduction projection exposure, ultraviolet step and scan reflective reduction projection exposure as a light source, or it is used soft X-rays it can.

【0026】また、実施の形態1の方法では、露光装置
のNAおよびσを、それぞれ0.6および0.7として
いるが、それらの値もこれに限定されるものではない。
レジスト膜厚についても下地のエッチング工程終了後に
十分な残膜量が確保されている限りは任意である。ま
た、転写パターンはコンタクトホールに限らず、ライン
パターンや溝パターン等でも良い。
In the method of the first embodiment, NA and σ of the exposure apparatus are set to 0.6 and 0.7, respectively, but their values are not limited to these values.
The resist film thickness is also optional as long as a sufficient amount of the remaining film is secured after the underlayer etching step. The transfer pattern is not limited to the contact hole, but may be a line pattern, a groove pattern, or the like.

【0027】また、実施の形態1では、エッチングされ
る下地膜が酸化シリコン膜2であるが、その下地膜は、
窒化シリコン膜、窒化チタン膜、タングステン膜、シリ
コン酸化窒化膜、またはポリシリコン膜等であってもよ
い。
In the first embodiment, the underlying film to be etched is the silicon oxide film 2, but the underlying film is
It may be a silicon nitride film, a titanium nitride film, a tungsten film, a silicon oxynitride film, a polysilicon film, or the like.

【0028】また、実施の形態1では、エッチングガス
としてC48/O2/Arの混合ガスが用いられている
が、エッチングガスはこれに限定されるものではなく、
CF 4、CHF3、C26、C58等のようなフッ素原子
を含むエッチングガスは何れも本実施形態の方法に使用
することができる。その他、当業者にとって自明な範囲
で種々の変更、改良、組み合わせ等が可能である。
In the first embodiment, the etching gas
As CFourF8/ OTwo/ Ar mixed gas is used
However, the etching gas is not limited to this,
CF Four, CHFThree, CTwoF6, CFiveF8A fluorine atom such as
Any etching gas containing is used in the method of the present embodiment.
can do. Other ranges obvious to those skilled in the art
Various modifications, improvements, combinations, etc. are possible.

【0029】尚、半導体装置の製造の過程で、実施の形
態1の方法を用いて、図2(c)に示すフォトレジスト
1aの形成後に、そのレジストパターン1aを介して下
地の酸化シリコン膜2、あるいは酸化シリコン膜2およ
び窒化シリコン膜3の双方をエッチングすることによれ
ば、半導体装置を構成する絶縁膜の微細パターンを形成
することができる。
In the process of manufacturing the semiconductor device, after forming the photoresist 1a shown in FIG. 2C using the method of the first embodiment, the underlying silicon oxide film 2 is formed via the resist pattern 1a. Alternatively, by etching both the silicon oxide film 2 and the silicon nitride film 3, a fine pattern of an insulating film constituting a semiconductor device can be formed.

【0030】また、フォトレジスト1aの下地膜が導電
膜である場合は、半導体装置の製造の過程で、実施の形
態1の方法を用いて導電膜をエッチングすることで、半
導体装置を構成する導電膜の微細パターンを形成するこ
とができる。以後、一連の製造工程を経て半導体装置が
製造されるが、それらの工程については説明を省略す
る。
When the underlying film of the photoresist 1a is a conductive film, the conductive film is etched using the method of the first embodiment in the process of manufacturing the semiconductor device, so that the conductive film forming the semiconductor device is formed. A fine pattern of the film can be formed. Thereafter, the semiconductor device is manufactured through a series of manufacturing steps, but the description of those steps is omitted.

【0031】また、実施の形態1では、前処理の終了後
にフォトレジスト1aをマスクとしてエッチングを行う
ことで微細パターンを形成することとしているが、本発
明はこれに限定されるものではない。すなわち、前処理
の終了したフォトレジスト1aは、シリコン基板4にイ
オンを注入する際のマスクとしても使用することができ
る。この場合、フォトレジスト1aの表面荒れが抑制さ
れるため、例えばトランジスタのソースドレイン領域等
のパターンを精度良く形成することが可能となる。
Further, in the first embodiment, a fine pattern is formed by performing etching using the photoresist 1a as a mask after completion of the pretreatment, but the present invention is not limited to this. That is, the photoresist 1a that has been subjected to the pretreatment can be used as a mask when implanting ions into the silicon substrate 4. In this case, since the surface roughness of the photoresist 1a is suppressed, it is possible to accurately form a pattern such as a source / drain region of a transistor, for example.

【0032】以上説明したように、実施の形態1の微細
パターン形成方法によれば、フォトレジストにパターン
が形成された後に、エッチングの前処理として波長が2
00nm以下の放射線7が基板の全面に照射される。その
結果、フォトレジストをマスクとしてその下地膜をエッ
チングする工程において、フォトレジストのエッチング
レートが局所的に大きな値となるのを効果的に防ぐこと
が可能となる。従って、実施の形態1の方法(実施例1
〜5の方法)によれば、微細で高精度な絶縁膜あるいは
導電膜のパターンを形成することができ、さらに、その
ような微細パターンを有する半導体装置などを製造する
ことができる。
As described above, according to the fine pattern forming method of the first embodiment, after a pattern is formed on a photoresist, a wavelength of 2 is used as a pretreatment for etching.
Radiation 7 of 00 nm or less is applied to the entire surface of the substrate. As a result, in the step of etching the base film using the photoresist as a mask, it is possible to effectively prevent the etching rate of the photoresist from being locally large. Therefore, the method of Embodiment 1 (Example 1)
According to the methods (1) to (5), a fine and highly accurate pattern of an insulating film or a conductive film can be formed, and a semiconductor device having such a fine pattern can be manufactured.

【0033】実施の形態2.以下、図2を参照して本発
明の実施の形態2について説明する。実施の形態2で
は、本発明に係る微細パターン形成方法の実施例6〜1
2、およびそれらとの比較に用いられる比較例5〜8に
ついて説明する。
Embodiment 2 FIG. Hereinafter, a second embodiment of the present invention will be described with reference to FIG. In Embodiment 2, Examples 6-1 of the fine pattern forming method according to the present invention will be described.
2, and Comparative Examples 5 to 8 used for comparison therewith will be described.

【0034】本発明の実施例6〜12および比較例5〜
8の微細パターンの形成方法は、実施の形態1の場合と
同様に図2(a)〜図2(e)を用いて説明することが
できる。実施の形態2の微細パターン形成方法では、日
本ASM社製の平行平板型プラズマCVD装置を用いて、
図2(a)に示すように、基板4上に53nmの膜厚を有
する窒化シリコン膜3、および800nmの膜厚を有する
酸化シリコン膜2が順次形成される。次に、酸化シリコ
ン膜2の上に回転塗布法によりフォトレジスト1が形成
される。
Examples 6 to 12 of the present invention and Comparative Examples 5 to
8 can be described with reference to FIGS. 2A to 2E as in the first embodiment. In the fine pattern forming method according to the second embodiment, a parallel plate type plasma CVD device manufactured by ASM Japan is used.
As shown in FIG. 2A, a silicon nitride film 3 having a thickness of 53 nm and a silicon oxide film 2 having a thickness of 800 nm are sequentially formed on a substrate 4. Next, a photoresist 1 is formed on the silicon oxide film 2 by a spin coating method.

【0035】フォトレジスト1の塗布工程では、先ず、
酸化シリコン膜2が形成された後の基板が、ヘキサメチ
ルジシラザン(HMDS)雰囲気中に110℃の加熱状態で1
20秒間曝露される。次に、脂環式炭化水素部分を含有
するモノマーと無水マレイン酸モノマーとの重合生成物
を主成分とする東京応化工業社製ArFエキシマレーザ
ーリソグラフィー用レジストが600nmの厚さで塗布さ
れる。その後、ホットプレート上で、基板が60秒間1
30℃に加熱される。その結果、図2(a)に示す状態
が形成される。
In the step of applying the photoresist 1, first,
After the silicon oxide film 2 is formed, the substrate is heated in a hexamethyldisilazane (HMDS) atmosphere at 110 ° C. for 1 hour.
Exposure is for 20 seconds. Next, a resist for ArF excimer laser lithography manufactured by Tokyo Ohka Kogyo Co., Ltd. having a thickness of 600 nm, which is mainly composed of a polymerization product of a monomer containing an alicyclic hydrocarbon portion and a maleic anhydride monomer, is applied. Then, the substrate is placed on a hot plate for 1 second for 60 seconds.
Heat to 30 ° C. As a result, the state shown in FIG. 2A is formed.

【0036】次にニコン社製プロトタイプArFエキシ
マレーザーステッパー(NSR-S302A)によりコンタクトホ
ールパターンの露光が実行される(図2(b))。露光
装置のNAは0.6、σは0.75である。
Next, exposure of a contact hole pattern is performed by a Nikon prototype ArF excimer laser stepper (NSR-S302A) (FIG. 2B). The NA of the exposure apparatus is 0.6 and σ is 0.75.

【0037】その後、ホットプレート上にて、基板が6
0秒間130℃に加熱され、テトラメチルアンモニウム
ヒドロキシド(TMAH)水溶液等の有機アルカリ系水溶液を
現像液としてパドル法で現像処理が実行される。その結
果、150nm径のコンタクトホールパターンを有するフ
ォトレジスト1aが形成される(図2(c))。
Thereafter, the substrate is placed on a hot plate at 6
The mixture is heated to 130 ° C. for 0 second, and a developing process is performed by a paddle method using an organic alkaline aqueous solution such as an aqueous solution of tetramethylammonium hydroxide (TMAH) as a developer. As a result, a photoresist 1a having a contact hole pattern with a diameter of 150 nm is formed (FIG. 2C).

【0038】次に、エッチングの前処理として、基板全
面に放射線7が照射される(図2(d))。放射線7の
照射源としては、ウシオ電機(株)製のエキシマランプ
照射装置 (UVS-4200)を用いた。本装置における照射光
の中心波長は172nmである。表2に、実施例6〜12
および比較例5〜8のそれぞれで用いられる照射エネル
ギーを示す。
Next, as a pretreatment for the etching, the entire surface of the substrate is irradiated with radiation 7 (FIG. 2D). As an irradiation source of the radiation 7, an excimer lamp irradiation device (UVS-4200) manufactured by Ushio Inc. was used. The center wavelength of the irradiation light in this apparatus is 172 nm. Table 2 shows Examples 6 to 12.
The irradiation energy used in each of Comparative Examples 5 to 8 is shown.

【0039】[0039]

【表2】 [Table 2]

【0040】次に、上記の処理により得られたレジスト
パターン1aをマスクとして酸化シリコン膜2がエッチ
ングされる。その結果、酸化シリコン膜2にコンタクト
ホールのパターンが転写される(図2(e))。本実施
形態において、上記のエッチングは、東京エレクトロン
社製の平行平板型プロトタイプエッチング装置を用い
て、また、エッチングガス種としてC48(11sccm)
/O2(6sccm)/Ar(350sccm)混合ガスを用いて
行われる。この際、エッチングチャンバー内の圧力は2
5mtorrに、上部電極のプラズマパワーは2000W
(27MHz)に、下部電極のプラズマパワーは1000
W(800kHz)に、ウェハ温度は−20℃に、また、
エッチングの処理時間は2分に、それぞれ設定した。
Next, the silicon oxide film 2 is etched using the resist pattern 1a obtained by the above processing as a mask. As a result, the pattern of the contact hole is transferred to the silicon oxide film 2 (FIG. 2E). In this embodiment, the above-mentioned etching is performed using a parallel plate type prototype etching apparatus manufactured by Tokyo Electron Limited, and C 4 F 8 (11 sccm) is used as an etching gas.
This is performed using a mixed gas of / O 2 (6 sccm) / Ar (350 sccm). At this time, the pressure in the etching chamber is 2
5mtorr, plasma power of upper electrode is 2000W
(27 MHz), the plasma power of the lower electrode is 1000
W (800 kHz), wafer temperature to -20 ° C,
The etching processing time was set to 2 minutes.

【0041】このようにして得られたレジストパターン
1bの表面の荒れをAFMにより測定した。表2におけ
る「表面荒れd(nm)」の欄は上記の如く測定された表面
荒れdの値を示す。表2に示す結果より、放射線7の照
射エネルギーを3(J/cm2)以上に設定すると、レジスト表
面の荒れdを50nm以下に抑制することができ、高精度
なパターン転写が可能となることがわかった。
The surface roughness of the thus obtained resist pattern 1b was measured by AFM. The column of “surface roughness d (nm)” in Table 2 shows the value of the surface roughness d measured as described above. From the results shown in Table 2, when the irradiation energy of the radiation 7 is set to 3 (J / cm 2 ) or more, the roughness d of the resist surface can be suppressed to 50 nm or less, and highly accurate pattern transfer can be performed. I understood.

【0042】ところで、実施の形態2の方法では、フォ
トレジスト1を基板上に塗布する直前に、基板をHMDS雰
囲気に曝露して密着層を形成しているが、フォトレジス
ト1と下地との密着が十分である場合には、この密着層
を省略してもよい。
In the method of the second embodiment, the substrate is exposed to an HMDS atmosphere to form an adhesion layer immediately before coating the photoresist 1 on the substrate. Is sufficient, this adhesion layer may be omitted.

【0043】また、実施の形態2の方法では、レジスト
パターンの露光工程でArFエキシマレーザを用いた縮
小露光が行われるが、露光の手法はこれに限定されるも
のではなく他の方法を用いても良い。例えば、電子線露
光、KrFエキシマレーザ密着露光あるいは縮小投影露
光、F2エキシマレーザ密着露光あるいは縮小投影露
光、紫外線を光源とするステップアンドスキャン反射型
縮小投影露光、または軟X線等を用いることができる。
In the method of the second embodiment, the reduction exposure using the ArF excimer laser is performed in the exposure step of the resist pattern. However, the exposure method is not limited to this, and other methods may be used. Is also good. For example, electron beam exposure, KrF excimer laser contact exposure or reduction projection exposure, F 2 excimer laser contact exposure or reduction projection exposure, ultraviolet step and scan reflective reduction projection exposure as a light source, or it is used soft X-rays it can.

【0044】また、実施の形態2の方法では、露光装置
のNAおよびσを、それぞれ0.6および0.75とし
ているが、それらの値もこれに限定されるものではな
い。レジスト膜厚についても下地のエッチング工程終了
後に十分な残膜量が確保されている限り任意である。ま
た、転写パターンはコンタクトホールに限らず、ライン
パターンや溝パターンなどでも良い。
In the method of the second embodiment, NA and σ of the exposure apparatus are set to 0.6 and 0.75, respectively, but their values are not limited to these values. The resist film thickness is also optional as long as a sufficient amount of the remaining film is secured after the completion of the base etching process. Further, the transfer pattern is not limited to the contact hole, but may be a line pattern or a groove pattern.

【0045】また、実施の形態2では、エッチングされ
る下地膜が酸化シリコン膜2であるが、その下地膜は、
窒化シリコン膜、窒化チタン膜、タングステン膜、シリ
コン酸化窒化膜、またはポリシリコン膜等であってもよ
い。
In the second embodiment, the underlying film to be etched is the silicon oxide film 2, but the underlying film is
It may be a silicon nitride film, a titanium nitride film, a tungsten film, a silicon oxynitride film, a polysilicon film, or the like.

【0046】また、実施の形態2では、エッチングガス
としてC48/O2/Arの混合ガスが用いられている
が、エッチングガスはこれに限定されるものではなく、
CF 4、CHF3、C26、C58等のようなフッ素を含
むエッチングガスは何れも本実施形態の方法に使用する
ことができる。その他、当業者にとって自明な範囲で、
種々の変更、改良、組み合わせ等が可能である。
In the second embodiment, the etching gas
As CFourF8/ OTwo/ Ar mixed gas is used
However, the etching gas is not limited to this,
CF Four, CHFThree, CTwoF6, CFiveF8Contains fluorine such as
Any etching gas is used in the method of the present embodiment.
be able to. In addition, to the extent obvious to those skilled in the art
Various changes, improvements, combinations, and the like are possible.

【0047】尚、半導体装置の製造の過程で、実施の形
態2の方法を用いて、図2(c)に示すフォトレジスト
1aの形成後に、そのレジストパターン1aを介して下
地の酸化シリコン膜2、あるいは酸化シリコン膜2およ
び窒化シリコン膜3の双方をエッチングすることによれ
ば、半導体装置を構成する絶縁膜の微細パターンを形成
することができる。
In the process of manufacturing the semiconductor device, using the method of the second embodiment, after forming the photoresist 1a shown in FIG. 2C, the underlying silicon oxide film 2 is formed via the resist pattern 1a. Alternatively, by etching both the silicon oxide film 2 and the silicon nitride film 3, a fine pattern of an insulating film constituting a semiconductor device can be formed.

【0048】また、フォトレジスト1aの下地膜が導電
膜である場合は、半導体装置の製造の過程で、実施の形
態2の方法を用いて、そのレジストパターン1aを介し
て導電膜をエッチングすることで、半導体装置を構成す
る導電膜の微細パターンを形成することができる。以
後、一連の製造工程を経て半導体装置が製造されるが、
それらの工程については説明を省略する。
When the underlying film of the photoresist 1a is a conductive film, the conductive film is etched through the resist pattern 1a by using the method of the second embodiment in the process of manufacturing the semiconductor device. Thus, a fine pattern of a conductive film included in a semiconductor device can be formed. After that, the semiconductor device is manufactured through a series of manufacturing processes,
The description of those steps is omitted.

【0049】また、実施の形態2では、前処理の終了後
にフォトレジスト1aをマスクとしてエッチングを行う
ことで微細パターンを形成することとしているが、本発
明はこれに限定されるものではない。すなわち、前処理
の終了したフォトレジスト1aは、半導体基板にイオン
を注入する際のマスクとしても使用することができる。
この場合、フォトレジスト1aの表面荒れが抑制される
ため、例えばトランジスタのソースドレイン領域等のパ
ターンを精度良く形成することが可能となる。
In the second embodiment, a fine pattern is formed by performing etching using the photoresist 1a as a mask after the completion of the pretreatment, but the present invention is not limited to this. That is, the photoresist 1a that has been subjected to the pretreatment can be used as a mask when implanting ions into the semiconductor substrate.
In this case, since the surface roughness of the photoresist 1a is suppressed, it is possible to accurately form a pattern such as a source / drain region of a transistor, for example.

【0050】以上説明したように、実施の形態2の微細
パターン形成方法(実施例6〜12)によれば、フォト
レジストにパターンを形成した後に、エッチングの前処
理として、基板の全面に3(J/cm2)以上の照射エネルギ
ーを有する放射線7が照射される。その結果、フォトレ
ジストをマスクとしてその下地膜をエッチングする過程
で、フォトレジストのエッチングレートが局所的に増大
するのを効果的に抑制することが可能となる。従って、
実施の形態2(実施例6〜12)の微細パターン形成方
法によれば、微細な絶縁膜あるいは導電膜のパターンを
精度良く形成することができ、さらに、そのような微細
パターンを有する半導体装置などを製造することができ
る。
As described above, according to the fine pattern forming method of Embodiment 2 (Examples 6 to 12), after forming a pattern on a photoresist, 3 ( Radiation 7 having an irradiation energy of J / cm 2 or more is applied. As a result, it is possible to effectively suppress a local increase in the etching rate of the photoresist in the process of etching the base film using the photoresist as a mask. Therefore,
According to the fine pattern forming method of the second embodiment (Examples 6 to 12), a fine pattern of an insulating film or a conductive film can be formed with high accuracy, and a semiconductor device having such a fine pattern can be formed. Can be manufactured.

【0051】実施の形態3.以下、図2を参照して本発
明の実施の形態3について説明する。実施の形態3で
は、本発明に係る微細パターン形成方法の実施例13〜
16と、それらを基礎とする変形例1〜4とについて説
明する。
Embodiment 3 FIG. Hereinafter, a third embodiment of the present invention will be described with reference to FIG. In Embodiment 3, Embodiments 13 to 13 of the method for forming a fine pattern according to the present invention will be described.
16 and Modifications 1 to 4 based on them.

【0052】本発明の実施例13〜16および変形例1
〜4の微細パターンの形成方法は、実施の形態1または
2の場合と同様に図2(a)〜図2(e)を用いて説明
することができる。本実施の形態の微細パターン形成方
法では、日本ASM社製の平行平板型プラズマCVD装置
を用いて、図2(a)に示すように基板4上に25nmの
膜厚を有するシリコン酸化窒化膜(SiON膜)が形成さ
れ、その上に700nmの膜厚を有する酸化シリコン膜2
が形成される。次に、酸化シリコン膜2の上に回転塗布
法によりフォトレジスト1が形成される。
Embodiments 13 to 16 and Modification 1 of the Present Invention
4A to 4E can be described with reference to FIGS. 2A to 2E as in the first or second embodiment. In the method for forming a fine pattern according to the present embodiment, as shown in FIG. 2A, a silicon oxynitride film having a thickness of 25 nm is formed on a substrate 4 using a parallel plate type plasma CVD apparatus manufactured by ASM Japan. SiON film), and a silicon oxide film 2 having a thickness of 700 nm is formed thereon.
Is formed. Next, a photoresist 1 is formed on the silicon oxide film 2 by a spin coating method.

【0053】フォトレジスト1の塗布工程では、先ず、
酸化シリコン膜2が形成された後の基板が、ヘキサメチ
ルジシラザン(HMDS)雰囲気中に110℃の加熱状態で6
0秒間曝露される。次に、メタクリル酸エステルを主成
分とする三菱レイヨン社製ArFエキシマレーザーリソ
グラフィー用レジスト(MRC1001)が600nmの厚さで塗
布される。その後、ホットプレート上で基板が60秒間
120℃に加熱される。その結果、図2(a)に示す状
態が形成される。
In the step of applying the photoresist 1, first,
After the silicon oxide film 2 is formed, the substrate is heated at 110 ° C. in a hexamethyldisilazane (HMDS) atmosphere.
Exposure is for 0 seconds. Next, a resist (MRC1001) for ArF excimer laser lithography (MRC1001) manufactured by Mitsubishi Rayon Co., Ltd. and containing methacrylic acid ester as a main component is applied to a thickness of 600 nm. Thereafter, the substrate is heated to 120 ° C. on a hot plate for 60 seconds. As a result, the state shown in FIG. 2A is formed.

【0054】次にキャノン社製ArFエキシマレーザー
露光装置によりコンタクトホールパターンの露光が実行
される(図2(b))。露光装置のNAは0.6、σは
0.7である。
Next, exposure of the contact hole pattern is performed by an ArF excimer laser exposure device manufactured by Canon Inc. (FIG. 2B). The NA of the exposure apparatus is 0.6 and σ is 0.7.

【0055】その後、ホットプレート上にて60秒間、
基板が120℃に加熱され、テトラメチルアンモニウム
ヒドロキシド(TMAH)水溶液等の有機アルカリ系水溶液を
現像液としてパドル法で現像処理が実行される。その結
果、180nm径のコンタクトホールパターンを有するフ
ォトレジスト1aが形成される(図2(c))。
Then, on a hot plate for 60 seconds,
The substrate is heated to 120 ° C., and a developing process is performed by a paddle method using an organic alkaline aqueous solution such as an aqueous solution of tetramethylammonium hydroxide (TMAH) as a developing solution. As a result, a photoresist 1a having a contact hole pattern with a diameter of 180 nm is formed (FIG. 2C).

【0056】次に、エッチングの前処理として、基板全
面に放射線7が照射される(図2(d))。表3に、実
施例13〜16および変形例1〜4のそれぞれにおいて
用いられる放射線の波長および強度についての設定を示
す。放射線の波長は、重水素ランプにフィルターをかけ
ることにより所望の値を得た。基板温度の設定値は、実
施の形態13〜16および比較例1〜4の全てにおいて
−10℃である。
Next, as a pretreatment for the etching, the entire surface of the substrate is irradiated with radiation 7 (FIG. 2D). Table 3 shows the settings for the wavelength and intensity of the radiation used in each of Examples 13 to 16 and Modifications 1 to 4. The desired wavelength was obtained by filtering the deuterium lamp. The set value of the substrate temperature is −10 ° C. in all of Embodiments 13 to 16 and Comparative Examples 1 to 4.

【0057】[0057]

【表3】 [Table 3]

【0058】上記の処理により得られたレジストパター
ン1aをマスクとして酸化シリコン膜2がエッチングさ
れる。その結果、酸化シリコン膜2にコンタクトホール
のパターンが転写される(図2(e))。本実施形態に
おいて、上記のエッチングは、住友金属工業(株)製表
面波プラズマエッチング装置(Wave-X4000)を用いて、ま
た、エッチングガス種としてAr(1000sccm)/C
48(30sccm)/O2(12sccm)/CO(60sccm)
混合ガスを用いて行われる。この際、エッチングチャン
バー内の圧力は40mtorrに、上部電極のプラズマパワ
ーは2900Wに、下部電極のプラズマパワーは180
0Wに設定した。また、下部電極温度は0℃に、エッチ
ングの処理時間は5分に、それぞれ設定した。上記のエ
ッチングが行われると、フォトレジスト1aは200nm
程度除去される。
The silicon oxide film 2 is etched using the resist pattern 1a obtained by the above processing as a mask. As a result, the pattern of the contact hole is transferred to the silicon oxide film 2 (FIG. 2E). In this embodiment, the above etching is performed using a surface wave plasma etching apparatus (Wave-X4000) manufactured by Sumitomo Metal Industries, Ltd., and Ar (1000 sccm) / C as an etching gas species.
4 F 8 (30 sccm) / O 2 (12 sccm) / CO (60 sccm)
This is performed using a mixed gas. At this time, the pressure in the etching chamber was 40 mtorr, the plasma power of the upper electrode was 2900 W, and the plasma power of the lower electrode was 180 mtorr.
It was set to 0W. The lower electrode temperature was set to 0 ° C., and the etching processing time was set to 5 minutes. When the above etching is performed, the photoresist 1a has a thickness of 200 nm.
Removed to a degree.

【0059】表3において「レジストの透過率(%/2
00nm)」の欄は、エッチングの前処理で使用される放
射線7の波長に対するレジスト透過率、より具体的に
は、上記のエッチングによって除去されるレジストの膜
厚 (本実施の形態では200nm)当たりのレジスト透過
率を示す。
In Table 3, “Transmittance of resist (% / 2)
The column of “00 nm)” indicates the transmittance of the resist with respect to the wavelength of the radiation 7 used in the pretreatment for etching, more specifically, the thickness of the resist removed by the above-described etching (200 nm in this embodiment). Shows the transmittance of the resist.

【0060】フォトレジストの透過率が低い場合、フォ
トレジストの膜質を膜厚全体にわたって改質するために
は非常に大きな光エネルギーが必要となる。前処理で用
いられる光がそのように大きなエネルギーを有する場
合、その光のエネルギーにより、特にフォトレジストの
表面付近においてポリマーの切断反応が進行し易くな
る。ポリマーの切断反応が進行すると、フォトレジスト
の膜厚は減少する。このため、前処理後のレジスト残膜
量は、表3に示すように、レジスト透過率が低く、大き
な所要エネルギーが要求される場合ほど小さな値とな
る。
When the transmittance of the photoresist is low, very large light energy is required to modify the film quality of the photoresist over the entire film thickness. If the light used in the pretreatment has such a large energy, the energy of the light facilitates the polymer cleavage reaction, especially near the surface of the photoresist. As the polymer cleavage reaction progresses, the thickness of the photoresist decreases. For this reason, as shown in Table 3, the resist residual film amount after the pretreatment becomes smaller as the resist transmittance is lower and a larger required energy is required.

【0061】表3に示す結果より、エッチングで除去さ
れる膜厚当たりのレジスト透過率が10%以上である場
合は、前処理の後に十分に大きなレジスト残膜量が確保
できることがわかる。従って、本実施形態の方法では、
そのレジスト透過率を10%以上に設定することによ
り、エッチング工程におけるプロセスマージンを、特に
大きく確保することが可能となる。
From the results shown in Table 3, it can be seen that when the resist transmittance per film thickness removed by etching is 10% or more, a sufficiently large resist remaining film amount can be secured after the pretreatment. Therefore, in the method of the present embodiment,
By setting the resist transmittance to 10% or more, a particularly large process margin in the etching step can be secured.

【0062】ところで、実施の形態3の方法では、フォ
トレジスト1を基板上に塗布する直前に、基板をHMDS雰
囲気に曝露して密着層を形成しているが、フォトレジス
ト1と下地との密着が十分である場合には、この密着層
形成工程は省略してもよい。
In the method of the third embodiment, the substrate is exposed to an HMDS atmosphere to form an adhesion layer immediately before coating the photoresist 1 on the substrate. Is sufficient, this adhesion layer forming step may be omitted.

【0063】また、実施の形態3の方法では、レジスト
パターンの露光工程でArFエキシマレーザを用いた縮
小露光が行われるが、露光の手法はこれに限定されるも
のではなく他の方法を用いても良い。例えば、電子線露
光、KrFエキシマレーザ密着露光あるいは縮小投影露
光、F2エキシマレーザ密着露光あるいは縮小投影露
光、紫外線を光源とするステップアンドスキャン反射型
縮小投影露光、または軟X線等を用いることができる。
Further, in the method of the third embodiment, in the resist pattern exposure step, reduced exposure using an ArF excimer laser is performed. However, the exposure method is not limited to this, and another method is used. Is also good. For example, electron beam exposure, KrF excimer laser contact exposure or reduction projection exposure, F 2 excimer laser contact exposure or reduction projection exposure, ultraviolet step and scan reflective reduction projection exposure as a light source, or it is used soft X-rays it can.

【0064】また、実施の形態3の方法では、露光装置
のNAおよびσを、それぞれ0.6および0.7として
いるが、それらの値もこれに限定されるものではない。
レジスト膜厚についても下地のエッチング工程終了後に
十分な膜厚が確保されている限り任意である。また、転
写パターンはコンタクトホールに限らず、ラインパター
ンや溝パターンなどでもよい。
In the method of the third embodiment, NA and σ of the exposure apparatus are set to 0.6 and 0.7, respectively, but their values are not limited to these values.
The resist film thickness is also arbitrary as long as a sufficient film thickness is secured after the base etching process is completed. The transfer pattern is not limited to the contact hole, but may be a line pattern, a groove pattern, or the like.

【0065】また、実施の形態3では、エッチングされ
る下地膜が酸化シリコン膜2であるが、その下地膜は、
窒化シリコン膜、窒化チタン膜、タングステン膜、シリ
コン酸化窒化膜、またはポリシリコン膜等であってもよ
い。
In the third embodiment, the underlying film to be etched is the silicon oxide film 2, but the underlying film is
It may be a silicon nitride film, a titanium nitride film, a tungsten film, a silicon oxynitride film, a polysilicon film, or the like.

【0066】また、実施の形態3では、エッチングガス
としてC48/O2/Arの混合ガスが用いられている
が、エッチングガスはこれに限定されるものではなく、
CF 4、CHF3、C26、C58等のようなフッ素原子
を含む公知のエッチングガスは何れも本実施形態の方法
に使用することができる。その他、当業者にとって自明
な範囲で、種々の変更、改良、組み合わせ等が可能であ
る。
In the third embodiment, the etching gas
As CFourF8/ OTwo/ Ar mixed gas is used
However, the etching gas is not limited to this,
CF Four, CHFThree, CTwoF6, CFiveF8A fluorine atom such as
Any known etching gas containing
Can be used for Other, obvious to those skilled in the art
Various changes, improvements, combinations, etc. are possible within a reasonable range.
You.

【0067】尚、半導体装置の製造の過程で、実施の形
態3の方法を用いて、図2(c)に示すフォトレジスト
1aの形成後に、そのレジストパターン1aを介して下
地の酸化シリコン膜2、あるいは酸化シリコン膜2およ
び窒化シリコン膜3の双方をエッチングすることによれ
ば、半導体装置を構成する絶縁膜の微細パターンを形成
することができる。
In the process of manufacturing the semiconductor device, after the photoresist 1a shown in FIG. 2C is formed using the method of the third embodiment, the underlying silicon oxide film 2 is formed via the resist pattern 1a. Alternatively, by etching both the silicon oxide film 2 and the silicon nitride film 3, a fine pattern of an insulating film constituting a semiconductor device can be formed.

【0068】また、フォトレジスト1aの下地膜が導電
膜である場合は、半導体装置の製造の過程で、実施の形
態3の方法を用いて、そのレジストパターン1aを介し
て導電膜をエッチングすることで、半導体装置を構成す
る導電膜の微細パターンを形成することができる。以
後、一連の製造工程を経て半導体装置が製造されるが、
それらの工程については説明を省略する。
When the underlying film of the photoresist 1a is a conductive film, the conductive film is etched through the resist pattern 1a by using the method of the third embodiment in the process of manufacturing the semiconductor device. Thus, a fine pattern of a conductive film included in a semiconductor device can be formed. After that, the semiconductor device is manufactured through a series of manufacturing processes,
The description of those steps is omitted.

【0069】また、実施の形態3では、前処理の終了後
にフォトレジスト1aをマスクとしてエッチングを行う
ことで微細パターンを形成することとしているが、本発
明はこれに限定されるものではない。すなわち、前処理
の終了したフォトレジスト1aは、半導体基板にイオン
を注入する際のマスクとしても使用することができる。
この場合、フォトレジスト1aの表面荒れが抑制される
ため、例えばトランジスタのソースドレイン領域等のパ
ターンを精度良く形成することが可能となる。
Further, in the third embodiment, a fine pattern is formed by performing etching using the photoresist 1a as a mask after completion of the pretreatment, but the present invention is not limited to this. That is, the photoresist 1a that has been subjected to the pretreatment can be used as a mask when implanting ions into the semiconductor substrate.
In this case, since the surface roughness of the photoresist 1a is suppressed, it is possible to accurately form a pattern such as a source / drain region of a transistor, for example.

【0070】以上説明したように、実施の形態3の微細
パターンの形成方法では、下地基板のエッチング工程に
て除去されるレジスト膜厚T(nm)当たりのレジスト透過
率が、放射線7の中心波長に対して10%以上となるよ
うな設定が施されることが望ましい。すなわち、変形例
2〜4の設定が施されることが望ましい。このような設
定によれば、エッチング工程の開始時点でフォトレジス
ト1bの残膜量を十分に確保できるため、エッチングに
伴うレジスト表面の荒れdを十分に抑制しつつ、エッチ
ング工程におけるプロセスマージンを特に大きく確保す
ることが可能となる。
As described above, in the method for forming a fine pattern according to the third embodiment, the resist transmittance per resist film thickness T (nm) removed in the etching step of the base substrate is determined by the central wavelength of the radiation 7. Is desirably set so as to be 10% or more with respect to. That is, it is desirable that the settings of Modifications 2 to 4 be performed. According to such a setting, the remaining film amount of the photoresist 1b can be sufficiently secured at the start of the etching process. Therefore, the process margin in the etching process can be particularly reduced while sufficiently suppressing the roughness d of the resist surface due to the etching. It is possible to secure a large amount.

【0071】実施の形態4.以下、図2を参照して本発
明の実施の形態4について説明する。実施の形態4で
は、本発明に係る微細パターン形成方法の実施例17〜
20、およびそれらを基礎とする変形例5〜7について
説明する。
Embodiment 4 Hereinafter, Embodiment 4 of the present invention will be described with reference to FIG. In the fourth embodiment, examples 17 to 17 of the fine pattern forming method according to the present invention will be described.
20 and Modifications 5 to 7 based thereon will be described.

【0072】本発明の実施例17〜20および変形例5
〜7の微細パターンの形成方法は、実施の形態1乃至3
の場合と同様に図2(a)〜図2(e)を用いて説明す
ることができる。実施の形態4の微細パターン形成方法
では、日本ASM社製の平行平板型プラズマCVD装置を
用いて、図2(a)に示すように基板4上に55nmの膜
厚を有する窒化シリコン膜3が形成された後、その上に
800nmの膜厚を有する酸化シリコン膜2が形成され
る。次に、酸化シリコン膜2の上に回転塗布法によりフ
ォトレジスト1が形成される。
Embodiments 17 to 20 and Modification 5 of the Invention
The method for forming a fine pattern according to any one of the first to third embodiments
The description can be made with reference to FIGS. 2A to 2E as in the case of FIG. In the method for forming a fine pattern according to the fourth embodiment, a silicon nitride film 3 having a thickness of 55 nm is formed on a substrate 4 by using a parallel plate type plasma CVD device manufactured by ASM Japan as shown in FIG. After the formation, a silicon oxide film 2 having a thickness of 800 nm is formed thereon. Next, a photoresist 1 is formed on the silicon oxide film 2 by a spin coating method.

【0073】フォトレジスト1の塗布工程では、先ず、
酸化シリコン膜2が形成された後の基板が、ヘキサメチ
ルジシラザン(HMDS)雰囲気中に110℃の加熱状態で1
80秒間曝露される。次に、メタクリル酸エステルを主
成分とする信越化学工業(株)製ArFエキシマレーザ
ーリソグラフィー用レジスト(SAIL-X09)が1000nmの
厚さで塗布される。適当なベーク処理が行われることに
より図2(a)に示す状態が形成される。
In the step of applying the photoresist 1, first,
After the silicon oxide film 2 is formed, the substrate is heated in a hexamethyldisilazane (HMDS) atmosphere at 110 ° C. for 1 hour.
Exposure is for 80 seconds. Next, a resist (SAIL-X09) for ArF excimer laser lithography (manufactured by Shin-Etsu Chemical Co., Ltd.) containing methacrylic acid ester as a main component is applied to a thickness of 1000 nm. The state shown in FIG. 2A is formed by performing an appropriate baking process.

【0074】次にニコン製ArFエキシマレーザー露光
装置(NSR-S302A)によりコンタクトホールパターンの露
光が実行される(図2(b))。露光装置のNAは0.
6、σは0.75である。
Next, exposure of a contact hole pattern is performed by a Nikon ArF excimer laser exposure apparatus (NSR-S302A) (FIG. 2B). The NA of the exposure apparatus is 0.
6, σ is 0.75.

【0075】その後、ホットプレート上にて60秒間、
基板が130℃に加熱され、テトラメチルアンモニウム
ヒドロキシド(TMAH)水溶液等の有機アルカリ系水溶液を
現像液としてパドル法で現像処理が実行される。その結
果、250nm径のコンタクトホールパターンを有するフ
ォトレジスト1aが形成される(図2(c))。
Then, on a hot plate for 60 seconds,
The substrate is heated to 130 ° C., and a developing process is performed by a paddle method using an organic alkaline aqueous solution such as an aqueous solution of tetramethylammonium hydroxide (TMAH) as a developing solution. As a result, a photoresist 1a having a contact hole pattern with a diameter of 250 nm is formed (FIG. 2C).

【0076】次に、エッチングの前処理として、波長1
72nmの放射線7が基板全面に照射される(図2
(d))。本実施形態において、上記の前処理は、密閉
可能なチャンバー内にエキシマランプ照射装置を設置し
て、照射量を5(J/cm2)として行われる。上記の照射装
置には、ウシオ電機(株)製エキシマランプ装置(UVS420
0)を用いた。
Next, as a pretreatment for etching, a wavelength 1
Radiation 7 of 72 nm is applied to the entire surface of the substrate (FIG. 2).
(D)). In the present embodiment, the pretreatment is performed with an irradiation amount of 5 (J / cm 2 ) by installing an excimer lamp irradiation device in a sealable chamber. The irradiation device described above includes an excimer lamp device (UVS420 manufactured by Ushio Inc.).
0) was used.

【0077】照射装置を内包するチャンバーには窒素お
よび酸素を任意の濃度比で送り込むことができる。チャ
ンバー中に送り込む酸素の濃度を小さくし、その内部に
窒素ガスを充填すると、前処理工程中に発生するオゾン
量を少量とし、チャンバー内のオゾン濃度を小さくする
ことができる。実施例17〜20および比較例5〜7の
それぞれにおいて、前処理工程中にチャンバー内に含ま
れるオゾンの量を表4に示す。
[0077] Nitrogen and oxygen can be fed into the chamber containing the irradiation device at an arbitrary concentration ratio. By reducing the concentration of oxygen fed into the chamber and filling the inside with nitrogen gas, the amount of ozone generated during the pretreatment step can be reduced, and the ozone concentration in the chamber can be reduced. Table 4 shows the amount of ozone contained in the chamber during the pretreatment step in each of Examples 17 to 20 and Comparative Examples 5 to 7.

【0078】[0078]

【表4】 [Table 4]

【0079】大気中に含まれる酸素は、波長200nm以
下の光を受けると容易にオゾンに変化する。オゾンは、
フォトレジストを化学的にエッチングするので、その濃
度が高いと、前処理の過程でフォトレジスト1aの膜厚
が減少する。このため、表4に示すように、前処理後の
レジスト残膜量は、前処理時におけるチャンバー内のオ
ゾン濃度が高いほど小さな値となる。
Oxygen contained in the atmosphere easily changes to ozone when it receives light having a wavelength of 200 nm or less. Ozone is
Since the photoresist is chemically etched, if the concentration is high, the thickness of the photoresist 1a decreases during the pretreatment. For this reason, as shown in Table 4, the resist remaining film amount after the pre-treatment becomes smaller as the ozone concentration in the chamber during the pre-treatment becomes higher.

【0080】上記の前処理が終了すると、次に、レジス
トパターン1aをマスクとして酸化シリコン膜2がエッ
チングされる。その結果、酸化シリコン膜2にコンタク
トホールのパターンが転写される(図2(e))。本実
施形態において、上記のエッチングは、住友金属工業
(株)製表面波プラズマエッチング装置(Wave-X4000)を用
いて、また、エッチングガス種としてAr(1000sc
cm)/C48(30sccm)/O2(12sccm)/CO(6
0sccm)混合ガスを用いて行われる。この際、エッチン
グチャンバー内の圧力は40mtorrに、上部電極のプラ
ズマパワーは2900Wに、下部電極のプラズマパワー
は180Wに設定した。更に、下部電極温度は0℃に、
エッチング処理時間は2分間に、それぞれ設定した。
When the above pretreatment is completed, the silicon oxide film 2 is etched next using the resist pattern 1a as a mask. As a result, the pattern of the contact hole is transferred to the silicon oxide film 2 (FIG. 2E). In the present embodiment, the above etching is performed by Sumitomo Metal Industries, Ltd.
Using a surface wave plasma etching apparatus (Wave-X4000) manufactured by Co., Ltd., and using Ar (1000 sc
cm) / C 4 F 8 (30 sccm) / O 2 (12 sccm) / CO (6
0 sccm) using a mixed gas. At this time, the pressure in the etching chamber was set to 40 mtorr, the plasma power of the upper electrode was set to 2900 W, and the plasma power of the lower electrode was set to 180 W. Furthermore, the lower electrode temperature is 0 ° C,
The etching time was set to 2 minutes.

【0081】上記のエッチングが実行されることによ
り、フォトレジスト1aはある程度除去される。従っ
て、エッチング工程におけるプロセスマージンを十分に
確保するうえでは、その処理が開始される以前に大きな
レジスト残膜が確保されていることが望ましい。
By performing the above etching, the photoresist 1a is removed to some extent. Therefore, in order to secure a sufficient process margin in the etching step, it is desirable that a large resist remaining film be secured before the processing is started.

【0082】表4に示すように、前処理実行時における
チャンバー内のオゾン濃度が2.0×10 7(mol/l)以
下である場合は、前処理後のレジスト残膜量を十分に確
保し得ることがわかる。このため、本実施形態の方法で
は、チャンバー内のオゾン濃度が2.0×10 7(mol/
l)以下となる設定の下で前処理を実行することにより、
エッチング工程におけるプロセスマージンを、特に大き
く確保することが可能となる。
[0082] Table as shown in 4, before the case ozone concentration in the chamber during processing execution is 2.0 × 10 over 7 (mol / l) or less, sufficiently pretreatment residual resist film amount after It can be seen that it can be secured. Therefore, in the method of this embodiment, the ozone concentration in the chamber is 2.0 × 10 over 7 (mol /
l) By executing preprocessing under the following settings,
A particularly large process margin in the etching step can be secured.

【0083】ところで、実施の形態4の方法では、フォ
トレジスト1を基板上に塗布する直前に、基板をHMDS雰
囲気に曝露して密着層を形成しているが、フォトレジス
ト1と下地との密着が十分である場合には、この密着層
形成工程は省略してもよい。
In the method according to the fourth embodiment, the substrate is exposed to an HMDS atmosphere to form an adhesion layer immediately before coating the photoresist 1 on the substrate. Is sufficient, this adhesion layer forming step may be omitted.

【0084】また、実施の形態4の方法では、レジスト
パターンの露光工程でArFエキシマレーザを用いた縮
小露光が行われるが、露光の手法はこれに限定されるも
のではなく他の方法を用いても良い。例えば、電子線露
光、KrFエキシマレーザ密着露光あるいは縮小投影露
光、F2エキシマレーザ密着露光あるいは縮小投影露
光、紫外線を光源とするステップアンドスキャン反射型
縮小投影露光、または軟X線等を用いることができる。
Further, in the method of the fourth embodiment, in the resist pattern exposure step, reduced exposure using an ArF excimer laser is performed, but the exposure method is not limited to this, and other methods are used. Is also good. For example, electron beam exposure, KrF excimer laser contact exposure or reduction projection exposure, F 2 excimer laser contact exposure or reduction projection exposure, ultraviolet step and scan reflective reduction projection exposure as a light source, or it is used soft X-rays it can.

【0085】また、実施の形態4の方法では、露光装置
のNAおよびσを、それぞれ0.6および0.75とし
ているが、それらの値もこれに限定されるものではな
い。レジスト膜厚についても下地のエッチング工程終了
後に十分な膜厚が確保されている限り任意である。ま
た、転写パターンはコンタクトホールに限らず、ライン
パターンや溝パターンなどでもよい。
In the method of the fourth embodiment, the NA and σ of the exposure apparatus are set to 0.6 and 0.75, respectively, but their values are not limited to these values. The resist film thickness is also arbitrary as long as a sufficient film thickness is secured after the base etching process is completed. The transfer pattern is not limited to the contact hole, but may be a line pattern, a groove pattern, or the like.

【0086】また、実施の形態4の方法では、エッチン
グの前処理中に発生するオゾンの濃度を低減させるため
に、処理チャンバー内を窒素ガスで充填することとして
いるが、オゾン濃度を低減させる手法はこれに限定され
るものではない。例えば、処理チャンバー内を真空状態
としてオゾン濃度を低減させることとしてもよい。
In the method of the fourth embodiment, the processing chamber is filled with nitrogen gas in order to reduce the concentration of ozone generated during the pretreatment for etching. Is not limited to this. For example, the inside of the processing chamber may be evacuated to reduce the ozone concentration.

【0087】また、実施の形態4では、エッチングされ
る下地膜が酸化シリコン膜2であるが、その下地膜は、
窒化シリコン膜、窒化チタン膜、タングステン膜、シリ
コン酸化窒化膜、またはポリシリコン膜等であってもよ
い。
In the fourth embodiment, the underlying film to be etched is the silicon oxide film 2, but the underlying film is
It may be a silicon nitride film, a titanium nitride film, a tungsten film, a silicon oxynitride film, a polysilicon film, or the like.

【0088】また、実施の形態4では、エッチングガス
としてC48/O2/Arの混合ガスが用いられている
が、エッチングガスはこれに限定されるものではなく、
CF 4、CHF3、C26、C58等のようなフッ素原子
を含む公知のエッチングガスは何れも本実施形態の方法
に使用することができる。その他、当業者にとって自明
な範囲で、種々の変更、改良、組み合わせ等が可能であ
る。
In the fourth embodiment, the etching gas
As CFourF8/ OTwo/ Ar mixed gas is used
However, the etching gas is not limited to this,
CF Four, CHFThree, CTwoF6, CFiveF8A fluorine atom such as
Any known etching gas containing
Can be used for Other, obvious to those skilled in the art
Various changes, improvements, combinations, etc. are possible within a reasonable range.
You.

【0089】尚、半導体装置の製造の過程で、実施の形
態3の方法を用いて、図2(c)に示すフォトレジスト
1aの形成後に、そのレジストパターン1aを介して下
地の酸化シリコン膜2、あるいは酸化シリコン膜2およ
び窒化シリコン膜3の双方をエッチングすることによれ
ば、半導体装置を構成する絶縁膜の微細パターンを形成
することができる。
In the process of manufacturing the semiconductor device, after forming the photoresist 1a shown in FIG. 2C using the method of the third embodiment, the underlying silicon oxide film 2 is formed via the resist pattern 1a. Alternatively, by etching both the silicon oxide film 2 and the silicon nitride film 3, a fine pattern of an insulating film constituting a semiconductor device can be formed.

【0090】また、フォトレジスト1aの下地膜が導電
膜である場合は、半導体装置の製造の過程で、実施の形
態3の方法を用いて、そのレジストパターン1aを介し
て導電膜をエッチングすることで、半導体装置を構成す
る導電膜の微細パターンを形成することができる。以
後、一連の製造工程を経て半導体装置が製造されるが、
それらの工程については説明を省略する。
When the underlying film of the photoresist 1a is a conductive film, the conductive film is etched through the resist pattern 1a by using the method of the third embodiment in the process of manufacturing the semiconductor device. Thus, a fine pattern of a conductive film included in a semiconductor device can be formed. After that, the semiconductor device is manufactured through a series of manufacturing processes,
The description of those steps is omitted.

【0091】また、実施の形態4では、前処理の終了後
にフォトレジスト1aをマスクとしてエッチングを行う
ことで微細パターンを形成することとしているが、本発
明はこれに限定されるものではない。すなわち、前処理
の終了したフォトレジスト1aは、半導体基板にイオン
を注入する際のマスクとしても使用することができる。
この場合、フォトレジスト1aの表面荒れが抑制される
ため、例えばトランジスタのソースドレイン領域等のパ
ターンを精度良く形成することが可能となる。
In the fourth embodiment, the fine pattern is formed by performing etching using the photoresist 1a as a mask after the completion of the pretreatment, but the present invention is not limited to this. That is, the photoresist 1a that has been subjected to the pretreatment can be used as a mask when implanting ions into the semiconductor substrate.
In this case, since the surface roughness of the photoresist 1a is suppressed, it is possible to accurately form a pattern such as a source / drain region of a transistor, for example.

【0092】以上説明したように、実施の形態4の微細
パターンの形成方法では、エッチングの前処理工程にお
いてシリコン基板4の周囲の雰囲気中に含まれるオゾン
濃度が2×10-7(mol/l)以下となるような設定が施さ
れることが望ましい。すなわち、変形例5〜7の設定が
施されることが望ましい。このような設定によれば、エ
ッチング工程の開始時点でフォトレジスト1bの残膜量
を十分に確保できるため、エッチングに伴うレジスト表
面の荒れdを十分に抑制しつつ、エッチング工程におけ
るプロセスマージンを特に大きく確保することが可能と
なる。
As described above, in the method for forming a fine pattern according to the fourth embodiment, the concentration of ozone contained in the atmosphere around the silicon substrate 4 in the pretreatment step of etching is 2 × 10 −7 (mol / l). It is desirable that the following settings be made. That is, it is desirable that the settings of Modifications 5 to 7 be performed. According to such a setting, the remaining film amount of the photoresist 1b can be sufficiently secured at the start of the etching process. It is possible to secure a large value.

【0093】[0093]

【発明の効果】本発明は以上説明したように構成されて
いるので、以下に示すような効果を奏する。請求項1記
載の発明によれば、パターニング後のフォトレジストに
200nm以下の波長を含む光を照射することで、そのフ
ォトレジストのエッチング耐性を高めることができる。
このため、本発明によれば、微細パターンの形成時にレ
ジストパターンの表面に大きな荒れが生ずるのを防止し
て、微細パターンを高精度に形成することができる。
Since the present invention is configured as described above, it has the following effects. According to the first aspect of the present invention, by irradiating the patterned photoresist with light having a wavelength of 200 nm or less, the etching resistance of the photoresist can be increased.
Therefore, according to the present invention, it is possible to form a fine pattern with high precision while preventing the surface of the resist pattern from being roughened during the formation of the fine pattern.

【0094】請求項2記載の発明によれば、前処理の段
階で、フォトレジストに、十分な強度を有する光を照射
することができる。このため、本発明によれば、フォト
レジストのエッチング特性を十分に高めることができ
る。
According to the second aspect of the present invention, it is possible to irradiate the photoresist with light having a sufficient intensity in the pretreatment stage. Therefore, according to the present invention, the etching characteristics of the photoresist can be sufficiently improved.

【0095】請求項3記載の発明によれば、フォトレジ
ストが十分な透過率を示すため、過剰な光エネルギーを
用いることなくフォトレジストの全体に、優れたエッチ
ング耐性を付与することができる。この場合、前処理の
実行に伴ってフォトレジストの膜厚が大きく減少しない
ため、微細パターン形成工程におけるプロセスマージン
を大きく確保することができる。
According to the third aspect of the present invention, since the photoresist has a sufficient transmittance, excellent etching resistance can be imparted to the entire photoresist without using excessive light energy. In this case, since the thickness of the photoresist does not decrease significantly with the execution of the pre-processing, a large process margin in the fine pattern forming step can be secured.

【0096】請求項4記載の発明によれば、オゾン濃度
を十分に小さく抑制した状態で前処理が実行されるた
め、前処理の実行中にフォトレジストがオゾンによりエ
ッチングされるのを有効に防止することができる。この
場合、前処理の実行に伴ってフォトレジストの膜厚が大
きく減少しないため、微細パターン形成工程におけるプ
ロセスマージンを大きく確保することができる。
According to the fourth aspect of the present invention, since the pre-processing is performed in a state where the ozone concentration is sufficiently suppressed, the photoresist is effectively prevented from being etched by ozone during the execution of the pre-processing. can do. In this case, since the thickness of the photoresist does not decrease significantly with the execution of the pre-processing, a large process margin in the fine pattern forming step can be secured.

【0097】請求項5記載の発明によれば、エッチング
耐性の優れたレジストパターンをマスクとしてエッチン
グを行うことにより、下地基板に優れた精度で微細パタ
ーンを形成することができる。
According to the fifth aspect of the present invention, a fine pattern can be formed on a base substrate with excellent accuracy by performing etching using a resist pattern having excellent etching resistance as a mask.

【0098】請求項6記載の発明によれば、エッチング
耐性の優れたレジストパターンをマスクとしてイオン注
入を行うことにより、下地基板に優れた精度で微細パタ
ーンを形成することができる。
According to the sixth aspect of the present invention, by performing ion implantation using a resist pattern having excellent etching resistance as a mask, a fine pattern can be formed on the underlying substrate with excellent accuracy.

【0099】請求項7記載の発明によれば、精度良く形
成された微細パターンを構成要素として備える半導体装
置を容易に製造することができる。
According to the seventh aspect of the present invention, it is possible to easily manufacture a semiconductor device having a fine pattern formed with high precision as a component.

【図面の簡単な説明】[Brief description of the drawings]

【図1】 シリコン基板上に形成されたフォトレジスト
を従来の方法でエッチングした場合に実現される状態を
表すSEM像である。
FIG. 1 is an SEM image showing a state realized when a photoresist formed on a silicon substrate is etched by a conventional method.

【図2】 本発明の実施の形態1乃至4の微細パターン
の形成方法において実行される一連の処理を説明するた
めの図である。
FIG. 2 is a diagram for explaining a series of processes performed in the method for forming a fine pattern according to the first to fourth embodiments of the present invention.

【図3】 本発明の実施の形態1乃至4の微細パターン
の形成方法で処理されたフォトレジストの状態(図3
(b))と、比較例の方法で処理されたフォトレジスト
の状態(図3(a))とを比較して表した図である。
FIG. 3 shows the state of the photoresist processed by the method for forming a fine pattern according to the first to fourth embodiments of the present invention (FIG. 3).
FIG. 4B is a diagram comparing the state of the photoresist treated by the method of the comparative example (FIG. 3A).

【符号の説明】[Explanation of symbols]

1、1a、1b フォトレジスト 2、2′ 酸化シリコン膜 3 窒化シリコン膜 4 シリコン基板 5 マスク 6 露光光 7 放射線 DESCRIPTION OF SYMBOLS 1, 1a, 1b Photoresist 2, 2 'Silicon oxide film 3 Silicon nitride film 4 Silicon substrate 5 Mask 6 Exposure light 7 Radiation

───────────────────────────────────────────────────── フロントページの続き (72)発明者 松木 俊行 神奈川県横浜市青葉区元石川町6409番地 ウシオ電機株式会社内 Fターム(参考) 5F004 AA16 BA04 DA00 DA02 DA16 DA23 DA26 DB03 EA19 EA40 5F046 LA18 LA19  ────────────────────────────────────────────────── ─── Continued on the front page (72) Inventor Toshiyuki Matsuki 6409 Motoishikawacho, Aoba-ku, Yokohama-shi, Kanagawa Fushio Electric Co., Ltd. F-term (reference) 5F004 AA16 BA04 DA00 DA02 DA16 DA23 DA26 DB03 EA19 EA40 5F046 LA18 LA19

Claims (7)

【特許請求の範囲】[Claims] 【請求項1】 所望パターンにパターニングされたフォ
トレジストをマスクとして微細パターンを形成する方法
であって、 下地基板上にフォトレジストの膜を形成する工程と、 前記フォトレジストの膜の所定部分を波長200nm以下
の光に露光させる工程と、 露光後の前記フォトレジストを現像して、そのフォトレ
ジストを前記所望パターンにパターニングする工程と、 パターニングされた前記フォトレジストの全面に、波長
200nm以下の光を照射する前処理を施す工程と、 前記前処理の後に、パターニングされた前記フォトレジ
ストをマスクとして、前記フォトレジストの下地層に微
細パターンを形成する工程と、 を含むことを特徴とする微細パターンの形成方法。
1. A method for forming a fine pattern using a photoresist patterned into a desired pattern as a mask, comprising: forming a photoresist film on a base substrate; Exposing the photoresist to light having a wavelength of 200 nm or less, developing the photoresist after exposure, and patterning the photoresist into the desired pattern; and applying light having a wavelength of 200 nm or less to the entire surface of the patterned photoresist. Irradiating a pre-process; and, after the pre-process, using the patterned photoresist as a mask, forming a fine pattern in an underlayer of the photoresist. Forming method.
【請求項2】 前記前処理は、前記フォトレジストに対
して、波長200nm以下の光を3(J/cm2)以上の照射強
度で照射する工程を含むことを特徴とする請求項1に記
載の微細パターンの形成方法。
2. The method according to claim 1, wherein the pretreatment includes irradiating the photoresist with light having a wavelength of 200 nm or less at an irradiation intensity of 3 (J / cm 2 ) or more. Method of forming a fine pattern.
【請求項3】 前記微細パターンの形成工程にて除去さ
れる前記フォトレジスト膜厚をT(nm)としたとき、前記
前処理で使用される光に対して、前記フォトレジスト
が、膜厚T(nm)当たり10%以上の透過率を示すことを
特徴とする請求項1または2に記載の微細パターンの形
成方法。
3. When the thickness of the photoresist removed in the step of forming the fine pattern is T (nm), the photoresist has a thickness T with respect to light used in the pretreatment. 3. The method for forming a fine pattern according to claim 1, wherein the method exhibits a transmittance of 10% or more per (nm).
【請求項4】 前記前処理は、前記フォトレジストの周
囲の雰囲気に含まれるオゾン濃度が2×10-7(mol/l)
以下の状態で行われることを特徴とする請求項1乃至3
の何れか1項に記載の微細パターンの形成方法。
4. The method according to claim 1, wherein the concentration of ozone contained in the atmosphere around the photoresist is 2 × 10 −7 (mol / l).
The method is performed in the following state, characterized in that:
The method for forming a fine pattern according to any one of the above items.
【請求項5】 前記微細パターンを形成する工程は、前
記パターニングされた前記フォトレジストをマスクとし
て、フッ素原子を含むガスを用いて、前記フォトレジス
トの下地層をエッチングする工程を含むことを特徴とす
る請求項1乃至4の何れか1項に記載の微細パターンの
形成方法。
5. The method according to claim 1, wherein the step of forming the fine pattern includes a step of etching the underlayer of the photoresist using a gas containing fluorine atoms using the patterned photoresist as a mask. The method for forming a fine pattern according to claim 1.
【請求項6】 前記微細パターンを形成する工程は、前
記パターニングされた前記フォトレジストをマスクとし
て、前記フォトレジストの下地層にイオンを注入する工
程を含むことを特徴とする請求項1乃至4の何れか1項
に記載の微細パターンの形成方法。
6. The method according to claim 1, wherein the step of forming the fine pattern includes a step of implanting ions into an underlayer of the photoresist using the patterned photoresist as a mask. The method for forming a fine pattern according to claim 1.
【請求項7】 請求項1乃至4の何れか1項に記載の方
法を用いて、前記下地層に、半導体装置の構成要素とな
る微細パターンを形成する工程を含むことを特徴とする
半導体装置の製造方法。
7. A semiconductor device, comprising a step of forming a fine pattern as a component of a semiconductor device on the underlayer using the method according to claim 1. Manufacturing method.
JP30167399A 1999-10-22 1999-10-22 Method for forming fine pattern and method for manufacturing semiconductor device Expired - Fee Related JP3342856B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP30167399A JP3342856B2 (en) 1999-10-22 1999-10-22 Method for forming fine pattern and method for manufacturing semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP30167399A JP3342856B2 (en) 1999-10-22 1999-10-22 Method for forming fine pattern and method for manufacturing semiconductor device

Publications (2)

Publication Number Publication Date
JP2001127037A true JP2001127037A (en) 2001-05-11
JP3342856B2 JP3342856B2 (en) 2002-11-11

Family

ID=17899762

Family Applications (1)

Application Number Title Priority Date Filing Date
JP30167399A Expired - Fee Related JP3342856B2 (en) 1999-10-22 1999-10-22 Method for forming fine pattern and method for manufacturing semiconductor device

Country Status (1)

Country Link
JP (1) JP3342856B2 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004109779A1 (en) * 2003-06-06 2004-12-16 Tokyo Electron Limited Method for improving surface roughness of processed film of substrate and apparatus for processing substrate
CN109285763A (en) * 2017-07-19 2019-01-29 东京毅力科创株式会社 Substrate board treatment, substrate processing method using same and storage medium
KR20190133112A (en) 2018-05-22 2019-12-02 도쿄엘렉트론가부시키가이샤 Substrate processing apparatus
KR20200014202A (en) 2018-07-31 2020-02-10 도쿄엘렉트론가부시키가이샤 Substrate processing apparatus, substrate processing method, and storage medium
KR20210001973A (en) 2019-06-27 2021-01-06 도쿄엘렉트론가부시키가이샤 Light irradiating device, light irradiating method and recording medium

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200097777A (en) 2017-12-26 2020-08-19 도쿄엘렉트론가부시키가이샤 Light irradiation device
JP7356847B2 (en) 2019-09-03 2023-10-05 東京エレクトロン株式会社 Substrate processing equipment, substrate processing method, and storage medium
JP7336318B2 (en) 2019-09-03 2023-08-31 東京エレクトロン株式会社 SUBSTRATE PROCESSING APPARATUS, SUBSTRATE PROCESSING METHOD, AND STORAGE MEDIUM

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN100424822C (en) * 2003-06-06 2008-10-08 东京毅力科创株式会社 Method for improving surface roughness of processed film of substrate and apparatus for processing substrate
US7875420B2 (en) 2003-06-06 2011-01-25 Tokyo Electron Limited Method for improving surface roughness of processed film of substrate and apparatus for processing substrate
US8646403B2 (en) 2003-06-06 2014-02-11 Tokyo Electron Limited Method for improving surface roughness of processed film of substrate and apparatus for processing substrate
WO2004109779A1 (en) * 2003-06-06 2004-12-16 Tokyo Electron Limited Method for improving surface roughness of processed film of substrate and apparatus for processing substrate
US10606177B2 (en) 2017-07-19 2020-03-31 Tokyo Electron Limited Substrate processing apparatus, substrate processing method, and storage medium
CN109285763A (en) * 2017-07-19 2019-01-29 东京毅力科创株式会社 Substrate board treatment, substrate processing method using same and storage medium
KR20190009703A (en) 2017-07-19 2019-01-29 도쿄엘렉트론가부시키가이샤 Substrate processing apparatus, substrate processing method, and storage medium
CN109285763B (en) * 2017-07-19 2023-10-20 东京毅力科创株式会社 Substrate processing apparatus, substrate processing method, and storage medium
KR20190133112A (en) 2018-05-22 2019-12-02 도쿄엘렉트론가부시키가이샤 Substrate processing apparatus
US11353792B2 (en) 2018-05-22 2022-06-07 Tokyo Electron Limited Substrate processing apparatus
US10795265B2 (en) 2018-07-31 2020-10-06 Tokyo Electron Limited Substrate processing apparatus, substrate processing method, and storage medium
KR20200014202A (en) 2018-07-31 2020-02-10 도쿄엘렉트론가부시키가이샤 Substrate processing apparatus, substrate processing method, and storage medium
KR20210001973A (en) 2019-06-27 2021-01-06 도쿄엘렉트론가부시키가이샤 Light irradiating device, light irradiating method and recording medium
US11256172B2 (en) 2019-06-27 2022-02-22 Tokyo Electron Limited Light irradiating device, light irradiating method and recording medium

Also Published As

Publication number Publication date
JP3342856B2 (en) 2002-11-11

Similar Documents

Publication Publication Date Title
US7527918B2 (en) Pattern forming method and method for manufacturing a semiconductor device
US8158335B2 (en) High etch resistant material for double patterning
US8153350B2 (en) Method and material for forming high etch resistant double exposure patterns
JP4921898B2 (en) Manufacturing method of semiconductor device
TWI305013B (en) Method for forming an anti-etching shielding layer of resist patterns in semiconductor fabrication
KR100569536B1 (en) Pattern Collapse inhibiting method using RELACS material
JP2003051495A (en) Forming method for contact hole of semiconductor element
US20020160320A1 (en) Process for forming sub-lithographic photoresist features by modification of the photoresist surface
JPH06318541A (en) Forming method for pattern
JPH065560A (en) Manufacture of semiconductor device
US7662542B2 (en) Pattern forming method and semiconductor device manufacturing method
US6610616B2 (en) Method for forming micro-pattern of semiconductor device
JP3342856B2 (en) Method for forming fine pattern and method for manufacturing semiconductor device
KR20030089063A (en) Forming method of photoresist pattern
KR100287130B1 (en) Photoresist film and method for forming pattern therefor
TWI304226B (en) Method for manufacturing semiconductor device
JP2003209046A (en) Resist pattern forming method and semiconductor device manufacturing method
JP3293803B2 (en) Method of forming fine pattern and method of manufacturing semiconductor device
JP2018072543A (en) Photomask blank, photomask and manufacturing method of photomask
KR0160921B1 (en) Method for forming a resist pattern
JPH03142918A (en) Formation of resist pattern
JPH03174724A (en) Method of forming pattern
JP3444692B2 (en) Pattern formation method
US7348130B2 (en) Electron exposure to reduce line edge roughness
KR19990072893A (en) Process for forming photoresist patterns

Legal Events

Date Code Title Description
R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R360 Written notification for declining of transfer of rights

Free format text: JAPANESE INTERMEDIATE CODE: R360

R371 Transfer withdrawn

Free format text: JAPANESE INTERMEDIATE CODE: R371

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313117

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (prs date is renewal date of database)

Free format text: PAYMENT UNTIL: 20070823

Year of fee payment: 5

FPAY Renewal fee payment (prs date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080823

Year of fee payment: 6

FPAY Renewal fee payment (prs date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080823

Year of fee payment: 6

FPAY Renewal fee payment (prs date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090823

Year of fee payment: 7

LAPS Cancellation because of no payment of annual fees