JP2001117960A - Logic simulation method - Google Patents

Logic simulation method

Info

Publication number
JP2001117960A
JP2001117960A JP29805699A JP29805699A JP2001117960A JP 2001117960 A JP2001117960 A JP 2001117960A JP 29805699 A JP29805699 A JP 29805699A JP 29805699 A JP29805699 A JP 29805699A JP 2001117960 A JP2001117960 A JP 2001117960A
Authority
JP
Japan
Prior art keywords
wiring
cell
delay time
layout
graphic
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP29805699A
Other languages
Japanese (ja)
Inventor
Ryuichi Yamaguchi
龍一 山口
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Holdings Corp
Original Assignee
Matsushita Electric Industrial Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Matsushita Electric Industrial Co Ltd filed Critical Matsushita Electric Industrial Co Ltd
Priority to JP29805699A priority Critical patent/JP2001117960A/en
Publication of JP2001117960A publication Critical patent/JP2001117960A/en
Pending legal-status Critical Current

Links

Landscapes

  • Semiconductor Integrated Circuits (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

PROBLEM TO BE SOLVED: To accurately reflect delay caused by the parasitic capacity of the same wiring layer on the logic simulation of an integrated circuit. SOLUTION: When another cell and wiring are not located adjacent to each of cells to be used for logic design, a signal delay time is measured as a first delay time (S10). Besides, the additional layout graphic of the same wiring layer is located adjacent to each of cells (S11) and a signal delay time in this case is measured as second delay time (S12). The first and second delay times are registered in a delay library 12 (S13). Corresponding to the presence/absence of the adjacent layout graphic (S14), any one of first and second delay times is selected as the delay time of each cell provided in the integrated circuit (S15) and logic simulation is performed (S16).

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、例えばASIC
(Application Specific IC )等の集積回路のタイミン
グ検証に用いられる論理シミュレーション方法に関す
る。
The present invention relates to an ASIC, for example.
The present invention relates to a logic simulation method used for timing verification of an integrated circuit such as (Application Specific IC).

【0002】[0002]

【従来の技術】近年、半導体製造において、素子加工に
おける微細化技術が進展している。この微細化の進展に
伴い、論理セルのトランジスタ素子自体の遅延時間は減
少するが、配線の微細化によって隣接配線間の容量は増
加するために、論理セルの出力負荷容量は必ずしも減少
しない。このため、回路全体の遅延時間の中で、配線に
依存した遅延時間が占める割合が、微細化により相対的
に増加することになる。そこで、集積回路について正確
な遅延解析やタイミング検証を実行するためには、隣接
配線を考慮した配線容量の正確な見積もりが必要にな
る。
2. Description of the Related Art In recent years, in semiconductor manufacturing, miniaturization techniques in element processing have been advanced. As the miniaturization progresses, the delay time of the transistor element itself of the logic cell decreases, but the output load capacitance of the logic cell does not necessarily decrease because the capacitance between adjacent wirings increases due to the miniaturization of the wiring. For this reason, the proportion of the delay time depending on the wiring in the delay time of the entire circuit relatively increases due to miniaturization. Therefore, in order to perform accurate delay analysis and timing verification on an integrated circuit, it is necessary to accurately estimate a wiring capacitance in consideration of adjacent wiring.

【0003】従来の遅延解析方法の例としては、例え
ば、特開平9−293787号公報に記載されたものが
ある。この従来例では、セル上通過配線とセル内配線と
の間の寄生容量による遅延情報を含むライブラリを用い
て、複数のセルからなるLSIのレイアウトを解析し、
遅延時間を計算する。ライブラリにはセル上通過配線の
グリッド使用率と遅延値との相関関係を記述し、LSI
のレイアウト情報から各配線領域のおけるグリッド使用
率を求め、このグリッド使用率を基にライブラリを参照
することによって、セル上通過配線とセル内配線との間
の寄生容量を考慮した遅延を求める。
An example of a conventional delay analysis method is described in, for example, Japanese Patent Application Laid-Open No. 9-293787. In this conventional example, the layout of an LSI composed of a plurality of cells is analyzed using a library including delay information due to parasitic capacitance between a pass-on-cell line and an intra-cell line,
Calculate the delay time. The library describes the correlation between the grid utilization rate and the delay value of the pass-on-cell wiring, and
The grid usage rate in each wiring area is obtained from the layout information of the above, and the library is referred to based on the grid usage rate to obtain a delay in consideration of the parasitic capacitance between the on-cell wiring and the intra-cell wiring.

【0004】[0004]

【発明が解決しようとする課題】ところが、従来には、
次のような問題があった。
However, conventionally,
There were the following problems.

【0005】図11は配線容量とデザインルールとの関
係を示すグラフである。微細化加工技術の進展により、
集積回路において、配線幅が益々細くなり、配線間隔も
またより一層狭くなっている。このため、図11に示す
ように、近年のデザインルール(1μm以下)では、同
一の配線層間の容量の方が、対地容量である異なる配線
層間の容量よりも大きくなっている(「VLSIシステ
ム設計−回路と実装の基礎−」148ページ、丸善株式
会社、平成7年3月)。ところが前述した従来例に係る
遅延解析では、セル上通過配線に起因する配線容量につ
いては考慮されているものの、同一配線層間の寄生容量
については何ら考慮されていない。
FIG. 11 is a graph showing the relationship between the wiring capacitance and the design rule. With the development of microfabrication technology,
In integrated circuits, wiring widths are becoming increasingly narrower and wiring spacings are becoming even smaller. For this reason, as shown in FIG. 11, according to a recent design rule (1 μm or less), the capacitance between the same wiring layers is larger than the capacitance between different wiring layers which is the ground capacitance (“VLSI system design”). -Fundamentals of Circuits and Mounting-"p. 148, Maruzen Co., Ltd., March 1995). However, in the above-described delay analysis according to the conventional example, although the wiring capacitance caused by the on-cell wiring is considered, the parasitic capacitance between the same wiring layers is not considered at all.

【0006】また、LSIの大規模化に伴い、LSI全
体の機能を複数の機能ブロックに分け、各機能ブロック
毎に論理設計やレイアウト設計を行うといった階層設計
がLSI設計の主流になってきている。このような階層
設計では、機能ブロックの配置を行うフロアプランの段
階において機能ブロック間の配線はまだ施されていない
ので、ブロック間配線を行った後に、機能ブロック間配
線と機能ブロック内配線との間の寄生容量に起因して遅
延時間が変化してしまう可能性がある。このため、LS
I全体のタイミング検証において、予期しないブロック
内部の動作不良が生じ、設計の手戻りが必要になる場合
がある。
[0006] Further, with the increase in the scale of the LSI, a hierarchical design in which the function of the entire LSI is divided into a plurality of functional blocks and a logical design and a layout design are performed for each functional block has become mainstream in the LSI design. . In such a hierarchical design, since wiring between functional blocks has not been performed yet at the stage of the floor plan for arranging the functional blocks, wiring between the functional blocks and wiring within the functional blocks are performed after the wiring between the blocks is performed. There is a possibility that the delay time changes due to the parasitic capacitance between them. For this reason, LS
In the timing verification of the entire I, unexpected operation failure inside the block may occur, and design rework may be required.

【0007】前記の問題に鑑み、本発明は、論理シミュ
レーション方法として、同一配線層の寄生容量に起因す
る遅延を精度良く反映させることを課題とする。
In view of the above problems, it is an object of the present invention to accurately reflect a delay caused by a parasitic capacitance of the same wiring layer as a logic simulation method.

【0008】また、本発明は、論理シミュレーション方
法として、階層設計に対応して、機能ブロック内配線と
機能ブロック間配線との間の寄生容量を、精度良く反映
させることを課題とする。
It is another object of the present invention to accurately reflect a parasitic capacitance between a wiring in a functional block and a wiring between functional blocks in correspondence with a hierarchical design as a logic simulation method.

【0009】[0009]

【課題を解決するための手段】前記の課題を解決するた
めに、請求項1の発明が講じた解決手段は、論理シミュ
レーション方法として、論理設計に用いる各セルについ
て他のセルおよび配線が隣接しない場合における入出力
信号間の遅延時間を第1の遅延時間として測定する第1
の測定ステップと、前記各セルのレイアウト図形にこの
セルと同一配線層の追加レイアウト図形を隣接させて配
置する図形追加ステップと、前記各セルについて前記追
加レイアウト図形が隣接配置された場合における入出力
信号間の遅延時間を第2の遅延時間として測定する第2
の測定ステップと、前記第1および第2の遅延時間を前
記各セルの遅延時間として遅延ライブラリに登録する登
録ステップと、集積回路が含む各セルの遅延時間とし
て、当該セルに隣接するレイアウト図形の有無に応じ
て、前記第1の遅延時間または前記第2の遅延時間のい
ずれかを選択する遅延選択ステップとを備え、選択した
遅延時間を用いて前記集積回路の論理シミュレーション
を行うものである。
According to a first aspect of the present invention, there is provided a logic simulation method in which, for each cell used for logic design, other cells and wiring are not adjacent to each other. Measuring the delay time between input and output signals in the case as a first delay time
Measuring step, a figure adding step of arranging an additional layout figure of the same wiring layer as that of the cell adjacent to the layout figure of each cell, and input / output when the additional layout figure is arranged adjacent to each cell. A second method for measuring a delay time between signals as a second delay time
Measuring step, registering the first and second delay times as delay times of the respective cells in a delay library, and determining the delay time of each cell included in the integrated circuit as a delay time of a layout pattern adjacent to the cell. A delay selecting step of selecting either the first delay time or the second delay time according to presence or absence, and performing a logic simulation of the integrated circuit using the selected delay time.

【0010】請求項1の発明によると、他のセルおよび
配線が隣接しない場合における第1の遅延時間と、同一
配線層の追加レイアウト図形が隣接配置された場合にお
ける第2の遅延時間とが遅延ライブラリに登録される。
そして、集積回路が含む各セルの遅延時間として、当該
セルに隣接するレイアウト図形の有無に応じて、第1の
遅延時間または第2の遅延時間のいずれかが選択され
る。したがって、同一配線層の寄生容量に起因する遅延
が、論理シミュレーションに精度良く反映される。
According to the first aspect of the present invention, the first delay time when the other cell and the wiring are not adjacent to each other and the second delay time when the additional layout graphic of the same wiring layer is adjacently arranged are delayed. Registered in the library.
Then, as the delay time of each cell included in the integrated circuit, one of the first delay time and the second delay time is selected according to the presence or absence of a layout graphic adjacent to the cell. Therefore, the delay due to the parasitic capacitance of the same wiring layer is accurately reflected in the logic simulation.

【0011】そして、請求項2の発明では、前記請求項
1の論理シミュレーション方法における追加レイアウト
図形は、セルの内部レイアウトを表す図形とする。
According to a second aspect of the present invention, the additional layout figure in the logic simulation method of the first aspect is a figure representing the internal layout of the cell.

【0012】さらに、請求項3の発明では、前記請求項
2の論理シミュレーション方法における図形追加ステッ
プは、使用頻度の高いセルを優先的に選択し、選択した
セルの内部レイアウトを表す図形を前記追加レイアウト
図形として用いるものとする。
In the third aspect of the present invention, the graphic adding step in the logic simulation method according to the second aspect includes the step of preferentially selecting a frequently used cell and adding the graphic representing the internal layout of the selected cell. It shall be used as a layout figure.

【0013】また、請求項4の発明では、前記請求項2
の論理シミュレーション方法は、論理設計に用いる各セ
ルをその内部の配線混雑度に応じて分類するステップを
備え、かつ、前記図形追加ステップは、分類された各グ
ループを代表するセルのレイアウト図形をそれぞれ前記
追加レイアウト図形として用いるものとする。
[0013] According to the invention of claim 4, in the invention of claim 2,
The logic simulation method further comprises the step of classifying each cell used in the logic design according to the degree of wiring congestion inside the cell, and the figure adding step includes the steps of: It shall be used as the additional layout figure.

【0014】また、請求項5の発明では、前記請求項1
の論理シミュレーション方法において、前記追加レイア
ウト図形は配線を表す図形とし、前記第2の測定ステッ
プは、当該セルと前記配線を表す図形との間の距離を変
えて前記第2の遅延時間をそれぞれ測定し、この距離と
前記第2の遅延時間との対応関係を求めるものとし、前
記遅延選択ステップは、当該セルに隣接するレイアウト
図形が存在するとき、前記第2の測定ステップにおいて
求めた対応関係を基に当該セルと前記隣接するレイアウ
ト図形との間の距離に応じて得た前記第2の遅延時間を
当該セルの遅延時間として決定するものとする。
[0014] In the invention of claim 5, according to claim 1,
In the logic simulation method, the additional layout graphic is a graphic representing a wiring, and the second measuring step measures the second delay time by changing a distance between the cell and the graphic representing the wiring. Then, the correspondence between the distance and the second delay time is determined. In the delay selecting step, when a layout graphic adjacent to the cell exists, the correspondence determined in the second measurement step is determined. The second delay time obtained based on the distance between the cell and the adjacent layout figure is determined as the delay time of the cell.

【0015】また、請求項6の発明では、論理設計され
た集積回路について論理シミュレーションを行う方法と
して、前記集積回路に含まれる機能ブロックの形状およ
び配置と機能ブロック間の概略配線経路とをフロアプラ
ンによって決定するステップと、配置した各機能ブロッ
クの内部のレイアウトを設計するステップと、機能ブロ
ック間の配線領域に仮想配線を追加するステップと、追
加した仮想配線を加味して各機能ブロックの内部につい
て遅延解析を行うステップとを備えているものである。
According to a sixth aspect of the present invention, as a method of performing a logic simulation on a logic-designed integrated circuit, the shape and arrangement of the functional blocks included in the integrated circuit and the general wiring path between the functional blocks are floorplanned. Determining, the step of designing the internal layout of each arranged functional block, the step of adding virtual wiring to the wiring area between the functional blocks, and the inside of each functional block taking into account the added virtual wiring. Performing a delay analysis.

【0016】請求項6の発明によると、フロアプランの
結果における機能ブロック間の配線領域に仮想配線が追
加され、各機能ブロック内部の遅延解析において、追加
した仮想配線が加味される。したがって、機能ブロック
設計の段階で、機能ブロック内配線と機能ブロック間配
線との間の寄生容量を考慮することが可能になる。
According to the invention of claim 6, the virtual wiring is added to the wiring area between the functional blocks as a result of the floor plan, and the added virtual wiring is taken into account in the delay analysis inside each functional block. Therefore, at the stage of designing the functional block, it is possible to consider the parasitic capacitance between the wiring in the functional block and the wiring between the functional blocks.

【0017】そして、請求項7の発明では、前記請求項
6の論理シミュレーション方法は、フロアプランによる
概略配線経路の決定結果から前記集積回路の配線領域に
おける配線混雑度を求めるステップを備え、かつ、前記
仮想配線追加ステップは、配線混雑度に応じて各配線領
域における仮想配線の追加の有無を決定するものとす
る。
According to a seventh aspect of the present invention, the logic simulation method according to the sixth aspect includes a step of obtaining a wiring congestion degree in a wiring region of the integrated circuit from a result of determining a general wiring path by a floor plan; In the virtual wiring addition step, it is determined whether or not a virtual wiring is added in each wiring area according to the wiring congestion degree.

【0018】さらに、請求項8の発明では、前記請求項
7の論理シミュレーション方法における仮想配線追加ス
テップは、配線混雑度が最も高い配線領域に仮想配線を
追加するものとする。
Further, in the invention according to claim 8, the virtual wiring adding step in the logic simulation method according to claim 7 adds a virtual wiring to a wiring area having the highest wiring congestion degree.

【0019】[0019]

【発明の実施の形態】以下、本発明の実施形態につい
て、図面を参照しながら説明する。
Embodiments of the present invention will be described below with reference to the drawings.

【0020】(第1の実施形態)図1は本発明の第1の
実施形態に係る論理シミュレーション方法における処理
の流れを示すフローチャートである。
(First Embodiment) FIG. 1 is a flowchart showing a flow of processing in a logic simulation method according to a first embodiment of the present invention.

【0021】まず、第1の測定ステップとしてのステッ
プS10において、論理設計に用いる各セルについて、
そのセルに隣接する他のセルや配線が存在しない場合に
おける入出力信号の伝播遅延時間を第1の遅延時間とし
て測定する。セル図形データ10には各セルのレイアウ
ト図形が格納されており、各レイアウト図形ではセル内
部のトランジスタや配線のレイアウト図形が表現されて
いる。
First, in step S10 as a first measurement step, for each cell used for logic design,
The propagation delay time of the input / output signal when there is no other cell or wiring adjacent to the cell is measured as the first delay time. The cell graphic data 10 stores the layout graphic of each cell, and each layout graphic expresses a layout graphic of transistors and wirings inside the cell.

【0022】図2はセルのレイアウト図形の一例を示す
図である。図2において、セル21は、トランジスタの
ゲート図形22およびトランジスタのソース領域を接続
する配線図形23を含んでいる。ステップS10では、
図2に示すようなセルのレイアウト図形から回路の配線
抵抗および配線容量を抽出し、回路シミュレーションを
実行することによって、第1の遅延時間を測定する。ス
テップS10において抽出される配線容量は、ゲート図
形22と配線図形23との間の容量のようなセル内部の
図形間容量に限定される。
FIG. 2 is a diagram showing an example of a layout graphic of a cell. In FIG. 2, a cell 21 includes a gate pattern 22 of a transistor and a wiring pattern 23 connecting a source region of the transistor. In step S10,
The first delay time is measured by extracting the wiring resistance and the wiring capacitance of the circuit from the cell layout graphic as shown in FIG. 2 and executing a circuit simulation. The wiring capacitance extracted in step S10 is limited to the inter-graphic capacitance inside the cell, such as the capacitance between the gate graphic 22 and the wiring graphic 23.

【0023】そして、図形追加ステップとしてのステッ
プS11において、ステップS10において第1の遅延
時間を測定したセルに隣接させて、このセルと同一配線
層の他のセルの内部レイアウトを表す図形を追加レイア
ウト図形として配置する。追加図形データ11には追加
配置するためのセルのレイアウト図形が格納されてい
る。
In step S11 as a figure adding step, a figure representing the internal layout of another cell in the same wiring layer as this cell is added adjacent to the cell whose first delay time was measured in step S10. Place as a figure. The additional graphic data 11 stores a layout graphic of a cell to be additionally arranged.

【0024】図3は図2のセル21に隣接して他のセル
のレイアウト図形31A,31Bを配置した結果のレイ
アウト図形を示す図である。図3において、32は他の
セルのレイアウト図形31Aにおけるトランジスタのゲ
ートのレイアウト図形、33は他のセルのレイアウト図
形31Aにおけるトランジスタのソース領域を接続する
配線図形である。
FIG. 3 is a diagram showing a layout figure as a result of arranging layout figures 31A and 31B of other cells adjacent to the cell 21 of FIG. In FIG. 3, reference numeral 32 denotes a layout figure of a gate of a transistor in a layout figure 31A of another cell, and reference numeral 33 denotes a wiring figure for connecting a source region of a transistor in a layout figure 31A of another cell.

【0025】そして、第2の測定ステップとしてのステ
ップS12において、ステップS11において他のセル
のレイアウト図形を隣接配置させたセルについて、レイ
アウト図形が隣接する場合における入出力信号の伝搬遅
延時間を第2の遅延時間として測定する。すなわち、図
3に示すようなレイアウト図形からセル21の回路の配
線抵抗および配線容量を抽出し、回路シミュレーション
を実行することによって、第2の遅延時間を測定する。
In step S12 as a second measurement step, the propagation delay time of the input / output signal in the case where the layout graphic is adjacent to the cell in which the layout graphic of another cell is adjacently arranged in step S11 is set to the second. Measured as the delay time. That is, the second delay time is measured by extracting the wiring resistance and the wiring capacitance of the circuit of the cell 21 from the layout graphic as shown in FIG. 3 and executing a circuit simulation.

【0026】このステップS12において用いられる配
線容量には、セル21のゲート図形22と隣接セル31
Aのゲート図形32との間の容量C1やセル21のゲー
ト図形22と隣接セル31Aの配線図形33との間の容
量C2のように、セル間の配線容量が付加される。この
ため、例えばセル21に含まれるトランジスタのゲート
図形22の容量が増加するので、ここで測定されるセル
21の第2の遅延時間は、ステップS10において測定
された第1の遅延時間よりも長くなる。
The wiring capacitance used in step S12 includes the gate figure 22 of the cell 21 and the adjacent cell 31.
A wiring capacitance between cells is added, such as a capacitance C1 between the gate graphic 32 of A and a capacitance C2 between the gate graphic 22 of the cell 21 and the wiring graphic 33 of the adjacent cell 31A. For this reason, for example, the capacitance of the gate figure 22 of the transistor included in the cell 21 increases, so that the second delay time of the cell 21 measured here is longer than the first delay time measured in step S10. Become.

【0027】そして、登録ステップとしてのステップS
13において、ステップS10において測定した第1の
遅延時間およびステップS12において測定した第2の
遅延時間を、論理シミュレーションに用いる遅延ライブ
ラリ12にセル21の遅延パラメータとして登録する。
Then, step S as a registration step
At 13, the first delay time measured at step S10 and the second delay time measured at step S12 are registered as delay parameters of the cell 21 in the delay library 12 used for logic simulation.

【0028】ステップS10〜S13を各セルについて
それぞれ実行し、これにより、各セルの遅延パラメータ
として第1および第2の遅延時間が登録された遅延ライ
ブラリ12が生成される。
Steps S10 to S13 are executed for each cell, whereby a delay library 12 in which the first and second delay times are registered as delay parameters of each cell is generated.

【0029】そしてステップS14において、複数のセ
ルが配置された集積回路のブロックレイアウト13を読
み込み、集積回路が含む各セルについて、隣接するレイ
アウト図形の有無を検索する。そしてステップS15に
おいて、レイアウト図形が隣接するセルについては、ス
テップS12で測定した第2の遅延時間をその遅延時間
として選択する一方、レイアウト図形が隣接しないセル
については、ステップS10で測定した第1の遅延時間
をその遅延時間として選択する。ステップS14,S1
5によって遅延選択ステップが構成される。そして、ス
テップS16において、各セルに対して選択した遅延時
間を用いて、集積回路の論理シミュレーションを実行す
る。
In step S14, the block layout 13 of the integrated circuit in which a plurality of cells are arranged is read, and each cell included in the integrated circuit is searched for an adjacent layout graphic. In step S15, the second delay time measured in step S12 is selected as the delay time for the cell adjacent to the layout graphic, and the first delay measured in step S10 is selected for the cell not adjacent to the layout graphic in step S12. Select the delay time as the delay time. Step S14, S1
5 constitutes a delay selection step. Then, in step S16, a logic simulation of the integrated circuit is executed using the delay time selected for each cell.

【0030】なおここでは、ステップS11,S12に
おいて、他のセルのレイアウト図形がセルの両側に隣接
している場合の遅延時間のみを第2の遅延時間として抽
出したが、これに加えてまたはこれに代えて、片側のみ
に隣接している場合の遅延時間を第2の遅延時間として
抽出してもかまわない。ステップS11,S12におい
て、他のセルのレイアウト図形がセルの両側に隣接する
場合、右側のみに隣接する場合および左側のみに隣接す
る場合のそれぞれについて第2の遅延時間を測定したと
きは、ステップS14において隣接するレイアウト図形
がある場合に、隣接するレイアウト図形がセルの両側に
ある場合、右側のみにある場合または左側のみにある場
合のそれぞれに応じて、遅延時間を選択することが可能
になる。
Here, in steps S11 and S12, only the delay time when the layout graphic of another cell is adjacent to both sides of the cell is extracted as the second delay time. Alternatively, a delay time in the case of being adjacent to only one side may be extracted as the second delay time. In steps S11 and S12, when the second delay time is measured for the case where the layout graphic of another cell is adjacent to both sides of the cell, the case where it is adjacent only to the right side, and the case where it is adjacent only to the left side, step S14 is performed. In the case where there is an adjacent layout graphic, the delay time can be selected depending on whether the adjacent layout graphic is on both sides of the cell, only on the right side, or only on the left side.

【0031】また、ステップS11において、使用頻度
の高いセルを優先的に選択し、選択したセルの内部レイ
アウトを表す図形を追加レイアウト図形として配置する
ようにしてもよい。
In step S11, a cell which is frequently used may be preferentially selected, and a graphic representing the internal layout of the selected cell may be arranged as an additional layout graphic.

【0032】またここでは、第2の遅延時間の測定のた
めにセルのレイアウト図形を隣接させるものとしたが、
ステップS11において、図4に示すような配線を表す
長方形の図形41A,41Bを追加レイアウト図形とし
て隣接させてもかまわない。この場合、ステップS12
において、図形41A,41Bとセル21との間の距離
を変えて第2の遅延時間をそれぞれ測定し、この距離と
第2の遅延時間との対応関係を求める。図5はこのよう
な対応関係の一例を示すグラフである。そしてステップ
S14において、あるセルに隣接するレイアウト図形が
存在するとき、そのセルと隣接するレイアウト図形との
間の距離を求め、ステップS15において、図5のグラ
フに示すような対応関係を基に、その距離に対応した第
2の遅延時間を計算し、これをそのセルの遅延時間とし
て決定する。これにより、隣接配線の影響をより正確に
論理シミュレーションに反映させることができる。
In this case, the cell layout figure is made adjacent to the second delay time measurement.
In step S11, rectangular graphics 41A and 41B representing wiring as shown in FIG. 4 may be adjacent to each other as additional layout graphics. In this case, step S12
, The second delay time is measured by changing the distance between the figures 41A and 41B and the cell 21, and the correspondence between this distance and the second delay time is determined. FIG. 5 is a graph showing an example of such a correspondence relationship. In step S14, when there is a layout graphic adjacent to a certain cell, the distance between the cell and the adjacent layout graphic is obtained. In step S15, based on the correspondence shown in the graph of FIG. A second delay time corresponding to the distance is calculated, and this is determined as the delay time of the cell. This makes it possible to more accurately reflect the influence of the adjacent wiring on the logic simulation.

【0033】なお、第2の遅延時間を測定するためのセ
ルの配線容量は、これに隣接するセル内部の配線混雑度
によって変化する。そこで、セル内部の配線混雑度によ
ってセル種別を分類し、セル種別毎に第2の遅延時間を
測定することによって、より正確な第2の遅延時間の測
定が可能になる。
The wiring capacitance of the cell for measuring the second delay time changes depending on the wiring congestion inside the cell adjacent thereto. Therefore, by classifying the cell type according to the degree of wiring congestion inside the cell and measuring the second delay time for each cell type, more accurate measurement of the second delay time becomes possible.

【0034】図6は本実施形態の変形例に係る論理シミ
ュレーション方法における処理の流れを示すフローチャ
ートである。図6では、第2の遅延時間の測定のために
隣接させるセルを、セル内部の配線混雑度によって分類
する。
FIG. 6 is a flowchart showing the flow of processing in a logic simulation method according to a modification of the present embodiment. In FIG. 6, cells adjacent to each other for the measurement of the second delay time are classified according to the wiring congestion inside the cells.

【0035】ステップS21において、セルをその内部
の配線混雑度に応じて分類する。この分類は、図7に示
すように、配線に相当する図形52をセル51に隣接さ
せて配置し、セル51と図形52との間に生じる容量値
の大きさに応じて、行う。分類の結果はグループデータ
15に登録する。そしてステップS11において、グル
ープデータ15に含まれる各グループの代表セルのレイ
アウト図形を、隣接するセルのレイアウト図形として用
い、それぞれの場合において第2の遅延時間を測定す
る。ステップS15においては、あるセルに隣接セルが
存在する場合に、グループデータ15を参照してその隣
接セルが属するグループを特定し、そのグループに対応
する第2の遅延時間を選択する。
In step S21, the cells are classified according to the degree of internal wiring congestion. This classification is performed according to the magnitude of the capacitance value generated between the cell 51 and the graphic 52, as shown in FIG. The result of the classification is registered in the group data 15. In step S11, the layout graphic of the representative cell of each group included in the group data 15 is used as the layout graphic of the adjacent cell, and the second delay time is measured in each case. In step S15, when an adjacent cell exists in a certain cell, a group to which the adjacent cell belongs is specified with reference to the group data 15, and a second delay time corresponding to the group is selected.

【0036】以上のように本実施形態によると、隣接す
るセルや配線の有無によって異なる第1および第2の遅
延時間を遅延ライブラリに登録し、レイアウト後のデー
タから、隣接するセルおよび配線の有無に応じて遅延時
間を選択して論理シミュレーションすることによって、
隣接するセルや配線との寄生容量を正確に反映した高精
度な論理シミュレーションを実施することができる。
As described above, according to the present embodiment, the first and second delay times that differ depending on the presence / absence of the adjacent cells and wirings are registered in the delay library, and the data after layout are used to determine the presence / absence of the adjacent cells and wirings. By selecting a delay time according to and performing a logic simulation,
A highly accurate logic simulation that accurately reflects the parasitic capacitance between adjacent cells and wirings can be performed.

【0037】(第2の実施形態)図8は本発明の第2の
実施形態に係る論理シミュレーション方法における処理
の流れを示すフローチャートである。
(Second Embodiment) FIG. 8 is a flowchart showing a flow of processing in a logic simulation method according to a second embodiment of the present invention.

【0038】まずステップS31において、設計対象の
集積回路について、フロアプランによって、各機能ブロ
ックの形状および配置と、機能ブロック間の概略配線経
路が決定される。
First, in step S31, for the integrated circuit to be designed, the shape and arrangement of each functional block and the schematic wiring path between the functional blocks are determined by the floor plan.

【0039】図9はステップS31によって得られた集
積回路のレイアウトの一例を示す図である。図9に示す
レイアウトでは、3個の機能ブロック71A,71Bお
よび71Cが設けられている。また、破線で示すような
枝72および節点73の組み合わせからなるグラフを用
いて、配線可能領域が表現されており、このグラフを用
いて概略配線がなされている。例えば信号端子74a,
74b間に概略配線を行うものとすると、信号端子74
a,74bはそれぞれ最も近接した節点73a,73b
によって代表され、配線経路75はグラフ上の枝72
a,72b,72cおよび72dの組み合せによって表
現される。
FIG. 9 is a diagram showing an example of the layout of the integrated circuit obtained in step S31. In the layout shown in FIG. 9, three functional blocks 71A, 71B and 71C are provided. In addition, a wirable area is represented using a graph including a combination of the branch 72 and the node 73 as indicated by a broken line, and the schematic wiring is performed using this graph. For example, the signal terminals 74a,
Assuming that general wiring is performed between the signal terminals 74b,
a and 74b are the closest nodes 73a and 73b, respectively.
And the wiring path 75 is represented by a branch 72 on the graph.
a, 72b, 72c, and 72d.

【0040】次にステップS32において、配線混雑度
の計算を行う。図9に示すように概略配線を行った結果
から、グラフ上の各枝72を通過する配線本数を数え、
各枝72を通過する配線本数をその枝72の位置の配線
領域における配線混雑度とする。そして、求めた配線混
雑度を配線混雑度データ61として出力する。
Next, in step S32, the degree of wiring congestion is calculated. From the result of the schematic wiring as shown in FIG. 9, the number of wirings passing through each branch 72 on the graph is counted,
The number of wirings passing through each branch 72 is defined as the wiring congestion degree in the wiring area at the position of the branch 72. Then, the obtained wiring congestion degree is output as wiring congestion degree data 61.

【0041】次にステップS33において、各機能ブロ
ックのレイアウトを行う。図9の例では、機能ブロック
71A,71Bおよび71Cを構成する複数のセルを配
置して、セル間を接続する配線のレイアウトデータを生
成する。
Next, in step S33, the layout of each functional block is performed. In the example of FIG. 9, a plurality of cells constituting the functional blocks 71A, 71B and 71C are arranged, and layout data of wiring connecting the cells is generated.

【0042】次にステップS34において、枝72に対
応する配線領域のいずれかに、仮想配線を表す追加図形
を配置する。ここでは、配線混雑度データ61を読み取
り、配線混雑度に応じて、各配線領域における仮想配線
の追加の有無を決定する。例えば機能ブロック71Aの
両側の枝72の位置の配線領域における配線混雑度が高
いものとすると、図10に示すように、機能ブロック7
1Aの両側に追加図形81A,81Bを生成する。な
お、集積回路の面積を決定するような領域、例えば配線
混雑度が最も高い配線領域に、仮想配線を追加するよう
にしてもよい。
Next, in step S34, an additional graphic representing a virtual wiring is arranged in one of the wiring areas corresponding to the branch 72. Here, the wiring congestion degree data 61 is read, and the presence or absence of the addition of the virtual wiring in each wiring area is determined according to the wiring congestion degree. For example, assuming that the wiring congestion in the wiring area at the position of the branch 72 on both sides of the functional block 71A is high, as shown in FIG.
Additional graphics 81A and 81B are generated on both sides of 1A. The virtual wiring may be added to a region where the area of the integrated circuit is determined, for example, a wiring region with the highest wiring congestion.

【0043】次にステップS35において、各機能ブロ
ックの内部について論理シミュレーションを行う。例え
ば、図10に示す機能ブロック71Aについては、追加
図形81A,81Bを考慮に入れてその内部の配線の抵
抗および容量を抽出し、機能ブロック内部の回路が正常
に動作するか否かを論理シミュレーションによって検証
する。もし正常に動作しない機能ブロックがあるとき
は、ステップS33に戻り、ブロックレイアウトを再度
実行する。
Next, in step S35, a logic simulation is performed on the inside of each functional block. For example, with respect to the function block 71A shown in FIG. 10, taking into account the additional figures 81A and 81B, the resistance and capacitance of the wiring inside the function block are extracted, and a logical simulation is performed to determine whether the circuit inside the function block operates normally. Verify by If there is a functional block that does not operate normally, the process returns to step S33, and the block layout is executed again.

【0044】そしてステップS36において、複数の機
能ブロック間の配線処理を実行し、ステップS37にお
いて、機能ブロック間配線の抵抗および容量を抽出し、
ステップS35ですでに抽出されている各機能ブロック
内部の配線の抵抗および容量と併せて、集積回路全体の
論理シミュレーションを実行する。
In step S36, a wiring process between a plurality of functional blocks is executed. In step S37, the resistance and capacitance of the wiring between the functional blocks are extracted.
In step S35, a logic simulation of the entire integrated circuit is executed together with the resistance and capacitance of the wiring inside each functional block already extracted.

【0045】以上のように本実施形態によると、フロア
プランによって得られる概略配線経路から、機能ブロッ
ク内配線と機能ブロック外配線との間の寄生容量が考慮
されることになるので、ブロック設計段階においてブロ
ック間配線の存在による遅延変動を予測することがで
き、したがって、階層設計に対応した設計手戻りのない
高精度な論理シミュレーションを実行することができ
る。
As described above, according to the present embodiment, the parasitic capacitance between the wiring inside the functional block and the wiring outside the functional block is considered from the schematic wiring path obtained by the floor plan. Can predict a delay variation due to the existence of the inter-block wiring, and therefore can execute a high-precision logic simulation without design rework corresponding to the hierarchical design.

【0046】[0046]

【発明の効果】以上のように本発明によると、論シミュ
レーションにおいて、同一配線層の隣接するセルや配線
との間の寄生容量を精度良く反映させることができる。
As described above, according to the present invention, it is possible to accurately reflect the parasitic capacitance between adjacent cells and wirings in the same wiring layer in a theoretical simulation.

【0047】また、本発明によると、ブロック設計段階
においてブロック間配線の存在による遅延変動を予測す
ることができるので、階層設計に対応した設計手戻りの
ない高精度な論理シミュレーションを実行することがで
きる。
Further, according to the present invention, it is possible to predict a delay variation due to the existence of the inter-block wiring at the block design stage, so that a high-precision logic simulation without design rework corresponding to a hierarchical design can be executed. it can.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明の第1の実施形態に係る論理シミュレー
ション方法における処理の流れを示すフローチャートで
ある。
FIG. 1 is a flowchart showing a process flow in a logic simulation method according to a first embodiment of the present invention.

【図2】セルのレイアウト図形の一例を示す図である。FIG. 2 is a diagram showing an example of a layout graphic of a cell.

【図3】図2のセルに他のセルのレイアウト図形を隣接
配置した図である。
FIG. 3 is a diagram in which a layout graphic of another cell is arranged adjacent to the cell of FIG. 2;

【図4】図2のセルに配線を表すレイアウト図形を隣接
配置した図である。
FIG. 4 is a diagram in which a layout graphic representing a wiring is arranged adjacent to the cell of FIG. 2;

【図5】第2の遅延時間と、セルおよび隣接レイアウト
図形間の距離との関係を示すグラフである。
FIG. 5 is a graph showing a relationship between a second delay time and a distance between a cell and an adjacent layout graphic.

【図6】本発明の第1の実施形態の変形例に係る論理シ
ミュレーション方法における処理の流れを示すフローチ
ャートである。
FIG. 6 is a flowchart illustrating a flow of processing in a logic simulation method according to a modification of the first embodiment of the present invention.

【図7】配線混雑度による分類の方法を説明するための
図である。
FIG. 7 is a diagram for explaining a classification method based on a wiring congestion degree.

【図8】本発明の第2の実施形態に係る論理シミュレー
ション方法における処理の流れを示すフローチャートで
ある。
FIG. 8 is a flowchart illustrating a flow of processing in a logic simulation method according to a second embodiment of the present invention.

【図9】フロアプランによって得られた集積回路のレイ
アウトの一例を示す図である。
FIG. 9 is a diagram illustrating an example of a layout of an integrated circuit obtained by a floor plan.

【図10】追加図形が配置された例を示す図である。FIG. 10 is a diagram showing an example in which additional graphics are arranged.

【図11】配線容量とデザインルールとの関係を示すグ
ラフである。
FIG. 11 is a graph showing a relationship between a wiring capacitance and a design rule.

【符号の説明】[Explanation of symbols]

12 遅延ライブラリ 21,51 セル 31A,31B セルの内部レイアウトを表す図形(追
加レイアウト図形) 41A,41B 配線を表す図形(追加レイアウト図
形) 71A,71B,71C 機能ブロック 75 概略配線経路 81A,81B 仮想配線
12 Delay library 21, 51 cell 31A, 31B Graphic representing internal layout of cell (additional layout graphic) 41A, 41B Graphic representing wiring (additional layout graphic) 71A, 71B, 71C Functional block 75 Schematic wiring path 81A, 81B Virtual wiring

───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) H01L 27/04 A Fターム(参考) 5B046 AA08 BA03 JA01 JA05 KA06 5F038 CA03 CA05 CA17 CD09 CD12 CD13 DF11 EZ09 EZ10 EZ20 5F064 AA04 CC01 DD04 DD05 DD10 DD14 DD24 DD25 EE15 EE17 EE19 EE42 EE43 EE47 HH06 HH09 HH10 HH12 ──────────────────────────────────────────────────続 き Continued on the front page (51) Int.Cl. 7 Identification symbol FI Theme coat ゛ (Reference) H01L 27/04 A F term (Reference) 5B046 AA08 BA03 JA01 JA05 KA06 5F038 CA03 CA05 CA17 CD09 CD12 CD13 DF11 EZ09 EZ10 EZ20 5F064 AA04 CC01 DD04 DD05 DD10 DD14 DD24 DD25 EE15 EE17 EE19 EE42 EE43 EE47 HH06 HH09 HH10 HH12

Claims (8)

【特許請求の範囲】[Claims] 【請求項1】 論理設計に用いる各セルについて、他の
セルおよび配線が隣接しない場合における入出力信号間
の遅延時間を第1の遅延時間として測定する第1の測定
ステップと、 前記各セルのレイアウト図形に、このセルと同一配線層
の追加レイアウト図形を隣接させて配置する図形追加ス
テップと、 前記各セルについて、前記追加レイアウト図形が隣接配
置された場合における入出力信号間の遅延時間を第2の
遅延時間として測定する第2の測定ステップと、 前記第1および第2の遅延時間を、前記各セルの遅延時
間として遅延ライブラリに登録する登録ステップと、 集積回路が含む各セルの遅延時間として、当該セルに隣
接するレイアウト図形の有無に応じて、前記第1の遅延
時間または前記第2の遅延時間のいずれかを選択する遅
延選択ステップとを備え、 選択した遅延時間を用いて、前記集積回路の論理シミュ
レーションを行うことを特徴とする論理シミュレーショ
ン方法。
A first measurement step of measuring, as a first delay time, a delay time between input / output signals when other cells and wiring are not adjacent to each other used for a logic design; A figure adding step of arranging an additional layout figure of the same wiring layer as the cell adjacent to the layout figure; and, for each of the cells, a delay time between input / output signals when the additional layout figure is arranged adjacent to the layout figure. A second measuring step of measuring the delay time as a second delay time; a registering step of registering the first and second delay times as a delay time of each cell in a delay library; a delay time of each cell included in the integrated circuit. A delay for selecting either the first delay time or the second delay time according to the presence or absence of a layout graphic adjacent to the cell. Logic simulation method and a selection step, using the delay time selected, and performing logic simulation of the integrated circuit.
【請求項2】 請求項1記載の論理シミュレーション方
法において、 前記追加レイアウト図形は、セルの内部レイアウトを表
す図形であることを特徴とする論理シミュレーション方
法。
2. The logic simulation method according to claim 1, wherein the additional layout graphic is a graphic representing an internal layout of a cell.
【請求項3】 請求項2記載の論理シミュレーション方
法において、 前記図形追加ステップは、 使用頻度の高いセルを優先的に選択し、選択したセルの
内部レイアウトを表す図形を前記追加レイアウト図形と
して用いることを特徴とする論理シミュレーション方
法。
3. The logic simulation method according to claim 2, wherein, in the graphic adding step, a frequently used cell is preferentially selected, and a graphic representing an internal layout of the selected cell is used as the additional layout graphic. A logic simulation method characterized by the following.
【請求項4】 請求項2記載の論理シミュレーション方
法において、 論理設計に用いる各セルを、その内部の配線混雑度に応
じて分類するステップを備え、 前記図形追加ステップは、 分類された各グループを代表するセルのレイアウト図形
を、それぞれ、前記追加レイアウト図形として用いるも
のであることを特徴とする論理シミュレーション方法。
4. The logic simulation method according to claim 2, further comprising the step of classifying each cell used for logic design according to the degree of wiring congestion inside the cell, wherein the figure adding step includes: A logic simulation method, wherein a layout graphic of a representative cell is used as the additional layout graphic.
【請求項5】 請求項1記載の論理シミュレーション方
法において、 前記追加レイアウト図形は、配線を表す図形であり、 前記第2の測定ステップは、 当該セルと前記配線を表す図形との間の距離を変えて前
記第2の遅延時間をそれぞれ測定し、この距離と前記第
2の遅延時間との対応関係を求めるものであり、 前記遅延選択ステップは、 当該セルに隣接するレイアウト図形が存在するとき、前
記第2の測定ステップにおいて求めた対応関係を基に、
当該セルと前記隣接するレイアウト図形との間の距離に
応じて得た前記第2の遅延時間を、当該セルの遅延時間
として決定するものであることを特徴とする論理シミュ
レーション方法。
5. The logic simulation method according to claim 1, wherein the additional layout graphic is a graphic representing a wiring, and wherein the second measuring step determines a distance between the cell and the graphic representing the wiring. The second delay time is measured separately, and the correspondence between the distance and the second delay time is obtained. The delay selecting step includes the steps of: Based on the correspondence obtained in the second measurement step,
The logic simulation method according to claim 1, wherein the second delay time obtained according to the distance between the cell and the adjacent layout graphic is determined as the delay time of the cell.
【請求項6】 論理設計された集積回路について、論理
シミュレーションを行う方法であって、 前記集積回路に含まれる機能ブロックの形状および配置
と、機能ブロック間の概略配線経路とを、フロアプラン
によって決定するステップと、 配置した各機能ブロックの内部のレイアウトを設計する
ステップと、 機能ブロック間の配線領域に、仮想配線を追加するステ
ップと、 追加した仮想配線を加味して、各機能ブロックの内部に
ついて遅延解析を行うステップとを備えていることを特
徴とする論理シミュレーション方法。
6. A method for performing a logic simulation on a logic-designed integrated circuit, wherein a shape and arrangement of functional blocks included in the integrated circuit and a schematic wiring path between the functional blocks are determined by a floor plan. The steps of designing the internal layout of each placed functional block; the step of adding virtual wiring to the wiring area between the functional blocks; Performing a delay analysis.
【請求項7】 請求項6記載の論理シミュレーション方
法において、 フロアプランによる概略配線経路の決定結果から、前記
集積回路の配線領域における配線混雑度を求めるステッ
プを備え、 前記仮想配線追加ステップは、 配線混雑度に応じて、各配線領域における仮想配線の追
加の有無を決定することを特徴とする論理シミュレーシ
ョン方法。
7. The logic simulation method according to claim 6, further comprising a step of obtaining a wiring congestion degree in a wiring area of the integrated circuit from a result of determining a general wiring path based on a floor plan. A logic simulation method characterized by determining whether virtual wiring is added in each wiring area according to the congestion degree.
【請求項8】 請求項7記載の論理シミュレーション方
法において、 前記仮想配線追加ステップは、 配線混雑度が最も高い配線領域に、仮想配線を追加する
ことを特徴とする論理シミュレーション方法。
8. The logic simulation method according to claim 7, wherein in the virtual wiring adding step, a virtual wiring is added to a wiring region having a highest degree of wiring congestion.
JP29805699A 1999-10-20 1999-10-20 Logic simulation method Pending JP2001117960A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP29805699A JP2001117960A (en) 1999-10-20 1999-10-20 Logic simulation method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP29805699A JP2001117960A (en) 1999-10-20 1999-10-20 Logic simulation method

Publications (1)

Publication Number Publication Date
JP2001117960A true JP2001117960A (en) 2001-04-27

Family

ID=17854568

Family Applications (1)

Application Number Title Priority Date Filing Date
JP29805699A Pending JP2001117960A (en) 1999-10-20 1999-10-20 Logic simulation method

Country Status (1)

Country Link
JP (1) JP2001117960A (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009205449A (en) * 2008-02-28 2009-09-10 Nec Electronics Corp Design method and program for predicting delay time of signal by net list in consideration of terminal wiring in macro
US7954079B2 (en) 2006-11-21 2011-05-31 Samsung Electro-Mechanics Co., Ltd. Method for compensating performance degradation of RFIC using EM simulation

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7954079B2 (en) 2006-11-21 2011-05-31 Samsung Electro-Mechanics Co., Ltd. Method for compensating performance degradation of RFIC using EM simulation
JP2009205449A (en) * 2008-02-28 2009-09-10 Nec Electronics Corp Design method and program for predicting delay time of signal by net list in consideration of terminal wiring in macro

Similar Documents

Publication Publication Date Title
CN108830008B (en) Test method and test system for full model of standard cell library
US6189131B1 (en) Method of selecting and synthesizing metal interconnect wires in integrated circuits
Kahng et al. VLSI physical design: from graph partitioning to timing closure
US6665845B1 (en) System and method for topology based noise estimation of submicron integrated circuit designs
US10418354B2 (en) Integrated circuit and computer-implemented method of manufacturing the same
US8146047B2 (en) Automation method and system for assessing timing based on gaussian slack
JP3615191B2 (en) Semiconductor integrated circuit device design method, design device, and design program
US7325218B2 (en) Wiring method, program, and apparatus
US6449753B1 (en) Hierarchical coupling noise analysis for submicron integrated circuit designs
JP5044703B2 (en) Power network stack via removal to reduce congestion
KR20090077692A (en) Semiconductor-device manufacturing method, semiconductor-device manufacturing program and semiconductor-device manufacturing system
US9378328B2 (en) Modeling multi-patterning variability with statistical timing
KR20170133750A (en) Computer-implemented method for designing integrated circuit
US7673268B2 (en) Method and system for incorporating via redundancy in timing analysis
JP2009152298A (en) Design apparatus and method for semiconductor integrated circuit
US7185296B2 (en) Method of extraction of wire capacitances in LSI device having diagonal wires and extraction program for same
Choi et al. Probe3. 0: A systematic framework for design-technology pathfinding with improved design enablement
JP2002259485A (en) Lcr extraction method in lsi design process and computer program performing lcr extraction
JP2001117960A (en) Logic simulation method
Ghaida et al. A methodology for the early exploration of design rules for multiple-patterning technologies
US8762915B1 (en) System and method for integrated circuit die size reduction
JP2005083895A (en) Method for testing semiconductor device
US10776558B2 (en) Testing system and testing method
US9384309B2 (en) Global timing modeling within a local context
KR20120095210A (en) Timing analysis system of semiconductor chip and method thereof

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20061006

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20081125

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090126

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090421

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20090825