JP2000331051A - 半導体集積回路の配線方法 - Google Patents

半導体集積回路の配線方法

Info

Publication number
JP2000331051A
JP2000331051A JP11139566A JP13956699A JP2000331051A JP 2000331051 A JP2000331051 A JP 2000331051A JP 11139566 A JP11139566 A JP 11139566A JP 13956699 A JP13956699 A JP 13956699A JP 2000331051 A JP2000331051 A JP 2000331051A
Authority
JP
Japan
Prior art keywords
delay
wiring
net
cell
adjustment cell
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP11139566A
Other languages
English (en)
Inventor
Yoshiyuki Kawakami
善之 川上
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Holdings Corp
Original Assignee
Matsushita Electric Industrial Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Matsushita Electric Industrial Co Ltd filed Critical Matsushita Electric Industrial Co Ltd
Priority to JP11139566A priority Critical patent/JP2000331051A/ja
Publication of JP2000331051A publication Critical patent/JP2000331051A/ja
Withdrawn legal-status Critical Current

Links

Landscapes

  • Semiconductor Integrated Circuits (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

(57)【要約】 【課題】 機能ブロック間の配線に遅延調整セルを挿入
することにより配線遅延を改善する際に、挿入されるセ
ルの物理的な挿入位置を考慮しながら、配線遅延の改善
を高精度に行なえるようにする。 【解決手段】 まず、遅延制約違反ネットの遅延時間を
調整するための遅延調整セルを挿入可能なスロット30
aを配列してなるスロットアレイ30を遅延制約違反ネ
ットの下側で且つ電源配線31及びグランド配線32の
下側に確保する。次に、複数のスロット30aから、信
号ネット21〜23の分岐部の近傍に位置する領域等に
限定した位置の近傍の領域をセル挿入候補領域として選
択する。次に、選択されたスロット30aごとに、遅延
調整セルの種類及び信号ネットを形成する配線の配線幅
を組み合わせて、遅延制約値を満たし且つ最適化される
スロット30a、遅延調整セル33及び配線幅の組み合
わせを求める。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、半導体集積回路を
構成する機能ブロック同士を配線により接続する際に、
遅延制約値を満たすように配線を行なう半導体集積回路
の配線方法に関する。
【0002】
【従来の技術】半導体LSIの製造技術の飛躍的な進歩
により、ディープサブミクロンと呼ばれる0.35ミク
ロン以下のデザインルールによって1つのLSIチップ
が製造されるようになった。これにより、LSIの集積
度が格段に向上し、1つのチップに数百万個から一千万
個を超えるトランジスタを搭載できるため、この程度の
規模ともなると、マイクロプロセッサ、通信用プロトコ
ル処理回路又は入出力バスインタフェース回路等の組み
込み機器を1つのLSIチップに集積したシステムLS
Iを実現できるようになる。従って、半導体LSIの大
規模集積化は電子機器の小型化をますます促し、携帯機
器等がより小さく、より軽量化されることとなった。
【0003】しかしながら、その一方で、電子機器を短
期間で設計するための設計手法及び設計の生産性の危機
が叫ばれるようにもなってきている。すなわち、回路の
高集積化及び大規模化と設計生産性の向上とのバランス
が崩れることによって、半導体LSIチップを所定期間
で製造できなくなるといった事態に陥ることにもなる。
これは、設計規模が大規模になればなる程必要とされる
設計工数が増大するにも関わらず、設計規模の増加の程
度が設計生産性の向上を上回るようになるからである。
【0004】この事態を回避する一手段として、近年、
設計の再利用化、特に、IP(Intellectual Property)
ブロックを代表とする大規模な回路ブロックを再利用す
ることにより、設計工数を短縮化する方法が採られよう
としている。IPブロックとは、本来、知的財産権が主
張できる付加価値が高い回路ブロックを指すが、最近で
は、メガセルと呼ばれるような機能及びサイズが大きい
回路ブロック(機能ブロック)をも含めて呼ばれること
が多い。回路ブロックの再利用化を図ると、いったん、
半導体LSIチップ向けに設計されたIPブロックを他
のLSIチップとして利用することにより、同一の機能
を有する回路を一から設計する必要がなくなるため、設
計期間を短縮化できる。
【0005】IPブロックには、ソフトウェアとして提
供されるソフトIPと、ハードウェアとして提供される
ハードIPとがある。ソフトIPの代表例はミドルウエ
アやOS等であり、ハードIPは、半導体LSIチップ
に搭載できるすべての形態を指し、特に内容を変更でき
るものとしてRTL(Register Transfer Level:レジス
タ転送レベル)ネットリストがあり、内容を変更できな
いものとしてマスク図がある。このマスク図の場合は、
動作が保証される反面、IPブロックに対して機能変更
を一切行なえないため、1チップ化する際に他の機能ブ
ロックと併合又は混載する場合に大きな制約となること
もある。
【0006】一方、デザインルールの縮小化は物理的な
変化をもたらし、さらに設計の困難さを増すことにもな
ってきている。例えば、回路内を信号が伝搬する時間、
すなわち信号遅延時間(以下、単に遅延時間と呼ぶ。)
に占める配線による遅延とゲートによる遅延との関係が
1ミクロン時代とは異なり、配線による遅延がゲートに
よる遅延よりも大きくなってきている。その結果、チッ
プの配置配線設計において配線遅延を考慮することが必
須の課題となってきている。これは、トランジスタデバ
イスの微細化に伴って、ゲート遅延が小さくなるもの
の、配線抵抗が大きくなってきたからである。従って、
回路設計においては、回路のタイミング設計が重要とな
ってきている。
【0007】ところで、多くの半導体LSIは、所定の
クロックサイクルを持つクロック信号による同期回路と
して設計されている。この同期回路は、フリップフロッ
プ等からなる同期素子の集合と該同期素子間をつなぐ組
合せ回路の集合としてモデル化することができる。この
とき、回路のクロックサイクル時間Tclk は、以下の式
(1)のように定式化できる。
【0008】 Tclk ≧max(Thold+TD-Q + Tdelay +Tskew) …(1) ここで、Tholdは同期素子のセットアップ・ホールド時
間、TD-Q は同期素子内部における信号の入力から出力
までの遅延時間、Tdelay は組合せ回路の遅延時間、及
びTskewはクロックスキューを表わしている。
【0009】組合せ回路の遅延時間Tdelay は、ゲート
間の遅延(一のゲートのファンインから該一のゲートに
続く他のゲートのファンインまでの遅延)Td の和によ
ってモデル化できるので、Td は、以下の式(2)のよ
うに定式化できる。
【0010】 Td =Tintrinsic +Tload+Twire+Tpriv …(2) ここで、Tintrinsic は配線負荷に依らないゲート遅
延、Tloadは全体の配線負荷(配線容量と入力ピン容量
との総和)に関するゲート遅延、Twireは配線形状等に
依存する配線遅延、及びTprivは前段の波形鈍りに依存
する遅延である。
【0011】さらに、式(2)のモデルを簡単化して、
配線形状に依存する配線遅延Twireを除く3項をゲート
遅延Tgateと置くと、ゲート間遅延Td は、以下の式
(3)のように表わすことができる。
【0012】Td =Tgate+Twire …(3) 回路のタイミング設計とは、式(3)に示すゲート遅延
Tgateと配線遅延Twireとを高精度に見積もることによ
り、半導体LSIのタイミング検証を行なう設計工程で
ある。
【0013】近年の半導体LSIチップは動作周波数が
100MHzを超える高速なものが出てきている。この
高速な半導体LSIを設計するには式(1)の各項の値
を小さくすることが必要であるが、特に、組合せ回路の
遅延時間Tdelay の値、すなわち、ゲート間遅延Td の
値を小さくすることが最も重要となる。前述したよう
に、配線遅延Twireが支配的となってきている現状を見
ると、式(2)又は式(3)のTwireを低減することが
LSIにおける動作の高速化の必須課題であるといえ
る。
【0014】機能ブロック同士を接続するブロック間配
線は比較的長い配線であり、配線遅延が大きくなるた
め、該配線遅延を低減することは極めて重要であり、そ
の対策として、以下の2つが挙げられる。
【0015】第1に、リピータ(遅延調整セル:バッフ
ァ)を配線の途中部分に挿入して総遅延時間を短縮する
方法がある。例えば、複数の機能ブロックが配置されて
なる半導体LSIチップにおいて、機能ブロック間に形
成されるネットにリピータ(遅延調整セル)を挿入す
る。ここで、ネットとは同電位で接続すべき端子の集合
を指す。このように、機能ブロックに属する駆動セルが
配線全体を駆動する程の能力を持たないため、駆動セル
の駆動力を補うリピータを挿入できるように配線の分割
を行なう。
【0016】第2に、配線幅と配線間隔とを変更するこ
とにより、配線長が長い配線の遅延を削減する方法があ
る。配線幅を広げて実質的な配線抵抗を下げることによ
り、配線遅延の低減を図る。この場合には、配線容量が
大きくなる場合があるため、幅を広げる配線と接続され
る出力側のトランジスタの駆動能力を高める必要も生じ
る。
【0017】従来、第1及び第2の方法に関する研究が
多く発表されている。以下、そのうちの代表的なものを
挙げる。 1)バッファ挿入による配線遅延の改善 所定の遅延時間を満たさない配線に対して配線の中間部
分にバッファを挿入することにより配線遅延の改善を行
なう。バッファ挿入位置を決めるアルゴリズムは、L.
P. P. P. van Ginneken, "Buffer Placement in Distri
buted RC-tree Networks for Minimal Elmore Delay",
Proceedings of International Symposium Circuits an
d Systems, 1990, pp.865-868に開示されている。その
手順は、ネットのシンク側(信号の受給側)からソース
側(信号の供給側)に向かってボトムアップ的に配線を
併合しながら構築していく(これを、Routing Tree Cons
tructionと呼ぶ)。この併合過程においてバッファを挿
入すべきかどうかを判断し、挿入位置を決める。このと
き、配線として仮想的なRCネットワークを仮定してお
り、多項式時間で解ける高速アルゴリズムである。 2)ワイヤリサイジングによる配線遅延の改善 所定の遅延時間を満たさない配線に対して配線幅を変更
することにより配線遅延の改善を行なう。特に、配線幅
を連続的に変えながら配線遅延の改善を図るアルゴリズ
ムは、J. Cong et al., "Optimal Wiresizing under th
e distributedElmore Delay Model", IEEE Trans. Comp
uter-Aided Design, 14(1995), pp.321-336に開示され
ている。これは、対象とする配線の配線幅を最小値から
始め、配線幅を段階的に広げることによって、配線遅延
が改善されるようになるまで配線幅を広げる処理を繰り
返す動的アルゴリズムである。 3)バッファ挿入とワイヤサイジングとを同時に最適化 バッファを挿入すると共に配線幅をも変えることによっ
て配線遅延の改善を行なう。バッファ遅延に関して入力
波形の傾きをも考慮したアルゴリズムは、J. Lillis et
al., "Optimal Wire Sizing and Buffer Insertion fo
r Low Power and a Generalized Delay Model", Procee
dings of IEEE International Conference on Computer
-Aided Design, 1995, pp.138-143 に開示されている。
これは、前述のL. P. P. P. van Ginneken法とほぼ同一
の方法であるが、相違点は、配線を併合する際に配線幅
をも考慮して配線木を構築することである。配線幅を考
慮するにも関わらず、多項式時間で解けるように工夫さ
れている。また、バッファの入力波形の傾きをも考慮し
た遅延計算を行なっているため、得られた結果は精度が
高い。
【0018】以上、挙げた文献を含め、多くの先行技術
においてバッファを挿入する場合に、半導体LSIチッ
プ上のどの位置にバッファを配置するのが適当かという
具体的且つ物理的な位置の特定について言及したものが
殆んどない。例えば、1)に示すGinneken法、及び3)
に示すLillis法は、論理設計時のテクノロジマッピング
段階において適用され、また、2)に示すCong法は、レ
イアウト設計の初期段階に適用されるため、バッファの
挿入位置についての物理的に明確な開示はなされていな
い。また、レイアウト後のタイミング最適化として、P
BO(PlacementBased Optimization)技術があるが、こ
れは主に機能ブロック内のネットの最適化手法として用
いられており、機能ブロック間のネットに対してその技
術をそのまま適用することは難しい。
【0019】一方、バッファの配線に対する挿入位置を
物理的に特定した開示例として、特開平第6−2431
99号公報がある。この公報には、駆動能力が高いバッ
ファを配置すると仮定したとき、第1に、バッファの挿
入位置は一の配線の真中部分よりも手前(配線の中央部
から入力端子側)に置くことと、第2に、配線幅の変更
は、挿入されたバッファから先の配線(配線の入力端子
側)に対して行なうこととが開示されている。バッファ
の挿入位置を一の配線の真中部分よりも手前にすること
についての最適性に関しても説明されている。また、挿
入されたバッファから先の配線に対してのみその配線幅
を変える理由は、バッファ挿入後の遅延調整は挿入され
たバッファの後段側でしか行なえないからである。その
変更された配線幅は通常幅の奇数倍であることも述べら
れている。
【0020】
【発明が解決しようとする課題】しかしながら、前記従
来の配線遅延の改善方法は、機能ブロック間の配線に対
する遅延時間の短縮を考えたとき、前述の1)及び3)
は主にテクノロジマッピング段階で適用されるため物理
的な情報、特に配置位置の情報が乏しく、遅延改善を厳
密に行なえないという問題がある。また、これらの方法
では、遅延解消のバッファを半導体LSIチップのどの
位置に挿入するかという物理的な位置が考慮されていな
いため、挿入されるバッファのための電源配線及びグラ
ンド配線が他の信号配線経路に影響を及ぼさないよう
に、電源配線を迂回させる等の無駄な引き回し配線を発
生させる場合がある。
【0021】一方、特開平第6−243199号公報に
よると、一のバッファを配線の真中部分よりも手前に置
くことによって効率的な遅延改善が図れるが、2つ以上
のバッファを挿入しなければならない場合はその限りで
はない。さらに、配線幅を広げる場合にしても、挿入さ
れるバッファの前段側の配線に対してもその配線幅を適
当な値に決めた方がより大きな遅延改善が図れる場合も
ある。
【0022】本発明は、前記従来の問題を解決し、機能
ブロック間の配線に対して遅延調整セルを挿入すること
により配線遅延を改善する際に、LSIチップ上におけ
るセルの物理的な挿入位置を考慮しながら、配線遅延の
改善を高精度に行なえるようにすることを目的とする。
【0023】
【課題を解決するための手段】前記の目的を達成するた
め、本発明に係る半導体集積回路の配線方法は、挿入さ
れる遅延調整セルの電源配線による他の信号配線への経
路妨害を低減するために、電源配線及びグランド配線を
配置し易いように機能ブロック間の電源配線の下側に重
ねて遅延調整セルを配置する構成とする。また、遅延調
整セルをあらかじめ機能ブロック内に配置しておく構成
とする。
【0024】具体的に、本発明に係る第1の半導体集積
回路の配線方法は、複数の機能ブロックからなる半導体
集積回路における複数の機能ブロック同士の間の配線領
域に配線を敷設する際の該配線による配線遅延時間を所
定値以下とする半導体集積回路の配線方法を対象とし、
機能ブロック同士の接続関係を記述したネットリストに
基づいて配線を決定する際に、配線同士の相対位置又は
配線の設計規則に依らない概略配線経路を決定する概略
配線経路決定工程と、概略配線経路により決定される信
号ネットごとに算出して得られる算出遅延時間と信号ネ
ットごとの制約条件である遅延制約時間とを比較し、信
号ネットから、算出遅延時間が遅延制約時間を満たさな
い遅延制約違反ネットを抽出する遅延制約違反ネット抽
出工程と、遅延制約違反ネットが抽出された場合に、遅
延制約違反ネットの遅延時間を調整するための遅延調整
セルをそれぞれ挿入可能な複数の領域からなる遅延調整
セル配置領域を、遅延制約違反ネットの下側で且つ配線
領域に設けられる電源配線及びグランド配線の下側に重
ねるように確保する遅延調整セル配置領域確保工程と、
複数の遅延調整セル配置領域から、遅延制約違反ネット
の途中に設けられた分岐部の近傍に位置する領域又は遅
延制約違反ネットを所定距離ごとに区画した場合の該区
画位置と対応する領域をセル挿入候補領域として選択す
るセル挿入候補領域選択工程と、選択されたセル挿入候
補領域に対して遅延調整セルを挿入する遅延調整セル挿
入工程とを備えている。
【0025】第1の半導体集積回路の配線方法による
と、遅延制約違反ネットの遅延時間を調整するための遅
延調整セルをそれぞれ挿入可能な複数の領域からなる遅
延調整セル配置領域を、遅延制約違反ネットの下側で且
つ配線領域に設けられる電源配線及びグランド配線の下
側に重ねるように確保するため、遅延調整セルの電源は
電源配線及びグランド配線とコンタクトを介して接続で
きるので、他の信号ネットに影響を与えることがない。
【0026】第1の半導体集積回路の配線方法におい
て、遅延調整セル配置領域確保工程が、遅延調整セル配
置領域を行列状に配置する工程を含むことが好ましい。
【0027】第1の半導体集積回路の配線方法におい
て、機能ブロックがトランジスタを含み、遅延制約違反
ネット抽出工程と遅延調整セル配置領域確保工程との間
に、遅延制約違反ネットと接続される機能ブロックにお
ける出力側のトランジスタの駆動能力を遅延制約違反ネ
ットの遅延制約時間を満たすように変更する駆動能力変
更工程をさらに備えていることが好ましい。
【0028】第1の半導体集積回路の配線方法が、セル
挿入候補領域選択工程と遅延調整セル挿入工程との間
に、セル挿入候補領域ごとに遅延調整セルの種類と遅延
制約違反ネットを形成する配線の配線幅とを組み合わ
せ、これら複数の組み合わせのうちから、遅延調整セル
を含む遅延制約違反ネットの遅延時間が遅延制約時間を
満たし且つ配線条件が最適化されるセル挿入候補領域、
遅延調整セル及び配線幅からなる組み合わせを求める最
適組み合わせ決定工程をさらに備え、遅延調整セル挿入
工程が、組み合わせのうちから選ばれたセル挿入候補領
域に対して最適化された遅延調整セルを挿入すると共
に、遅延制約違反ネットの配線幅を最適化する工程を含
むことが好ましい。このようにすると、配線遅延の改善
に、遅延調整セルの種類のみならず、該セルが挿入され
る物理的な位置の相違による遅延量の変化を反映させる
ことができる。
【0029】本発明に係る第2の半導体集積回路の配線
方法は、複数の機能ブロックからなる半導体集積回路に
おける複数の機能ブロック同士の間の配線領域に配線を
敷設する際の該配線による配線遅延時間を所定値以下と
する半導体集積回路の配線方法を対象とし、機能ブロッ
クの周縁部に、それぞれが配線と接続可能な中継端子を
持ち、配線遅延時間を短縮するための複数の遅延調整セ
ルを設ける遅延調整セル準備工程と、機能ブロック同士
の接続関係を記述したネットリストに基づいて配線を決
定する際に、配線同士の相対位置又は配線の設計規則に
依らない概略配線経路を決定する概略配線経路決定工程
と、概略配線経路により決定される信号ネットごとに算
出して得られる算出遅延時間と信号ネットごとの制約条
件である遅延制約時間とを比較し、信号ネットから、算
出遅延時間が遅延制約時間を満たさない遅延制約違反ネ
ットを抽出する遅延制約違反ネット抽出工程と、遅延制
約違反ネットが抽出された場合に、遅延制約違反ネット
と隣接する複数の遅延調整セルの中継端子から、遅延制
約違反ネットの途中に設けられた分岐部の近傍に位置す
る端子又は遅延制約違反ネットを所定距離ごとに区画し
た場合の該区画位置の近傍に位置する端子を中継候補端
子として選択する中継候補端子選択工程と、選択された
中継候補端子と遅延制約違反ネットとを接続することに
より、遅延制約違反ネットに遅延調整セルを挿入する遅
延調整セル挿入工程とを備えている。
【0030】第2の半導体集積回路の配線方法による
と、機能ブロックの周縁部に、あらかじめ配線との中継
端子を持つ複数の遅延調整セルを設けておくため、遅延
制約違反ネットの遅延調整セルを挿入する処理を、挿入
される遅延調整セルの中継端子と遅延制約違反ネットと
を接続するだけで行なえる。
【0031】第2の半導体集積回路の配線方法におい
て、機能ブロックがトランジスタを含み、遅延制約違反
ネット抽出工程と中継候補端子選択工程との間に、遅延
制約違反ネットと接続される機能ブロックにおける出力
側のトランジスタの駆動能力を遅延制約違反ネットの遅
延制約時間を満たすように変更する駆動能力変更工程を
さらに備えていることが好ましい。
【0032】第2の半導体集積回路の配線方法が、中継
候補端子選択工程と遅延調整セル挿入工程との間に、中
継候補端子ごとに該中継候補端子と接続されている遅延
調整セルの種類と遅延制約違反ネットを形成する配線の
配線幅とを組み合わせ、これら複数の組み合わせのうち
から、遅延調整セルを含む遅延制約違反ネットの遅延時
間が遅延制約時間を満たし且つ配線条件が最適化される
中継候補端子、遅延調整セル及び配線幅からなる組み合
わせを求める最適組み合わせ決定工程をさらに備え、遅
延調整セル挿入工程が、組み合わせのうちから選ばれた
中継候補端子と遅延制約違反ネットとを接続すると共
に、遅延制約違反ネットの配線幅を最適化する工程を含
むことが好ましい。
【0033】第2の半導体集積回路の配線方法におい
て、遅延調整セル準備工程が、遅延調整セルにおける中
継端子に該遅延調整セルの論理名と入力属性又は出力属
性とを付与すると共に、入力属性又は出力属性を遅延調
整セルと中継端子との間の配線抵抗及び配線容量からな
るL型RCとして表わす工程を含むことが好ましい。
【0034】
【発明の実施の形態】(第1の実施形態)本発明の第1
の実施形態について図面を参照しながら説明する。
【0035】図1は本発明の第1の実施形態に係る半導
体集積回路の配線方法のフローチャートを示している。
【0036】以下、図2(a)に示すLSIチップを例
として本実施形態に係る配線方法を説明する。図2
(a)〜図2(c)は第1の実施形態に係る半導体集積
回路の配線方法が対象とするLSIチップであって、図
2(a)はLSIチップ上に配置された機能ブロックの
平面構成を示し、図2(b)は機能ブロック同士を接続
する信号ネットを示し、図2(c)は信号ネット上の遅
延調整セルの挿入候補位置を示している。図2(a)に
示すように、半導体LSIチップ10上に、それぞれが
能動素子及び受動素子からなる多数の回路素子を含む機
能ブロック11〜17が互いに間隔をおき、該機能ブロ
ック同士の間の領域にそれぞれ配線領域が形成されるよ
うに配置されている。
【0037】まず、図1に示す概略配線経路決定工程S
T01において、各機能ブロック11〜17間の概略配
線経路を決定する。このとき、例えば、図2(a)の長
円20に囲まれた領域に着目すると、機能ブロック1
3、14、16、17が互いに概略配線により接続され
るとする。図2(b)は長円20内の概略配線を拡大し
て示し、この概略配線は第1の信号ネット21、第2の
信号ネット22及び第3の信号ネット23からなる。第
1の信号ネット21は、機能ブロック13が有する第1
の出力端子21a、機能ブロック17が有する第1の入
力端子21b、及び機能ブロック14が有し分岐部21
cから分岐した入力端子21dが互いに接続されてなる
3端子ネットである。第2の信号ネット22は、機能ブ
ロック17が有する出力端子22a及び機能ブロック1
3が有する入力端子22bが互いに接続されてなる2端
子ネットである。第3の信号ネット23は、機能ブロッ
ク13が有する第2の出力端子23a、機能ブロック1
7が有する第2の入力端子23b、及び機能ブロック1
6が有し分岐部23cから分岐した入力端子23dが互
いに接続されてなる3端子ネットである。ここで、図2
(b)の各入出力端子近傍の矢印は各信号ネットの電流
方向を表わしている。
【0038】次に、図1に示す遅延計算工程ST02に
おいて、概略配線経路により決定されるすべての信号ネ
ットに対して配線による遅延時間を算出する。このと
き、信号ネットの正規の配線(詳細配線)は設けられて
いないが、概略配線に基づいて遅延時間を算出すること
ができる。一例として、図2(a)に示すLSIチップ
10が多層配線構造であり、図面の横方向に延びる配線
を多層配線のうちの第1層配線、縦方向に延びる配線を
第2層配線と仮定する。各配線層と対応するシート抵抗
及び単位長さ当たりの容量値に基づき、概略配線の経路
長を用いて配線RCネットワークを構成し、構成したR
Cネットワークに対して遅延計算を行なう。ここで、配
線遅延の遅延計算アルゴリズムは適当に選べばよく、例
えば、公知のElmore法を用いてもよい(「ジャーナルオ
ブアプライドフィジックス、1948、55-63ページ(Journ
al of Applied Physics, 1948, pp.55-63」)。
【0039】次に、図1に示す遅延制約違反ネット抽出
工程ST03において、信号ネットごとに算出された算
出遅延時間と、信号ネットごとにあらかじめ設定されて
いる遅延制約時間とを比較し、算出遅延時間が遅延制約
時間を超える信号ネットを抽出する。算出遅延時間が遅
延制約時間よりも長い信号ネットは遅延制約違反であ
り、遅延値の改善、すなわち、遅延時間を制約時間内に
収まるように短縮する必要がある。
【0040】ここで、いずれの信号ネットにも遅延制約
違反がなければ、図1に示す詳細配線工程ST04にお
いて、概略配線経路に基づいた各信号ネットの相対位置
関係と設計規則(デザインルール)とを満たす配線を行
なって(アートワーク処理)、配線工程を終了する。
【0041】次に、図1に示す駆動能力変更工程ST0
5において、各ネットを構成する出力端子と接続されて
いるトランジスタの駆動能力を高めることにより、遅延
制約違反の信号ネット(遅延制約違反ネット)に対して
配線による遅延値の改善を行なう。このようにすると、
機能ブロックを構成する現状のトランジスタの駆動能力
では配線が持つ容量に対して十分でなく、負荷依存性の
遅延が大きくなるため、結果的に遅延量が大きくなって
いる信号ネットの制約違反を改善できる。従って、この
工程によって遅延制約違反が解消される信号ネットは詳
細配線を行なえる。
【0042】次に、トランジスタの駆動能力を高めても
遅延制約違反が解消しない信号ネットに対して、遅延制
約違反が解消されるように遅延調整セル(バッファ)を
信号ネットに挿入する。
【0043】まず、図1に示す遅延調整セル配置領域確
保工程ST06において、遅延制約違反ネットの遅延時
間を調整するための遅延調整セルを挿入可能な遅延調整
セル配置領域としての、一の遅延調整セルと対応するス
ロット30aが行列状に配列されてなるスロットアレイ
30を、配線領域における遅延制約違反ネットの下側で
且つ電源配線31及びグランド配線32(以下、電源線
とも呼ぶ。)の下側に重ねるように確保する。この様子
を図3(a)及び図3(b)に示す。図3(a)は図2
(a)における長円20内の配線領域を拡大して示して
いる。このとき、配線領域には電源線31、32が既に
敷設されているとする。また、電源線31、32が敷設
されていないならば、敷設されたものとして扱えばよ
い。
【0044】ここで、各スロット30aに対する具体的
な遅延調整セルの配置(挿入)位置を図4(a)及び
(b)に示す。図4(a)に示すスロットアレイ30
は、配線領域上の電源線31、32の下側に形成されて
おり、スロット30aごとの遅延調整セル33のセル電
源配線31A及びセルグランド配線32Aは、機能ブロ
ック間の電源線31、32と平行に位置するように設け
られ、且つ、コンタクト34を介して電源線31、32
と電気的に接続されている。また、図4(b)に示すス
ロットアレイ30も、配線領域上の電源線31、32の
下側に形成されており、スロット30aごとのセル電源
配線31A及びセルグランド配線32Aはブロック間の
電源線31、32と交差する方向に位置するように設け
られ、同様にコンタクト34を介して電源線31、32
と電気的に接続されている。
【0045】図4(a)及び(b)に示すように、各ス
ロット30aには各遅延調整セル33の出力側にセル電
源配線31Aが設けられ、各遅延調整セル33の入力側
にセルグランド配線32Aが設けられている。その結
果、スタンダードセル方式のLSIブロックと同様に遅
延調整セル33をスロット30aに配置することによ
り、配置された遅延調整セル33はセル電源配線31A
及びセルグランド配線32Aと接続される。
【0046】また、図4(a)及び(b)に示すよう
に、各遅延調整セル33は列ごとに、入力側と出力側と
を交互に反転して配置することが好ましい。このように
すると、スロットアレイ30の面積をほとんど増大させ
ることなく電流密度に対して十分な配線幅を確保でき
る。ここで、図4(a)は図4(b)と比べると、セル
電源配線31A及びセルグランド配線32Aに若干の迂
回部分が生じている。従って、スロットアレイ30を電
源線31、32の下側の領域に階層的に設ける場合に
は、図4(b)の方がセル電源線31A及びセルグラン
ド線32Aの敷設が容易となるため、本実施形態におい
ては、図4(b)に示す構成のスロットアレイ30を採
用する。
【0047】このように、本実施形態によると、遅延調
整セル33を挿入する領域であるスロットアレイ30を
電源線31、32の下側に重ねて設けるため、挿入され
る遅延調整セル33に必要となるセル電源配線31A及
びセルグランド配線32Aの配線長を極めて短くでき
る。実際のLSIにおける配線領域には、電源配線31
及びグランド配線32以外にも多数の信号配線が敷設さ
れるため、遅延調整セル33を挿入する場合に必須とな
るセル電源配線31A及びセルグランド配線32Aをで
きるだけ短くすることは重要であり、その効果は大き
い。
【0048】従って、電源配線31及びグランド配線3
2と階層構造をなすスロットアレイ30を設けない場合
には、遅延調整セル33の挿入位置が不定となってしま
い、遅延制約違反ネットに挿入される遅延調整セル33
のセル電源配線31A及びセルグランド配線32Aの配
線用の領域を確保できなくなるという事態が生じ得る
が、本実施形態においてはそのような事態を避けられる
上に、セル電源配線31A及びセルグランド配線32A
の配線長の最短化をも実現できる。
【0049】次に、図1に示すセル挿入候補領域選択工
程ST07において、電源線31、32の下側の領域に
確保されたスロットアレイ30のすべてのスロット30
aに対して、遅延時間の計算を行なうと、計算量が膨大
となるため、所定の条件を満たすスロット30aに限定
する。例えば、限定条件として、スロットアレイ30か
ら、以下の2つの基準のいずれかを満たすスロット30
aを選択する。
【0050】第1の基準は、遅延制約違反ネットに分岐
部が存在する場合に、該分岐部の入力側の近傍及び出力
側の近傍をセル33の挿入候補位置とする。
【0051】第2の基準は、遅延制約違反ネットに分岐
部がない区間で且つ配線長が所定距離を超える場合に、
所定距離ごとに区画した該区画位置の近傍を挿入候補と
する。所定距離は、例えば、最小サイズ時の遅延時間の
7倍を所定(最小)遅延時間とする配線長が得られる位
置として定義する。すなわち、最小サイズ時の遅延時間
をR0・C0とし、所定遅延時間をRint・Cintとする
と、 Rint・Cint ≧ 7×R0・C0 と表わされる。
【0052】このようにして選択した結果を図2(c)
に示す。図2(c)に示すように、第1の信号ネット2
1に対して、第1の基準による第1の挿入候補位置21
1、第2の挿入候補位置212及び第3の挿入候補位置
213、並びに第2の基準による第4の挿入候補位置2
14及び第5の挿入候補位置215を選択する。第2の
信号ネット22に対しては、第2の基準による第1の挿
入候補位置221及び第2の挿入候補位置222を選択
し、第3の信号ネット23に対しては、第1の基準によ
る第1の挿入候補位置231、第2の挿入候補位置23
2及び第3の挿入候補位置233、並びに第2の基準に
よる第4の挿入候補位置234及び第5の挿入候補位置
235を選択する。
【0053】続いて、図3(b)に示すスロットアレイ
30から、図2(c)に示した各挿入候補位置と対応す
るスロット30aを選択する。ここでは、斜線による陰
影を施したスロット30aが、選択された各挿入候補位
置の近傍であり且つ物理的な位置を考慮したスロット3
0aであることを示している。
【0054】次に、図1に示す最適組み合わせ決定工程
ST08において、選択されたスロット30aごとに、
遅延調整セル33の種類及び信号ネットを形成する配線
の配線幅を遅延制約違反である信号ネットの遅延制約値
が満たされる組み合わせを求め、そのうちの最適解を求
める。この組み合わせは、スロット30a、遅延調整セ
ルの種類及び配線幅からなり、最適な組み合わせを求め
る手法として、公知のLillis法を用いる。このLillis法
は、遅延調整セルの種類と信号ネット上のセル挿入位置
と配線幅との組み合わせを考慮しながら最適解を求める
方法である。さらに、与えられたすべての組み合わせを
実行すると膨大な処理時間を要するが、前述のGinneken
法に開示されている組み合わせ数を制限する方法を用い
ると処理時間を大幅に削減できるため、本実施形態にお
いてもGinneken法を採用する。
【0055】図5は各信号ネット21〜23における遅
延調整セル33の最適化位置を示す。図5に示すよう
に、第1の信号ネット21に対して、最適化されるセル
挿入位置である第1の挿入候補位置211及び第4の挿
入候補位置214を選択し、第2の信号ネット22に対
しては、第2の挿入候補位置222を選択し、第3の信
号ネット23に対しては、第1の挿入候補位置231及
び第4の挿入候補位置234を選択する。
【0056】次に、図1に示す遅延調整セル挿入及び配
線幅変更工程ST09において、図6(a)に示すよう
に、選択された各スロット30aごとに最適化された遅
延調整セルを挿入する。すなわち、第1の信号ネット2
1には、第1の挿入候補位置211と対応するスロット
30aに第1の遅延調整セル33Aを挿入し、第4の挿
入候補位置214と対応するスロット30aに第2の遅
延調整セル33Bを挿入する。第2の信号ネット22に
は、第2の挿入候補位置222と対応するスロット30
aに第3の遅延調整セル33Cを挿入する。第3の信号
ネット23には、第1の挿入候補位置231と対応する
スロット30aに第4の遅延調整セル33Dを挿入し、
第4の挿入候補位置234と対応するスロット30aに
第5の遅延調整セル33Eを挿入する。
【0057】続いて、図6(b)に示すように、配線幅
がそれぞれ最適化された、第1の信号ネット21と対応
する第1の配線21A、第2の信号ネット22と対応す
る第2の配線22A及び第3の信号ネット23と対応す
る第3の配線23Aを敷設する。また、第2の配線23
Aが最適化された結果、入力端子22bと第3の遅延調
整セル33Cとの間の配線の配線幅が他の配線の配線幅
よりも広くなっている。
【0058】次に、図7に示すように、各遅延調整セル
33A〜33Eと電源配線31及びグランド配線32と
配線するためのダミーセルを挿入する。具体的には、第
1の配線21Aに対して、第1の遅延調整セル33Aと
並列に3つのダミーセル33Fを挿入すると共に、第2
の遅延調整セル33B及び第5の遅延調整セル33Eと
並列に3つのダミーセル33Gを挿入する。第2の配線
22Aに対しては、第3の遅延調整セル33Cと並列に
2つのダミーセル33Hを挿入し、第3の配線23Aに
対しては、第4の遅延調整セル33Dと並列に3つのダ
ミーセル33Iを挿入する。但し、各遅延調整セル33
A〜33Eと電源線31、32とはダミーセル33F〜
33Iを設けずに配線を用いて直接接続してもよいが、
ダミーセル33F〜33Iを挿入した場合には、これら
のダミーセル33F〜33Iはいつでも使える状態にあ
り、その上、ダミーセル33F〜33Iを挿入するだけ
でセル用の電源配線が敷設できるので、他の信号配線に
影響を及ぼすことがない。
【0059】このようにして、すべての遅延制約違反ネ
ットに対する遅延調整セル33の挿入処理が完了した場
合には、図1に示した詳細配線工程ST04において、
アートワーク処理を行なう。
【0060】本実施形態によると、遅延制約違反の信号
ネットに対して該遅延制約違反を解消するために遅延調
整セル(バッファ)を挿入する際に、図3(a)に示し
たように、機能ブロック同士の間の配線領域に敷設され
る電源配線31及びグランド配線32の下側の領域に、
遅延調整セル配置領域としてのスロット30aが多数配
列されてなるスロットアレイ30を設けるため、信号ネ
ットに挿入される遅延調整セルに対する電源用の配線が
容易で且つ配線長も極めて短くなる。これにより、遅延
調整セルが挿入される信号ネットと隣接する他の信号ネ
ットはその配線領域を圧迫されることがない。さらに、
スロットアレイ30が電源線31、32と階層的に構成
されているため、機能ブロック間の配線領域の面積もほ
とんど増加しないので、結果的に半導体LSIチップの
面積が増大するおそれがない。
【0061】なお、本実施形態においては、遅延調整セ
ルとしてバッファ回路を用いたが、論理の極性を考慮す
ればインバータ回路を用いることもできる。 (第2の実施形態)以下、本発明の第2の実施形態につ
いて図面を参照しながら説明する。
【0062】図8は本発明の第2の実施形態に係る半導
体集積回路の配線方法のフローチャートを示している。
ここでは、図9(a)に示すLSIチップを例に本実施
形態に係る配線方法を説明する。図9(a)及び図9
(b)は第2の実施形態に係る半導体集積回路の配線方
法が対象とするLSIチップであって、図9(a)はL
SIチップ上に配置された機能ブロックの平面構成を示
し、図9(b)は遅延調整用のセルが組み込まれた機能
ブロック同士を接続する信号ネットを示している。図9
(a)及び図9(b)に示すように、半導体LSIチッ
プ50上に、それぞれが能動素子及び受動素子からなる
多数の回路素子及びその周縁部に配された遅延調整セル
を含む機能ブロック51〜57が互いに間隔をおき、該
機能ブロック同士の間の領域にそれぞれ配線領域が形成
されるように配置されている。ここで、図9(b)は図
9(a)における長円20内の領域の拡大図である。
【0063】まず、図8に示す遅延調整セル準備工程S
T11において、図9(b)に示すように、機能ブロッ
ク51〜57の周縁部に、それぞれが機能ブロック間の
配線と接続可能な中継端子61aを持ち、配線遅延時間
を調整するための複数の遅延調整セル61を配置する。
【0064】次に、第1の実施形態と同様にして、概略
配線経路決定工程ST12、遅延計算工程ST13、遅
延制約違反ネット抽出工程ST14及び駆動能力変更工
程ST15を行なう。遅延制約違反ネットが存在しなけ
れば、詳細配線工程ST16において、アートワーク処
理を行なう。
【0065】ここでは、図9(b)に示す信号ネット6
2が配線遅延が遅延制約時間を超える遅延違反ネットの
うちの1つを表わしているとする。信号ネット62は、
機能ブロック51が有する出力端子62a、機能ブロッ
ク53が有する入力端子62b、及び機能ブロック52
が有し分岐部62cから分岐した入力端子62dが互い
に接続されてなる3端子ネットである。
【0066】本実施形態においては、各遅延調整セル6
1の中継端子61aに、遅延調整セルの論理を示す論理
名と、入力端子か出力端子かを区別する入出力名を含む
名称を定義して付与している。論理名の例として、バッ
ファ回路の場合は、”buf”とし、インバータ回路の
場合は”inv”とする。また、入出力名の例として、
出力端子の場合は”out”とし、入力端子の場合は”
in”とする。例えば、図10に示す遅延調整セル61
の中継端子61aに対して、入力端子に”buf1i
n”という名称が付与され、出力端子61aに”buf
1out”という名称が付与されている。さらに、各端
子の属性(property)として遅延調整セル61
から各中継端子61aまでの配線RCの情報が付加され
る。ここでは、配線RCはL型1段として表現し、従っ
て、入力端子の属性は(Rin,Cin)と定義され、出力
端子の属性は(Rout ,Cout )と定義される。
【0067】次に、図8に示す中継候補端子選択工程S
T17において、あらかじめ、多数の遅延調整セル61
のうちから遅延制約違反の信号ネット62と接続される
候補である中継候補端子を選択する。選択基準は第1の
実施形態の場合と同様とする。従って、前述の第1及び
第2の基準に従って判定を行なって、図11(a)に示
すように、信号ネット62に対して、第1の基準による
第1の挿入候補位置621、第2の挿入候補位置622
及び第3の挿入候補位置623、並びに第2の基準によ
る第4の挿入候補位置624及び第5の挿入候補位置6
25を選択する。
【0068】次に、図8に示す最適組み合わせ決定工程
ST18において、選択された挿入候補位置の近傍に位
置する中継候補端子61aごとに、遅延調整セル61の
種類及び信号ネットを形成する配線の配線幅を組み合わ
せて、遅延制約違反である信号ネットの遅延制約値を満
たす組合せを求める。さらに、組み合わせたなかから、
Lillis法を用いて、中継候補端子61a、遅延調整セル
61の種類及び配線幅からなる最適な組み合わせを求め
る。このようにして、図11(b)に示す第1の挿入候
補位置621と第4の挿入候補位置624とを選択す
る。
【0069】次に、図8に示す遅延調整セル挿入工程S
T19において、図12(a)に示すように、選択され
た各中継候補端子61aと信号ネット62とを接続する
ことにより、最適化を図れる遅延調整セル61を挿入す
る。すなわち、信号ネット62には、第1の挿入候補位
置621の近傍に位置し、入力端子名”buf8in”
及び出力端子名”buf8out”を有する第1の遅延
調整セル61Aと、第4の挿入候補位置624の近傍に
位置し、入力端子名”buf6in”及び出力端子名”
buf6out”を有する第2の遅延調整セル61Bと
を挿入する。
【0070】このように、中継端子には、論理名と入出
力属性とを持つ端子名が付与されているため、挿入候補
位置の近傍に位置する中継端子を選択する際に、所望の
端子名を参照するだけで確実に選択できる。さらに、外
部端子に遅延調整セルまでの配線RCの情報を持たせて
いるので配線遅延計算を行なう際により高精度な計算を
行なえる。このときの信号ネットの接続方向は、入力端
子に電流を受けるように接続する。
【0071】次に、図8に示す配線幅変更工程ST20
において、図12(b)に示すように、信号ネット62
から最適化された配線幅を有する配線62Aを敷設す
る。ここでは、機能ブロック51の出力端子62aから
第1の遅延調整セル61Aとの間の配線の幅が若干広く
調整されている。
【0072】以上説明したように、本実施形態による
と、各機能ブロック51〜57の周縁部に、それぞれ入
出力端子(中継端子)61aを有し遅延制約違反を解消
できるバッファ回路等からなる多数の遅延調整セル61
を配置しておくため、遅延調整セル61の挿入処理を、
遅延制約違反ネットと中継端子とを互いに接続するだけ
で実現できる。従って、他の信号ネットとの間の配線位
置関係をも同時に考慮できるため、他の信号ネットに悪
影響を与えることがない。
【0073】また、中継候補端子選択工程ST17にお
いて、多数の中継候補端子のうちから、所定の基準を設
けて、該基準を満たす中継候補端子を選択することによ
り候補数を削減するため、後工程である最適組み合わせ
決定工程ST18における処理時間を現実的な値とする
ことができる。
【0074】また、入出力端子名として、論理名と入出
力属性とが付与されているため、例えばコンピュータ処
理の際に、端子名を直接参照できるので、遅延調整セル
の選択を迅速に行なえる。
【0075】また、機能ブロックの周縁部に遅延調整セ
ルが配列されているため、信号ネットと中継端子との接
続は、信号ネットの配線を若干迂回させるだけでよく、
機能ブロック間の配線領域の面積もほとんど増加しない
ので、半導体LSIチップの面積が増大するおそれがな
い。
【0076】なお、本実施形態においても、遅延調整セ
ルとしてバッファ回路を用いたが、論理の極性を考慮す
ればインバータ回路を用いることもできる。
【0077】
【発明の効果】本発明に係る第1の半導体集積回路の配
線方法によると、配線遅延の制約違反を解消するための
遅延調整セルを挿入する遅延調整セル配置領域を、遅延
制約違反ネットの下側で且つ配線領域に設けられる電源
配線及びグランド配線の下側に重ねるように確保するた
め、遅延調整セルの電源は電源配線及びグランド配線と
コンタクトを介して接続できるので、セルの配線を極め
て短くしたままセルを確実に挿入できる。このため、複
数の遅延調整セル配置領域のどの領域であっても挿入さ
れるセルの電源配線及びグランド配線が他の信号線に影
響を及ぼすことがなくなるので、信号ネットに対して遅
延調整セルを挿入できなくなることがない。また、遅延
調整セル配置領域を電源配線及びグランド配線の下側に
階層的に設けるため、機能ブロック間の配線領域の面積
もほとんど増加しないので、半導体チップの面積が増大
するおそれがない。
【0078】第1の半導体集積回路の配線方法におい
て、遅延調整セル配置領域確保工程が、遅延調整セル配
置領域を行列状に配置する工程を含むと、遅延調整セル
配置領域の面積を増大させることなく、必要な電流密度
が得られる配線幅を確保することができる。
【0079】第1の半導体集積回路の配線方法におい
て、機能ブロックがトランジスタを含み、遅延制約違反
ネット抽出工程と遅延調整セル配置領域確保工程との間
に、遅延制約違反ネットと接続される機能ブロックにお
ける出力側のトランジスタの駆動能力を遅延制約違反ネ
ットの遅延制約時間を満たすように変更する駆動能力変
更工程をさらに備えていると、遅延調整セルを挿入する
ことなく遅延制約違反ネットの制約違反を解消できる場
合があるため、後工程における遅延調整セルの挿入処理
の工数を削減できる。
【0080】第1の半導体集積回路の配線方法が、セル
挿入候補領域選択工程と遅延調整セル挿入工程との間
に、セル挿入候補領域ごとに遅延調整セルの種類と遅延
制約違反ネットを形成する配線の配線幅とを組み合わ
せ、これら複数の組み合わせのうちから、遅延調整セル
を含む遅延制約違反ネットの遅延時間が遅延制約時間を
満たし且つ配線条件が最適化されるセル挿入候補領域、
遅延調整セル及び配線幅からなる組み合わせを求める最
適組み合わせ決定工程をさらに備え、遅延調整セル挿入
工程が、組み合わせのうちから選ばれたセル挿入候補領
域に対して最適化された遅延調整セルを挿入すると共
に、遅延制約違反ネットの配線幅を最適化する工程を含
むと、選択された各セル挿入候補領域に対して該挿入候
補領域と遅延調整セルの種類と配線幅との最適な組み合
わせを求めるため、組み合わせの総数を減らせるので、
配線遅延の最適化処理を短時間で行なえる。また、配線
遅延の改善に、遅延調整セルの種類のみならず、該セル
が挿入される物理的な位置の相違による遅延量の変化を
反映させることができるので、遅延時間の算出を精度良
く改善できる。
【0081】本発明に係る第2の半導体集積回路の配線
方法によると、機能ブロックの周縁部に、あらかじめ配
線との中継端子を持つ複数の遅延調整セルを設けておく
ため、遅延制約違反ネットの遅延調整セルを挿入する処
理を、挿入される遅延調整セルの中継端子と遅延制約違
反ネットとを接続するだけで行なえるようになるので、
容易に且つ確実にセルを挿入できる。その上、配線と中
継端子との接続は、配線を若干迂回させるだけでよく、
機能ブロック間の配線領域の面積もほとんど増加しない
ので、半導体チップの面積が増大するおそれがない。
【0082】第2の半導体集積回路の配線方法が、中継
候補端子選択工程と遅延調整セル挿入工程との間に、中
継候補端子ごとに該中継候補端子と接続されている遅延
調整セルの種類と遅延制約違反ネットを形成する配線の
配線幅とを組み合わせ、これら複数の組み合わせのうち
から、遅延調整セルを含む前記遅延制約違反ネットの遅
延時間が遅延制約時間を満たし且つ配線条件が最適化さ
れる中継候補端子、遅延調整セル及び配線幅からなる組
み合わせを求める最適組み合わせ決定工程をさらに備
え、遅延調整セル挿入工程が、組み合わせのうちから選
ばれた中継候補端子と遅延制約違反ネットとを接続する
と共に、遅延制約違反ネットの配線幅を最適化する工程
を含むと、選択された各中継候補端子に対して該中継候
補端子と遅延調整セルの種類と配線幅との最適な組み合
わせを求めるため、組み合わせの総数を減らせるので、
配線遅延の最適化処理を短時間で行なえる。
【0083】第2の半導体集積回路の配線方法におい
て、遅延調整セル準備工程が、遅延調整セルにおける中
継端子に該遅延調整セルの論理名と入力属性又は出力属
性とを付与すると共に、入力属性又は出力属性を遅延調
整セルと中継端子との間の配線抵抗及び配線容量からな
るL型RCとして表わす工程を含むと、中継端子を種々
組み合わせる際に該中継端子の選択が容易となると共
に、該中継端子と信号ネットとを接続した際の配線遅延
時間の計算をより高精度に行なえるようになる。
【図面の簡単な説明】
【図1】本発明の第1の実施形態に係る半導体集積回路
の配線方法を示すフローチャート図である。
【図2】(a)〜(c)は本発明の第1の実施形態に係
る半導体集積回路の配線方法を説明するためのLSIチ
ップを示し、(a)はLSIチップ上に配置された機能
ブロックを示す平面図であり、(b)は(a)における
機能ブロック間の拡大平面図であって、該機能ブロック
同士を接続する信号ネットを示す模式図であり、(c)
は(b)における信号ネット上に選択された挿入候補位
置を示す模式図である。
【図3】(a)及び(b)は本発明の第1の実施形態に
係る半導体集積回路の配線方法を説明するためのLSI
チップ上の機能ブロック間の配線領域に形成される遅延
調整セル配置領域を示す部分平面図である。
【図4】(a)及び(b)は本発明の第1の実施形態に
係る半導体集積回路の配線方法による遅延調整セルの挿
入位置を示す部分平面図である。
【図5】本発明の第1の実施形態に係る半導体集積回路
の配線方法により最適化されるセル挿入候補位置を示す
平面図である。
【図6】(a)は本発明の第1の実施形態に係る半導体
集積回路の配線方法によりセル挿入候補位置へ挿入され
た遅延調整セルを示す平面図である。(b)は本発明の
第1の実施形態に係る半導体集積回路の配線方法により
セル挿入候補位置へ挿入された遅延調整セル及び配線幅
が最適化された配線を示す平面図である。
【図7】本発明の第1の実施形態に係る半導体集積回路
の配線方法により挿入された遅延調整セルと電源線とを
接続する配線として挿入されたダミーセルを示す平面図
である。
【図8】本発明の第2の実施形態に係る半導体集積回路
の配線方法を示すフローチャート図である。
【図9】(a)及び(b)は本発明の第2の実施形態に
係る半導体集積回路の配線方法を説明するためのLSI
チップを示し、(a)はLSIチップ上に配置された機
能ブロックを示す平面図であり、(b)は(a)におけ
る機能ブロック間の拡大平面図である。
【図10】本発明の第2の実施形態に係る半導体集積回
路の配線方法における遅延調整セルの中継端子の名称及
び属性を示す回路図である。
【図11】(a)は本発明の第2の実施形態に係る半導
体集積回路の配線方法により選択されたセル挿入候補位
置を示す平面図である。(b)は本発明の第2の実施形
態に係る半導体集積回路の配線方法により最適化される
セル挿入候補位置を示す平面図である。
【図12】(a)は本発明の第2の実施形態に係る半導
体集積回路の配線方法によりセル挿入候補位置と対応す
る中継端子を持つ遅延調整セルが挿入された平面図であ
る。(b)は本発明の第2の実施形態に係る半導体集積
回路の配線方法により挿入された遅延調整セル及び配線
幅が最適化された配線を示す平面図である。
【符号の説明】
10 半導体LSIチップ 11 機能ブロック 12 機能ブロック 13 機能ブロック 14 機能ブロック 15 機能ブロック 16 機能ブロック 17 機能ブロック 21 第1の信号ネット(遅延制約違反ネット) 21a 第1の出力端子 21b 第1の入力端子 21c 分岐部 21d 入力端子 22 第2の信号ネット(遅延制約違反ネット) 22a 出力端子 22b 入力端子 23 第3の信号ネット(遅延制約違反ネット) 23a 第2の出力端子 23b 第2の入力端子 23c 分岐部 23d 入力端子 211 第1の挿入候補位置 212 第2の挿入候補位置 213 第3の挿入候補位置 214 第4の挿入候補位置 215 第5の挿入候補位置 221 第1の挿入候補位置 222 第2の挿入候補位置 231 第1の挿入候補位置 232 第2の挿入候補位置 233 第3の挿入候補位置 234 第4の挿入候補位置 235 第5の挿入候補位置 21A 第1の配線 22A 第2の配線 23A 第3の配線 30 スロットアレイ 30a スロット(遅延調整セル配置領域) 31 電源配線 31A セル電源配線 32 グランド配線 32A セルグランド配線 33 遅延調整セル 33A 第1の遅延調整セル 33B 第2の遅延調整セル 33C 第3の遅延調整セル 33D 第4の遅延調整セル 33E 第5の遅延調整セル 33F ダミーセル 33G ダミーセル 33H ダミーセル 33I ダミーセル 34 コンタクト 50 半導体LSIチップ 51 機能ブロック 52 機能ブロック 53 機能ブロック 54 機能ブロック 55 機能ブロック 56 機能ブロック 57 機能ブロック 61 遅延調整セル 61a 中継端子 61A 第1の遅延調整セル 61B 第2の遅延調整セル 62 信号ネット(遅延制約違反ネット) 62a 出力端子 62b 入力端子 62c 分岐部 62d 入力端子 621 第1の挿入候補位置 622 第2の挿入候補位置 623 第3の挿入候補位置 624 第4の挿入候補位置 625 第5の挿入候補位置 62A 配線

Claims (8)

    【特許請求の範囲】
  1. 【請求項1】 複数の機能ブロックからなる半導体集積
    回路における前記複数の機能ブロック同士の間の配線領
    域に配線を敷設する際の該配線による配線遅延時間を所
    定値以下とする半導体集積回路の配線方法であって、 前記機能ブロック同士の接続関係を記述したネットリス
    トに基づいて配線を決定する際に、配線同士の相対位置
    又は配線の設計規則に依らない概略配線経路を決定する
    概略配線経路決定工程と、 前記概略配線経路により決定される信号ネットごとに算
    出して得られる算出遅延時間と前記信号ネットごとの制
    約条件である遅延制約時間とを比較し、前記信号ネット
    から、前記算出遅延時間が前記遅延制約時間を満たさな
    い遅延制約違反ネットを抽出する遅延制約違反ネット抽
    出工程と、 前記遅延制約違反ネットが抽出された場合に、前記遅延
    制約違反ネットの遅延時間を調整するための遅延調整セ
    ルをそれぞれ挿入可能な複数の領域からなる遅延調整セ
    ル配置領域を、前記遅延制約違反ネットの下側で且つ前
    記配線領域に設けられる電源配線及びグランド配線の下
    側に重ねるように確保する遅延調整セル配置領域確保工
    程と、 前記複数の遅延調整セル配置領域から、前記遅延制約違
    反ネットの途中に設けられた分岐部の近傍に位置する領
    域又は前記遅延制約違反ネットを所定距離ごとに区画し
    た場合の該区画位置と対応する領域をセル挿入候補領域
    として選択するセル挿入候補領域選択工程と、 選択されたセル挿入候補領域に対して遅延調整セルを挿
    入する遅延調整セル挿入工程とを備えていることを特徴
    とする半導体集積回路の配線方法。
  2. 【請求項2】 前記遅延調整セル配置領域確保工程は、
    前記遅延調整セル配置領域を行列状に配置する工程を含
    むことを特徴とする請求項1に記載の半導体集積回路の
    配線方法。
  3. 【請求項3】 前記機能ブロックはトランジスタを含
    み、 前記遅延制約違反ネット抽出工程と前記遅延調整セル配
    置領域確保工程との間に、前記遅延制約違反ネットと接
    続される機能ブロックにおける出力側の前記トランジス
    タの駆動能力を前記遅延制約違反ネットの遅延制約時間
    を満たすように変更する駆動能力変更工程をさらに備え
    ていることを特徴とする請求項1に記載の半導体集積回
    路の配線方法。
  4. 【請求項4】 前記セル挿入候補領域選択工程と前記遅
    延調整セル挿入工程との間に、セル挿入候補領域ごとに
    遅延調整セルの種類と遅延制約違反ネットを形成する配
    線の配線幅とを組み合わせ、これら複数の組み合わせの
    うちから、前記遅延調整セルを含む前記遅延制約違反ネ
    ットの遅延時間が遅延制約時間を満たし且つ配線条件が
    最適化されるセル挿入候補領域、遅延調整セル及び配線
    幅からなる組み合わせを求める最適組み合わせ決定工程
    をさらに備え、 前記遅延調整セル挿入工程は、前記組み合わせのうちか
    ら選ばれたセル挿入候補領域に対して最適化された遅延
    調整セルを挿入すると共に、遅延制約違反ネットの配線
    幅を最適化する工程を含むことを特徴とする請求項1に
    記載の半導体集積回路の配線方法。
  5. 【請求項5】 複数の機能ブロックからなる半導体集積
    回路における前記複数の機能ブロック同士の間の配線領
    域に配線を敷設する際の該配線による配線遅延時間を所
    定値以下とする半導体集積回路の配線方法であって、 前記機能ブロックの周縁部に、それぞれが前記配線と接
    続可能な中継端子を持ち、前記配線遅延時間を調整する
    ための複数の遅延調整セルを設ける遅延調整セル準備工
    程と、 前記機能ブロック同士の接続関係を記述したネットリス
    トに基づいて配線を決定する際に、配線同士の相対位置
    又は配線の設計規則に依らない概略配線経路を決定する
    概略配線経路決定工程と、 前記概略配線経路により決定される信号ネットごとに算
    出して得られる算出遅延時間と前記信号ネットごとの制
    約条件である遅延制約時間とを比較し、前記信号ネット
    から、前記算出遅延時間が前記遅延制約時間を満たさな
    い遅延制約違反ネットを抽出する遅延制約違反ネット抽
    出工程と、 前記遅延制約違反ネットが抽出された場合に、前記遅延
    制約違反ネットと隣接する複数の遅延調整セルの中継端
    子から、前記遅延制約違反ネットの途中に設けられた分
    岐部の近傍に位置する端子又は前記遅延制約違反ネット
    を所定距離ごとに区画した場合の該区画位置の近傍に位
    置する端子を中継候補端子として選択する中継候補端子
    選択工程と、 選択された中継候補端子と前記遅延制約違反ネットとを
    接続することにより、前記遅延制約違反ネットに遅延調
    整セルを挿入する遅延調整セル挿入工程とを備えている
    ことを特徴とする半導体集積回路の配線方法。
  6. 【請求項6】 前記機能ブロックはトランジスタを含
    み、 前記遅延制約違反ネット抽出工程と前記中継候補端子選
    択工程との間に、前記遅延制約違反ネットと接続される
    機能ブロックにおける出力側の前記トランジスタの駆動
    能力を前記遅延制約違反ネットの遅延制約時間を満たす
    ように変更する駆動能力変更工程をさらに備えているこ
    とを特徴とする請求項5に記載の半導体集積回路の配線
    方法。
  7. 【請求項7】 前記中継候補端子選択工程と前記遅延調
    整セル挿入工程との間に、中継候補端子ごとに該中継候
    補端子と接続されている遅延調整セルの種類と遅延制約
    違反ネットを形成する配線の配線幅とを組み合わせ、こ
    れら複数の組み合わせのうちから、前記遅延調整セルを
    含む前記遅延制約違反ネットの遅延時間が遅延制約時間
    を満たし且つ配線条件が最適化される中継候補端子、遅
    延調整セル及び配線幅からなる組み合わせを求める最適
    組み合わせ決定工程をさらに備え、 前記遅延調整セル挿入工程は、前記組み合わせのうちか
    ら選ばれた中継候補端子と遅延制約違反ネットとを接続
    すると共に、遅延制約違反ネットの配線幅を最適化する
    工程を含むことを特徴とする請求項5に記載の半導体集
    積回路の配線方法。
  8. 【請求項8】 前記遅延調整セル準備工程は、前記遅延
    調整セルにおける中継端子に該遅延調整セルの論理名と
    入力属性又は出力属性とを付与すると共に、前記入力属
    性又は出力属性を、前記遅延調整セルと前記中継端子と
    の間の配線抵抗及び配線容量からなるL型RCとして表
    わす工程を含むことを特徴とする請求項5に記載の半導
    体集積回路の配線方法。
JP11139566A 1999-05-20 1999-05-20 半導体集積回路の配線方法 Withdrawn JP2000331051A (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP11139566A JP2000331051A (ja) 1999-05-20 1999-05-20 半導体集積回路の配線方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP11139566A JP2000331051A (ja) 1999-05-20 1999-05-20 半導体集積回路の配線方法

Publications (1)

Publication Number Publication Date
JP2000331051A true JP2000331051A (ja) 2000-11-30

Family

ID=15248260

Family Applications (1)

Application Number Title Priority Date Filing Date
JP11139566A Withdrawn JP2000331051A (ja) 1999-05-20 1999-05-20 半導体集積回路の配線方法

Country Status (1)

Country Link
JP (1) JP2000331051A (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7093222B2 (en) 2002-09-24 2006-08-15 Matsushita Electric Industrial Co., Ltd. Power supply wiring method for semiconductor integrated circuit and semiconductor integrated circuit
JP2008204349A (ja) * 2007-02-22 2008-09-04 Fujitsu Ltd レイアウト設計プログラム、該プログラムを記録した記録媒体、レイアウト設計方法、およびレイアウト設計装置
JP2017500810A (ja) * 2013-12-19 2017-01-05 ネットスピード システムズ タイミング及び/又は性能を満たすnocチャネルの自動パイプライニング

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7093222B2 (en) 2002-09-24 2006-08-15 Matsushita Electric Industrial Co., Ltd. Power supply wiring method for semiconductor integrated circuit and semiconductor integrated circuit
JP2008204349A (ja) * 2007-02-22 2008-09-04 Fujitsu Ltd レイアウト設計プログラム、該プログラムを記録した記録媒体、レイアウト設計方法、およびレイアウト設計装置
JP2017500810A (ja) * 2013-12-19 2017-01-05 ネットスピード システムズ タイミング及び/又は性能を満たすnocチャネルの自動パイプライニング

Similar Documents

Publication Publication Date Title
US8479141B1 (en) Automation using spine routing
CN107798159B (zh) 用于生成三维集成电路设计的方法
US8966425B1 (en) Clock tree generation and routing
US8015522B2 (en) System for implementing post-silicon IC design changes
US6415422B1 (en) Method and system for performing capacitance estimations on an integrated circuit design routed by a global routing tool
US7546567B2 (en) Method and apparatus for generating a variation-tolerant clock-tree for an integrated circuit chip
US7363607B2 (en) Method of automatically routing nets according to parasitic constraint rules
US7676780B2 (en) Techniques for super fast buffer insertion
US7281233B1 (en) Method and apparatus for implementing a circuit design for integrated circuitry on a circuit board
US7795943B2 (en) Integrated circuit device and layout design method therefor
US6480996B1 (en) System and method for transposing wires in a circuit design
US10068048B1 (en) Generating clock trees for a circuit design
JP4368641B2 (ja) 電源パッドの数及び位置見積もり方法、チップサイズ見積もり方法及び設計装置
JP3420195B2 (ja) クロック配線の設計方法
US6931610B1 (en) Method for rapid estimation of wire delays and capacitances based on placement of cells
JP2000331051A (ja) 半導体集積回路の配線方法
Ku et al. Pin-in-the-middle: An efficient block pin assignment methodology for block-level monolithic 3d ics
Chakravarthi et al. SoC Physical Design Flow and Algorithms
JP3182244B2 (ja) 半導体集積回路における信号伝播遅延時間の最適化方法
Pentapati et al. Heterogeneous Monolithic 3-D IC Designs: Challenges, EDA Solutions, and Power, Performance, Cost Tradeoffs
Zhu Chip and package cosynthesis of clock networks
Pandini et al. Design methodologies and architecture solutions for high-performance interconnects
Tian et al. Grid-to-ports clock routing for high performance microprocessor designs
Golshan Floorplanning
Dai Dean of Graduate Studies and Research

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060510

A761 Written withdrawal of application

Free format text: JAPANESE INTERMEDIATE CODE: A761

Effective date: 20070731