JP2000266818A - Scan test circuit - Google Patents

Scan test circuit

Info

Publication number
JP2000266818A
JP2000266818A JP11072275A JP7227599A JP2000266818A JP 2000266818 A JP2000266818 A JP 2000266818A JP 11072275 A JP11072275 A JP 11072275A JP 7227599 A JP7227599 A JP 7227599A JP 2000266818 A JP2000266818 A JP 2000266818A
Authority
JP
Japan
Prior art keywords
clock
scan
test
delay
clk1
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP11072275A
Other languages
Japanese (ja)
Inventor
Tomomasa Murakami
倫正 村上
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Oki Electric Industry Co Ltd
Original Assignee
Oki Electric Industry Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Oki Electric Industry Co Ltd filed Critical Oki Electric Industry Co Ltd
Priority to JP11072275A priority Critical patent/JP2000266818A/en
Publication of JP2000266818A publication Critical patent/JP2000266818A/en
Pending legal-status Critical Current

Links

Landscapes

  • Tests Of Electronic Circuits (AREA)
  • Test And Diagnosis Of Digital Computers (AREA)

Abstract

PROBLEM TO BE SOLVED: To reduce a clock skew in a scan test without giving an influence on the performance of a clock in an ordinary operation by a method wherein a delay element is inserted into a test clock before the selector of the clock. SOLUTION: A signal test clock which is distributed so as to be used in a scan test is delayed in every clock buffer tree. A selector 10 which selects a clock used in an ordinary operation and a clock for the scan test is installed. The selector is installed in every clock buffer tree. Before the selector of every clock buffer tree, the test clock is delayed by every delay element. Every delay amount of every delay element is calculated by calculating every delay amount by the test clock of every clock buffer tree. As a result, a clock skew in a scan path can be seduced.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、LSI、ASICでのス
キャン・テスト時に於けるクロック・スキューを低減さ
せるスキャン・テスト用クロック回路である。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a scan test clock circuit for reducing clock skew in a scan test using an LSI or ASIC.

【0002】[0002]

【従来技術】LSI、ASIC等のテスト容易化の方式の一つ
としてスキャン回路が挙げられる。スキャン回路は、順
序回路及び順序回路間の組合せ回路のテストに対して用
いられる回路である。スキャン設計された順序回路で
は、フリップフロップを鎖状に接続しシフトレジスタと
して動作させる。これによって、回路は、各フリップフ
ロップに設定されたデータを直列に読み出すことが出
来、素子及び配線の不具合を検査することが出来る。
2. Description of the Related Art A scan circuit is one of methods for facilitating test of LSIs, ASICs and the like. The scan circuit is a circuit used for testing a sequential circuit and a combinational circuit between the sequential circuits. In a scan-designed sequential circuit, flip-flops are connected in a chain and operated as a shift register. Thus, the circuit can read out the data set in each flip-flop in series, and can inspect the elements and wiring for defects.

【0003】ところで、LSI、ASICでは、周波数の異な
るクロックを回路内に複数有する場合が普通である。こ
れらのうち、スキャン・テスト専用のクロック端子を持
たないLSI、ASICがある。これらのLSI、ASICは、複数の
クロックの中から1本を選択し、スキャン・テスト用の
クロックとしても使う。この場合、このクロックもしく
は端子は、本来のクロックの機能とスキャン・テスト用
クロックとして併用される。この場合、周波数は変更で
きる。
By the way, LSIs and ASICs usually have a plurality of clocks having different frequencies in a circuit. Among these, there are LSIs and ASICs that do not have a clock terminal dedicated to scan test. These LSIs and ASICs select one of a plurality of clocks and use it as a scan test clock. In this case, this clock or terminal is used in combination with the function of the original clock and the scan test clock. In this case, the frequency can be changed.

【0004】選択されたクロック以外のクロック系につ
いては、スキャン・テスト時用にスキャン・テスト用ク
ロックが分配される。そして、クロック・バッファ・ツ
リーの根元部に設けられたセレクタにより通常クロック
と、スキャン・テスト用クロックのどちらを使用するか
を選択する。
With respect to clock systems other than the selected clock, scan test clocks are distributed for scan test. Then, the selector provided at the root of the clock buffer tree selects which of the normal clock and the scan test clock is used.

【0005】図2に周波数の異なるクロックを2個有す
る従来技術の回路の例を示す。FF21,FF22,FF2
3,FF24はスキャン機能付きフリップフロップで、デ
−タ入力、デ−タ出力、スキャン入力、クロック端子を
有する。FF21,FF22,FF23,FF24は、シフトレ
ジスタを構成し、スキャン・パスとなっている。SEL1
0はセレクタで通常時とテスト時のクロックの選択を行
なう。Delay40はFF23からFF22のスキャン・パス
に生じるホ−ルド・タイム違反を解消するのに十分な大
きさの遅延を有する遅延素子である。
FIG. 2 shows an example of a prior art circuit having two clocks having different frequencies. FF21, FF22, FF2
Reference numeral 3 denotes a flip-flop with a scan function, which has a data input, a data output, a scan input, and a clock terminal. FF21, FF22, FF23, and FF24 form a shift register, and form a scan path. SEL1
Reference numeral 0 denotes a selector for selecting a clock between a normal time and a test time. Delay 40 is a delay element having a delay large enough to eliminate a hold time violation occurring in the scan path from FF23 to FF22.

【0006】遅延素子Delay40は、シフトレジスタの
デ−タのラインに遅延を起こしている。図の矢印は、ス
キャンパスを構成するデ−タのラインであることを示
す。図示しないが、FF21とFF22の間及びFF23とFF
24の間にもスキャン・パスを構成するデ−タのライン
が存在している。
The delay element Delay 40 delays the data line of the shift register. The arrows in the figure indicate data lines constituting the scan path. Although not shown, between FF21 and FF22 and between FF23 and FF
Data lines constituting a scan path also exist between the lines 24.

【0007】通常動作時はセレクタSEL10においてTMO
DE=0とすることにより、FF21/FF22はCLK1、FF23/
FF24はCLK2により動作する。そのCLKの状態を図3に
示す。CLK1とCLK2は周波数、位相がともに異なってい
る。それぞれは、独自の周波数で動作している。
At the time of normal operation, TMO is applied to the selector SEL10.
By setting DE = 0, FF21 / FF22 becomes CLK1, FF23 /
The FF 24 operates with CLK2. FIG. 3 shows the state of CLK. CLK1 and CLK2 have different frequencies and phases. Each operates at its own frequency.

【0008】スキャン・テスト時にはセレクタSEL10
をTMODE=1とする。これによりクロックはセレクタSEL1
0によってCLK1が選択される。よって、全てのフリップ
フロップがCLK1に基本的に同期して、つまり遅延を除け
ばCLK1でスキャン動作を行なうということになる。この
とき、FF21,FF22,FF23,FF24に入力されるテ
スト・クロックの周波数は当然CLK1の周波数と同じで
ある。
During the scan test, the selector SEL10
Is set to TMODE = 1. As a result, the clock is switched to the selector SEL1
CLK1 is selected by 0. Therefore, all the flip-flops basically perform the scan operation in synchronization with CLK1, that is, with CLK1 except for the delay. At this time, the frequency of the test clock input to FF21, FF22, FF23, and FF24 is naturally the same as the frequency of CLK1.

【0009】しかし、通常動作時に於いてクロックCLK1
とCLK2に接続される末段のフリップ・フロップの数、要
求されるスキュー値、ACスペックなどの仕様が異なる。
このことから、クロック・バッファの段数は、CLK1のク
ロック・バッファ・ツリ−とCLK2のクロック・バッファ
・ツリ−で異なる。このため、テスト時のCLK1のクロッ
ク・バッファ・ツリーの遅延と、通常時にCLK2で動くと
ころにCLK1を入力した場合のクロック・バッファ・ツ
リーの遅延は当然異なってくる。この状態のクロックの
例を図4に示す。
However, during normal operation, the clock CLK1
The specifications such as the number of flip-flops at the last stage connected to CLK2, the required skew value, and AC specifications are different.
Therefore, the number of stages of the clock buffer differs between the clock buffer tree of CLK1 and the clock buffer tree of CLK2. For this reason, the delay of the clock buffer tree of CLK1 at the time of the test and the delay of the clock buffer tree when CLK1 is input to the place where it operates with CLK2 during normal operation are naturally different. FIG. 4 shows an example of the clock in this state.

【0010】図4では、CLK1の入力から各バッファに
より遅延が生じ、異なる遅延量になっているCLK1系、C
LK1−2系が示されている。図4のタイムチャ−トのCL
K1系は、図2に示すスキャン・テスト用のFF21、FF2
2を動作させるクロックである。CLK1−2系は、スキ
ャン・テスト用のFF23、FF24を動作させるCLKであ
る。CLK1−2系とは、CLK1を通常時にCLK2で動作す
る回路に入力したということを意味している。ここで
は、図2に示すCLK1−2系の箇所とする。
In FIG. 4, a delay is caused by each buffer from the input of CLK1, and the CLK1 system, C
The LK1-2 system is shown. CL of the time chart of FIG.
The K1 system is FF21, FF2 for scan test shown in FIG.
2 is a clock for operating the second clock. The CLK1-2 system is a CLK for operating the scan test FFs 23 and 24. The CLK1-2 system means that CLK1 is input to a circuit that operates with CLK2 during normal times. Here, it is assumed to be a CLK1-2 system portion shown in FIG.

【0011】このようなテスト時に、スキャン・パスは
FF23からFF22の間のように異なるクロック系統に跨
ることになる。このようなスキャン・パスに於いてはデ
ータのホ−ルド・タイム不足が発生することが考えられ
る。つまり、クロック系統がCLK1−2系からCLK1系に
変わるので、遅延の違いにより同一タイミングでないク
ロックでFF22がラッチするためである。
At the time of such a test, the scan path is
It crosses over different clock systems like between FF23 and FF22. In such a scan path, a shortage of data hold time may occur. That is, because the clock system changes from the CLK1-2 system to the CLK1 system, the FF 22 latches with clocks that are not at the same timing due to a difference in delay.

【0012】この状態のタイムチャ−トを図5に示す。
CLK1系は、通常時CLK1で動作を行う回路でCLK1が遅
延したものであり、FF21、FF22のクロックである。
CLK1系の立ち上がり時のあとのScanData FF2入力の
デ−タ確定時間が短く、ホ−ルド・タイムを確保できな
い。CLK1−2系は、CLK1がSEL10で選択されて、通
常時にはCLK2が通過するバッファを通ったもので図2
に示すとおりFF23、FF24のクロックである。
FIG. 5 shows a time chart in this state.
The CLK1 system is a circuit that operates with the clock CLK1 in a normal state, and is a delayed version of the clock CLK1, and is a clock of the FF21 and the FF22.
The data determination time of the ScanData FF2 input after the rise of the CLK1 system is short, and the hold time cannot be secured. In the CLK1-2 system, CLK1 is selected by SEL10 and normally passes through a buffer through which CLK2 passes.
Are the clocks of FF23 and FF24.

【0013】現状、異なる周波数のクロックに跨るスキ
ャン・パスに於いてホールド・タイム不足が発生する場
合には、デ−タのラインに遅延素子を挿入することによ
って対策を施している。この状態のタイムチャ−トを図
6に示す。ScanData FF2入力のデ−タ確定時間は遅延
素子を経ているため遅れている。よって、CLK1系の立
ち上がり後にも、ScanData FF2のデ−タ確定時間が充分
にある。これによりCLK1系とScanData FF2入力のタイ
ミング関係は、ホ−ルド・タイムを確保できる。
At present, when a shortage of the hold time occurs in a scan path spanning clocks of different frequencies, a countermeasure is taken by inserting a delay element into a data line. FIG. 6 shows a time chart in this state. The data determination time of ScanData FF2 input is delayed because it passes through a delay element. Therefore, even after the rise of the CLK1 system, the data determination time of ScanData FF2 is sufficient. As a result, a hold time can be secured for the timing relationship between the CLK1 system and the ScanData FF2 input.

【0014】また、図8に異なるクロックが4種類ある
場合の回路構成例を示す。FF21,FF22,FF23,FF
24,FF25,FF26,FF27,FF28は、シフトレジ
スタを構成し、スキャン・パスとなっている。SEL1
0,SEL11,SEL12はクロック選択のセレクタであ
る。Buffer30,31,32,33,34,35,3
6,37,38,39,60,61,62,63は、ク
ロック用のバッファである。
FIG. 8 shows an example of a circuit configuration when there are four different clocks. FF21, FF22, FF23, FF
24, FF25, FF26, FF27, and FF28 constitute a shift register and constitute a scan path. SEL1
0, SEL11 and SEL12 are clock selection selectors. Buffer 30, 31, 32, 33, 34, 35, 3
6, 37, 38, 39, 60, 61, 62 and 63 are clock buffers.

【0015】この例では、スキャン・パスにおいてシフ
トレジスタの間でクロック系統が変わるところに遅延素
子を挿入している。CLK1とCLK2の間のスキャン・パス
にDelay41,CLK2とCLK3の間のスキャン・パスにDela
y42,CLK3とCLK4の間のスキャン・パスにDelay43が
挿入されている。動作原理は図2の場合の積み重ねであ
る。
In this example, delay elements are inserted where the clock system changes between shift registers in the scan path. Delay 41 on the scan path between CLK1 and CLK2, and Dela on the scan path between CLK2 and CLK3.
y42, Delay 43 is inserted in the scan path between CLK3 and CLK4. The principle of operation is the stacking in the case of FIG.

【0016】[0016]

【発明が解決しようとする課題】現状では、設計者が問
題となるスキャン・パスに対して個別にホールド・タイ
ム対策用の遅延素子を挿入している。このため、ホール
ド・タイム不足のスキャン・パスの数が増えるに伴って
本来の論理とは無関係な遅延素子が増えることになる。
これでは、消費電力や面積が増加する。また、ホールド
・タイム不足の発生するパスや、対策に必要な遅延の大
きさはレイアウト結果により変わってくる。このため、
現状ではレイアウト後の遅延シミュレーション結果を見
ながら人手により素子の挿入を行なっている。これが設
計期間増大の一つの原因となっている。
At present, a designer inserts a delay element for hold time countermeasures individually into a scan path in question. Therefore, as the number of scan paths having a short hold time increases, the number of delay elements irrelevant to the original logic increases.
This increases power consumption and area. In addition, the path where the hold time is insufficient and the magnitude of the delay required for the countermeasure vary depending on the layout result. For this reason,
At present, elements are manually inserted while viewing the delay simulation results after layout. This is one cause of an increase in the design period.

【0017】[0017]

【課題を解決するための手段】2種類以上のクロック・
バッファ・ツリ−を有する電子回路で、スキャン・テス
ト時は、各2種類以上のクロック・バッファ・ツリ−の
各セレクタにより2種類以上のクロック・バッファ・ツ
リ−のクロックを単一のテスト・クロックにそれぞれ切
替えるスキャン・テスト回路において、2種類以上のク
ロック・バッファ・ツリ−ごとの遅延素子をテスト・ク
ロックに各セレクタの前段で入れ、テスト・クロックを
それぞれの遅延量を遅延させることにより、スキャン・
パスのタイミング調整を行うことを特徴とするスキャン
・テスト回路。
[MEANS FOR SOLVING THE PROBLEMS] Two or more clocks
An electronic circuit having a buffer tree. During a scan test, the clocks of two or more types of clock buffer trees are converted into a single test clock by each selector of two or more types of clock buffer trees. In the scan test circuit, the delay elements for each of two or more types of clock buffer trees are added to the test clock at a stage preceding each selector, and the test clocks are delayed by respective delay amounts to perform the scan.・
A scan test circuit for performing path timing adjustment.

【0018】各遅延素子の各遅延量は、各2種類以上の
クロック・バッファ・ツリ−においてテスト・クロック
で動作したときの各テスト・クロック遅延をそれぞれ比
較し、スキャン・パスのタイミングを成立させるために
それぞれ計算した各遅延量であるスキャン・テスト回
路。
Each delay amount of each delay element is compared with each test clock delay when each of the two or more types of clock buffer trees is operated with a test clock to establish a scan path timing. Test circuit which is each delay amount calculated for each.

【0019】[0019]

【発明の実施の形態】第1の実施例を説明する。図1に
本発明の実施例の回路構成を示す。クロック・バッファ
・ツリ−とスキャン・テスト用のフリップフロップを示
したものである。FF21,FF22,FF23,FF24はス
キャン機能付きフリップフロップで、データ入力、デー
タ出力、スキャン入力、クロック端子を有する。
DESCRIPTION OF THE PREFERRED EMBODIMENTS A first embodiment will be described. FIG. 1 shows a circuit configuration of an embodiment of the present invention. FIG. 3 shows a clock buffer tree and a flip-flop for a scan test. FF21, FF22, FF23, and FF24 are flip-flops with a scan function and have a data input, a data output, a scan input, and a clock terminal.

【0020】FF21〜FF24はシフトレジスタを構成
し、スキャン・パスとなっている。矢印はスキャン・パ
スであるとともにデ−タのラインであることを示す。ス
キャン・パスは、スキャン・テスト時に取得するスキャ
ン・デ−タを保持するフリップフロップでシフトレジス
タを構成されている。スキャン・パスは、スキャン・テ
ストのときのデ−タの読み出し経路である。
FF21 to FF24 constitute a shift register, and constitute a scan path. Arrows indicate a scan path and a data line. The scan path has a shift register composed of flip-flops that hold scan data acquired during a scan test. The scan path is a data read path at the time of a scan test.

【0021】図示しないが、FF21とFF22,FF23と
FF24の間にもデ−タのラインがあり、シフトレジスタ
を構成し、スキャン・パスとなっている。また、各CLK
系統にバッファ(Buffer)が挿入されている。バッファ
の数、構成は、従来技術に記載したように各CLK系統に
より異なる。
Although not shown, FF21 and FF22, FF23
There is also a data line between the FFs 24, which constitutes a shift register and forms a scan path. In addition, each CLK
A buffer is inserted in the system. The number and configuration of the buffers differ for each CLK system as described in the prior art.

【0022】バッファは、クロックをドライブさせるた
めに入れられている。バッファ30,31,32,3
3,34は、通常動作時にはCLK1が通る。バッファ3
5,36,37は、通常動作時にはCLK2が通る。図1に
示すとおり、従来技術と同様にFF21、FF22の入力ク
ロックをCLK1系とする。また、FF23、FF24の入力クロッ
クをCLK1−2系とする。
A buffer is provided to drive the clock. Buffers 30, 31, 32, 3
CLK1 passes during normal operation. Buffer 3
CLK2 passes through 5, 36 and 37 during normal operation. As shown in FIG. 1, the input clocks of the FF21 and FF22 are set to the CLK1 system as in the prior art. The input clocks of FF23 and FF24 are CLK1-2.

【0023】SEL10はセレクタであり、通常時とスキ
ャン・テスト時のクロックの選択を行なう。Delay50
はCLK1系とCLK1−2系の遅延差分の大きさを持つ遅延素
子である。つまり、本発明の回路構成は、デ−タではな
くテスト・クロックを遅延素子で遅らせるものである。
遅延素子はクロック用のものを選択する。Delay50の
遅延量は、CLK1系とCLK1−2系のクロック・バッファ
・ツリ−における遅延量を算出し、その差を取ったもの
にする。
SEL 10 is a selector, which selects a clock during normal operation and during a scan test. Delay50
Is a delay element having the magnitude of the delay difference between the CLK1 system and the CLK1-2 system. That is, the circuit configuration of the present invention delays the test clock, not the data, by the delay element.
The delay element is selected for the clock. The delay amount of the Delay 50 is obtained by calculating the delay amount in the clock buffer tree of the CLK1 system and the CLK1-2 system and taking the difference.

【0024】次に、本発明の動作を説明する。通常動作
時はセレクタSEL10をTMODE=0とすることにより、FF21
/FF22のクロック・バッファ・ツリ−にはCLK1が、FF
23/FF24のクロック・バッファ・ツリ−にはCLK2が
供給されている。CLK1とCLK2では周波数が異なる。こ
れらのクロックにより、図示しない各回路は通常動作す
る。このときは、スキャン・テストは行われないので、
FF21〜FF24のシフトレジスタは動作しない。
Next, the operation of the present invention will be described. During normal operation, by setting the selector SEL10 to TMODE = 0, the FF21
The clock buffer tree of / FF22 contains CLK1 and FF22.
CLK2 is supplied to the clock buffer tree of the 23 / FF24. CLK1 and CLK2 have different frequencies. With these clocks, each circuit (not shown) operates normally. At this time, since no scan test is performed,
The shift registers FF21 to FF24 do not operate.

【0025】スキャン・テスト時にはセレクタSEL10
のTMODE=1とすることによりテスト・クロックとしてCLK
1が選択される。よって、全てのフリップフロップ、FF
21〜FF24がCLK1に基本的に同期してスキャン動作を
行なう。このとき、FF21〜FF24に入力されるテスト
・クロックの周波数は同じである。
During the scan test, the selector SEL10
By setting TMODE = 1 to CLK as the test clock
1 is selected. Therefore, all flip-flops, FF
21 to FF24 perform a scan operation basically in synchronization with CLK1. At this time, the frequency of the test clock input to FF21 to FF24 is the same.

【0026】図1に示すようにFF21,FF22は、CLK
1が遅延したCLK1系により動作する。FF23,FF24は
選択されたCLK1が遅延素子Delay50、セレクタSEL1
0、バッファ35、36等により遅延したCLK1−2系に
より動作する。
As shown in FIG. 1, FF21 and FF22 are CLK
1 operates with the CLK1 system delayed. In FF23 and FF24, the selected CLK1 is a delay element Delay50 and a selector SEL1.
0, and operates by the CLK1-2 system delayed by the buffers 35, 36 and the like.

【0027】図7に本発明のスキャン・テスト時のタイ
ムチャ−トを示す。ここで、セレクタSEL10の前段に
挿入された遅延素子Delay50により、スキャン・テス
ト時のCLK1を基にしたクロック・バッファ・ツリーの遅
延は、CLK1系とCLK1−2系でほぼ等しい。つまり、CL
K1系とCLK1−2系は周波数が同じで位相がほぼ等し
い。これは、遅延素子のDelay50で、計算された遅延
量でCLK2系を遅延させているからである。
FIG. 7 shows a time chart at the time of the scan test of the present invention. Here, the delay of the clock buffer tree based on CLK1 at the time of the scan test due to the delay element Delay50 inserted before the selector SEL10 is substantially equal between the CLK1 system and the CLK1-2 system. That is, CL
The K1 system and the CLK1-2 system have the same frequency and almost the same phase. This is because the Delay2 of the delay element delays the CLK2 system by the calculated delay amount.

【0028】このCLK1−2系によりFF23,FF24は
動作し、スキャン・デ−タを出力する。その結果、Scan
Data FF3出力は遅れることになるのでScanData FF2入力
も遅れる。したがって、FF22においてCLK1系で動作
するときに、CLK1系の立ち上がり後のScanData FF2
入力のデ−タの確定時間が充分にある。
The FF23 and FF24 operate according to the CLK1-2 system and output scan data. As a result, Scan
Since the output of Data FF3 will be delayed, the input of ScanData FF2 will also be delayed. Therefore, when the FF 22 operates in the CLK1 system, the ScanData FF2 after the rise of the CLK1 system
There is sufficient time to determine the input data.

【0029】よって、タイミング関係としては、ホ−ル
ド・タイムを充分確保できる。これにより、FF23か
らのスキャン・デ−タを確実にラッチすることができる
ので、スキャン・テストが適確に行える。これにより、
クロック・スキュ−が低減されたことになる。
As a result, a sufficient hold time can be secured as a timing relationship. As a result, the scan data from the FF 23 can be reliably latched, so that the scan test can be accurately performed. This allows
Clock skew has been reduced.

【0030】以下に第2の実施例を示す。第1の実施例
では、2種類のクロックを有する回路について示した
が、3種類以上のクロックの場合についても同様な回路
構成を取ることにより、スキャン・テスト時のクロック
・スキュー低減に利用出来る。
A second embodiment will be described below. In the first embodiment, a circuit having two types of clocks has been described. However, a similar circuit configuration for three or more types of clocks can be used to reduce clock skew during a scan test.

【0031】ここでは、4種類のクロックの場合を例に
説明する。図9は、4種類のクロックの場合の回路構成
例である。構成は、各クロック毎にテスト・クロックで
あるCLK1に個別遅延量の遅延素子Delay51,Delay5
2,Delay53を各セレクタSEL10、SEL11、SEL12
の前に入れている。
Here, the case of four types of clocks will be described as an example. FIG. 9 is a circuit configuration example in the case of four types of clocks. The configuration is such that the delay elements Delay 51 and Delay 5 each having an individual delay amount are added to the test clock CLK 1 for each clock.
2, Delay 53, each selector SEL10, SEL11, SEL12
Put in front of.

【0032】各遅延素子の各遅延量は、テスト・クロッ
クを入れた場合の遅延を基にした計算による。FF21,
FF22,FF23,FF24,FF25,FF26,FF27,FF
28は、シフトレジスタを構成し、スキャン・パスとな
っている。
Each delay amount of each delay element is based on a calculation based on a delay when a test clock is applied. FF21,
FF22, FF23, FF24, FF25, FF26, FF27, FF
Reference numeral 28 denotes a shift register, which is a scan path.

【0033】通常時に、CLK2が通るバッファは、バッ
ファ35,36,37,68,69である。通常時にCL
K3が通るバッファは、バッファ38,39,60,6
4,65である。通常時にCLK4が通るバッファは、バッ
ファ61,62,63,66,67である。
Normally, the buffers through which CLK2 passes are buffers 35, 36, 37, 68 and 69. Normally CL
Buffers through which K3 passes are buffers 38, 39, 60, and 6
4,65. Buffers through which CLK4 normally passes are buffers 61, 62, 63, 66, and 67.

【0034】動作は、スキャン・テスト時に実施例1の
動作を各クロック間で繰り返すことになる。遅延素子De
lay53とセレクタSEL12、バッファ61、66、62
等により、遅延されたテスト・クロックでFF27からス
キャン・デ−タが出力される。
The operation is that the operation of the first embodiment is repeated between each clock at the time of the scan test. Delay element De
lay53, selector SEL12, buffers 61, 66, 62
Thus, scan data is output from the FF 27 with the delayed test clock.

【0035】FF26ではテスト・クロックが遅延素子De
lay52とセレクタSEL11、バッファ38、64、39
等で遅延したテスト・クロックでFF27からのスキャン
・デ−タを保持する。これは、遅延量が違っても第1の
実施例と同じ原理である。これをFF25とFF24間でも
繰り返す。FF23とFF22間も同様である。
In the FF 26, the test clock is a delay element De.
lay52, selector SEL11, buffers 38, 64, 39
The scan data from the FF 27 is held by the test clock delayed by the above. This is the same principle as in the first embodiment even if the delay amount is different. This is repeated between FF25 and FF24. The same applies between FF23 and FF22.

【0036】[0036]

【発明の効果】スキャン・テスト時のクロック間の遅延
差分を調整するための遅延素子をクロック・バッファ・
ツリーの根元部分に挿入する。つまり、クロックのセレ
クタの前でテスト・クロックに遅延素子に挿入する。こ
れにより、通常動作時のクロック性能に影響を与えるこ
と無く、スキャン・テスト時のクロック・スキューを低
減することが出来る。
According to the present invention, a delay element for adjusting a delay difference between clocks during a scan test is provided by a clock buffer.
Insert at the root of the tree. In other words, the test clock is inserted into the delay element before the clock selector. As a result, the clock skew during the scan test can be reduced without affecting the clock performance during the normal operation.

【0037】また、このような回路を用いることによ
り、ホールド・タイム違反が発生していた異なるクロッ
ク系間にまたがるスキャン・パスに対して個別に挿入し
ていた遅延素子が不用となる。よって、設計の容易化、
消費電力、面積を小さくすることが可能となる。
Further, by using such a circuit, the delay element individually inserted into the scan path extending between different clock systems in which the hold time violation has occurred becomes unnecessary. Therefore, simplification of design,
Power consumption and area can be reduced.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明で実現したスキャン・テスト用クロック
回路
FIG. 1 is a scan test clock circuit realized by the present invention.

【図2】従来技術で実現したスキャン・テスト用クロッ
ク回路
FIG. 2 is a clock circuit for scan test realized by the prior art.

【図3】通常時のクロックのタイムチャ−トFIG. 3 is a time chart of a normal clock.

【図4】スキャン・テスト時のクロックのタイムチャ−
FIG. 4 is a timing chart of a clock during a scan test.
G

【図5】スキャン・テストで未対策時のタイムチャ−トFIG. 5 is a time chart when no measures are taken in a scan test.

【図6】スキャン・テストで従来技術による対策時のタ
イムチャ−ト
FIG. 6 is a time chart at the time of countermeasures by a conventional technique in a scan test.

【図7】スキャン・テストで本発明による対策時のタイ
ムチャ−ト
FIG. 7 is a time chart for a countermeasure according to the present invention in a scan test.

【図8】4系統の異なるクロックを有する場合の従来技
術のスキャン・テスト用クロック回路
FIG. 8 shows a conventional scan test clock circuit having four different clocks.

【図9】4系統の異なるクロックを有する場合の本発明
のスキャン・テスト用クロック回路
FIG. 9 shows a scan test clock circuit according to the present invention when four different clocks are provided.

【符号の説明】[Explanation of symbols]

10、11、12 SEL(セレクタ) 11 、22、23、24、25、26、27、28 F
F(フリップフロップ) 12、31、32、33、34、35、36,37、3
8、39、60、61、62、63、64、65、6
6、67 Buffer(バッファ) 、41、42、43、50、51、52、53 Delay
(遅延素子)
10, 11, 12 SEL (selector) 11, 22, 23, 24, 25, 26, 27, 28 F
F (flip-flop) 12, 31, 32, 33, 34, 35, 36, 37, 3
8, 39, 60, 61, 62, 63, 64, 65, 6
6, 67 Buffer, 41, 42, 43, 50, 51, 52, 53 Delay
(Delay element)

Claims (2)

【特許請求の範囲】[Claims] 【請求項1】 2種類以上のクロック・バッファ・ツリ
−を有する電子回路で、スキャン・テスト時は、各前記
2種類以上のクロック・バッファ・ツリ−の各セレクタ
により前記2種類以上のクロック・バッファ・ツリ−の
クロックを単一のテスト・クロックにそれぞれ切替える
スキャン・テスト回路において、 前記2種類以上のクロック・バッファ・ツリ−ごとの遅
延素子を前記テスト・クロックに各前記セレクタの前段
で入れ、前記テスト・クロックをそれぞれの遅延量を遅
延させることにより、スキャン・パスのタイミング調整
を行うことを特徴とするスキャン・テスト回路。
An electronic circuit having two or more types of clock buffer trees, wherein at the time of a scan test, each of the two or more types of clock buffer trees is selected by each selector of the two or more types of clock buffer trees. In a scan test circuit for switching a clock of a buffer tree to a single test clock, a delay element for each of the two or more types of clock buffer trees is inserted into the test clock at a stage preceding each of the selectors. A scan test circuit for adjusting the timing of a scan path by delaying the test clock by a delay amount.
【請求項2】 各前記遅延素子の各前記遅延量は、 各前記2種類以上のクロック・バッファ・ツリ−におい
て前記テスト・クロックで動作したときの各テスト・ク
ロック遅延をそれぞれ比較し、 前記スキャン・パスのタイミングを成立させるためにそ
れぞれ計算した各前記遅延量である請求項1のスキャン
・テスト回路。
2. The delay amount of each of the delay elements is compared with each test clock delay when each of the two or more clock buffer trees operates with the test clock, and the scan is performed. 2. The scan test circuit according to claim 1, wherein each of the delay amounts is calculated to establish a path timing.
JP11072275A 1999-03-17 1999-03-17 Scan test circuit Pending JP2000266818A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP11072275A JP2000266818A (en) 1999-03-17 1999-03-17 Scan test circuit

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP11072275A JP2000266818A (en) 1999-03-17 1999-03-17 Scan test circuit

Publications (1)

Publication Number Publication Date
JP2000266818A true JP2000266818A (en) 2000-09-29

Family

ID=13484583

Family Applications (1)

Application Number Title Priority Date Filing Date
JP11072275A Pending JP2000266818A (en) 1999-03-17 1999-03-17 Scan test circuit

Country Status (1)

Country Link
JP (1) JP2000266818A (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6502222B1 (en) * 2000-09-28 2002-12-31 Lsi Logic Corporation Method of clock buffer partitioning to minimize clock skew for an integrated circuit design
JP2010520480A (en) * 2007-03-08 2010-06-10 シリコン イメージ,インコーポレイテッド Circuit to prevent peak power problem during scan shift
JP2019046087A (en) * 2017-08-31 2019-03-22 株式会社リコー Semiconductor design assisting device, semiconductor design assisting method, and program

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6502222B1 (en) * 2000-09-28 2002-12-31 Lsi Logic Corporation Method of clock buffer partitioning to minimize clock skew for an integrated circuit design
JP2010520480A (en) * 2007-03-08 2010-06-10 シリコン イメージ,インコーポレイテッド Circuit to prevent peak power problem during scan shift
KR101421479B1 (en) 2007-03-08 2014-07-22 실리콘 이미지, 인크. Circuitry to prevent peak power problems during scan shift
JP2019046087A (en) * 2017-08-31 2019-03-22 株式会社リコー Semiconductor design assisting device, semiconductor design assisting method, and program
JP7069608B2 (en) 2017-08-31 2022-05-18 株式会社リコー Semiconductor design support device, semiconductor design support method and program

Similar Documents

Publication Publication Date Title
US5198758A (en) Method and apparatus for complete functional testing of a complex signal path of a semiconductor chip
US5878055A (en) Method and apparatus for verifying a single phase clocking system including testing for latch early mode
US8065549B2 (en) Scan-based integrated circuit having clock frequency divider
US5774474A (en) Pipelined scan enable for fast scan testing
US6145105A (en) Method and apparatus for scan testing digital circuits
US6070260A (en) Test methodology based on multiple skewed scan clocks
US20060242506A1 (en) High-speed level sensitive scan design test scheme with pipelined test clocks
US7712002B2 (en) Test circuit for semiconductor integrated circuit
US9891279B2 (en) Managing IR drop
US20050055614A1 (en) Multi-clock domain logic system and related method
JP4512934B2 (en) Layout design and timing adjustment method and apparatus for logically designed integrated circuit, program for executing the method, and computer-readable recording medium on which the program is recorded
JP2000266818A (en) Scan test circuit
JP3987585B2 (en) Core test control
JP3363691B2 (en) Semiconductor logic integrated circuit
US20050005216A1 (en) Electronic component
US6901544B1 (en) Scan chain testing of integrated circuits with hard-cores
US7373571B2 (en) Achieving desired synchronization at sequential elements while testing integrated circuits using sequential scan techniques
US6185720B1 (en) Slaveless synchronous system design
JP3339479B2 (en) Clock control circuit and method
US6748563B1 (en) Method and apparatus for testing path delays in a high-speed boundary scan implementation
JP2003216271A (en) Semiconductor integrated circuit
JP4186559B2 (en) Scan flip-flop
JP2004037264A (en) Flip-flop circuit with scanning function, and scanning test circuit
JP2008219535A (en) Synchronous circuit
JPH07312591A (en) Clock phase control circuit

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050825

RD01 Notification of change of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7421

Effective date: 20060923

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20060929

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20061013

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20081112

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20081118

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20090310