JP2000250946A - Method and device for test facilitating design of lsi circuit and computer-readable recording medium where test facilitating design processing program is recorded - Google Patents

Method and device for test facilitating design of lsi circuit and computer-readable recording medium where test facilitating design processing program is recorded

Info

Publication number
JP2000250946A
JP2000250946A JP11048422A JP4842299A JP2000250946A JP 2000250946 A JP2000250946 A JP 2000250946A JP 11048422 A JP11048422 A JP 11048422A JP 4842299 A JP4842299 A JP 4842299A JP 2000250946 A JP2000250946 A JP 2000250946A
Authority
JP
Japan
Prior art keywords
test point
test
failure
fault
detection rate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP11048422A
Other languages
Japanese (ja)
Inventor
Kenichi Yasukura
藏 顕 一 安
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP11048422A priority Critical patent/JP2000250946A/en
Publication of JP2000250946A publication Critical patent/JP2000250946A/en
Pending legal-status Critical Current

Links

Abstract

PROBLEM TO BE SOLVED: To prevent the chip size from increasing as much as possible and to obtain a high fault detection rate by providing a means which obtains the fault detection rate and fault stop information relating to signal lines and a means which determines a test point insertion point according to the fault detection rate and fault stop information. SOLUTION: A fault simulation part 31 inputs test patterns to the LSI circuit and performs fault simulation, and fault stop information relating to the respective signal lines is recorded and held in the corresponding fault stop information list as the accumulated value of the patterns. The fault simulation part 33 obtains fault detection rate from detected faults. Then an insertion effect evaluation part 34 evaluates whether more faults than determined are newly detected and the fault detection rate is improved. Consequently, when the fault detection rate is improved, selected signal lines are registered in a test point insertion point list 35.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、LSI回路のテス
ト容易化設計方法および装置ならびにテスト容易化設計
処理プログラムを記録したコンピュータ読取り可能な記
録媒体に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method and apparatus for designing a testable LSI circuit, and a computer-readable recording medium storing a testable design processing program.

【0002】[0002]

【従来の技術】一般に大規模かつ複雑なLSI回路をテ
ストするためのテストパターンの作成作業は、LSIの
設計期間の大きな部分を占める。通常は設計機能検証の
ために作成したテストパターンから故障検出率の高くな
る組合わせを選んで、製造試験に用いることが多いが、
この場合問題になるのは、選択したテストパターンの品
質が製造試験に充分と考えられる故障検出率に達しない
事が多いという事である。テストパターンは設計通りに
各機能が動作することを基準として作成されるが、これ
は回路内の故障が検出されるかという基準とは異なるも
のである。また、大規模回路では故障検出率を求めるた
めの故障シミュレーションの実行に膨大な時間がかかる
ため、故障をサンプリングしての故障シミュレーション
を行ったり、論理シミュレーションで求められる各信号
の活性化率をもとにパターンを選択したりする。これら
の場合には故障検出率が正確に求められないことにな
る。
2. Description of the Related Art In general, the work of creating a test pattern for testing a large-scale and complicated LSI circuit occupies a large part of the LSI design period. Usually, a combination that increases the fault detection rate is selected from the test patterns created for design function verification and used in manufacturing tests in many cases.
In this case, the problem is that the quality of the selected test pattern often does not reach the failure detection rate considered to be sufficient for the manufacturing test. The test pattern is created on the basis that each function operates as designed, but this is different from the criterion on whether a failure in the circuit is detected. In a large-scale circuit, it takes an enormous amount of time to execute a fault simulation for finding a fault detection rate.Therefore, a fault simulation is performed by sampling faults, and an activation rate of each signal obtained by a logic simulation is also reduced. And select a pattern. In these cases, the failure detection rate cannot be obtained accurately.

【0003】テスト容易化設計(以下DFT(Desi
gn For Testability)とも言う)
は、LSI回路にできるだけ小規模のテスト回路を付加
する事によりLSI回路のテスト容易性を高め、テスト
パターンの作成期間やテストパターンサイズ、テスト時
間、最終的な故障検出率を最適化するための技術であ
る。
[0003] Design for testability (hereinafter referred to as DFT (Design
gn For Testability)
Is to improve the testability of the LSI circuit by adding a test circuit as small as possible to the LSI circuit, and to optimize the test pattern creation period, test pattern size, test time, and final failure detection rate. Technology.

【0004】このテスト容易化設計技術の1つとして、
スキャン設計が広く知られている。このスキャン設計
は、図16に示すように順序回路(入力および回路内部
の状態によって出力が決定される回路)内のすべてのレ
ジスタ95(フリップフロップあるいはラッチ)をスキ
ャンレジスタと呼ばれる特殊なレジスタに置き換え、1
つ以上のシフトレジスタとしてシリアルに接続されるよ
うに構成される。なお図16において、90は半導体集
積回路装置の組合せ回路(入力だけで出力が決定される
回路)、91は外部入力端子であり、92は外部出力端
子、96はシフト入力端子、97はクロック入力、98
はシフト出力端子である。このようにスキャンレジスタ
を用いることにより、通常は難しい回路内部のレジスタ
の制御・観測を、外部入力端子91と、外部出力端子9
2と、シリアル入力96と、シリアル出力98とを用い
て直接行えるようになる。これにより、テストパターン
の作成作業が大幅に単純化される。特にプログラムによ
るテストパターン自動生成手段(ATPG:Autom
atic Test Pattern Generat
ion)を用いて、高い故障検出率を持つテストパター
ンを短期間で作成することが可能になる。
One of the design techniques for testability is as follows.
Scan design is widely known. In this scan design, as shown in FIG. 16, all registers 95 (flip-flops or latches) in a sequential circuit (a circuit whose output is determined by an input and an internal state of the circuit) are replaced with a special register called a scan register. , 1
It is configured to be serially connected as one or more shift registers. In FIG. 16, reference numeral 90 denotes a combinational circuit (a circuit whose output is determined only by an input) of a semiconductor integrated circuit device, 91 denotes an external input terminal, 92 denotes an external output terminal, 96 denotes a shift input terminal, and 97 denotes a clock input. , 98
Is a shift output terminal. By using the scan register in this manner, control and observation of the register inside the circuit, which is normally difficult, can be performed by the external input terminal 91 and the external output terminal 9.
2, serial input 96, and serial output 98. This greatly simplifies the task of creating test patterns. In particular, automatic test pattern generation means by a program (ATPG: Autom
atic Test Pattern Generat
(ion), a test pattern having a high failure detection rate can be created in a short period of time.

【0005】スキャン設計の利点は、全てのレジスタを
直接制御・観測できることから、順序回路を仮想的に組
合せ回路として扱えるということにある。しかし回路規
模の増大や回路の複雑化により、この組合せ回路部分の
規模が増大または複雑化し、プログラムでの処理に時間
を要するようになる。また、回路面積の増大を抑えるた
めに一部のレジスタのみをスキャンレジスタに置き換え
る部分スキャン手法を用いると、スキャンレジスタに置
き換えられていないレジスタの順序的な動作を考慮して
テストパターンの作成を行わなければならないため、パ
ターン作成時間が増大する。また、テストパターン自動
生成の処理時間も増大したり、充分な故障検出率を持つ
パターンを作成できなかったりする。
An advantage of the scan design is that all registers can be directly controlled and observed, so that a sequential circuit can be virtually treated as a combinational circuit. However, due to an increase in the circuit scale and the complexity of the circuit, the scale of the combinational circuit part is increased or complicated, and the processing by the program requires time. In addition, when a partial scan method in which only some registers are replaced with scan registers is used to suppress an increase in circuit area, test patterns are created in consideration of the sequential operation of registers not replaced with scan registers. Must be performed, so that the pattern creation time increases. In addition, the processing time for automatic test pattern generation increases, and a pattern having a sufficient failure detection rate cannot be created.

【0006】このような場合、回路内のレジスタ以外の
論理回路の構造を変えて、テスト容易性を高めることが
必要となる。このような手法のうち、内部の信号線のテ
スト容易性を高めるテストポイント挿入の手法が、伝統
的に広く用いられている。
In such a case, it is necessary to improve the testability by changing the structure of the logic circuit other than the register in the circuit. Among such methods, a method of inserting a test point for improving testability of an internal signal line has been widely used.

【0007】被テスト回路のテスト容易性を評価・改善
するのに用いられる主な2つの概念は、制御性と観測性
である。制御性は、ある信号線を、故障を活性化するの
に必要な値に設定する困難さの尺度であり、観測性は故
障信号の影響をある信号線から外部出力へ伝播する困難
さの尺度である。制御性は故障の活性化のほか、ある信
号線を故障伝播に必要な値に設定する困難さにも影響す
る。各信号線の制御性と観測性の指標として多く用いら
れるのは、信号を1にする困難さ(1−制御性:1−c
ontrollability)、信号を0にする困難
さ(0−制御性:0−controllabilit
y)、故障の効果を信号線から外部出力に伝播する困難
さ(観測性:observability)の3つであ
る。これらは、設計者の経験によりその困難さを見積も
る場合もあるが、テスタビリティ解析と呼ばれる手法に
よって、実際の数値として求めることもできる。
The two main concepts used to evaluate and improve the testability of a circuit under test are controllability and observability. Controllability is a measure of the difficulty in setting a signal line to the value required to activate a fault, and observability is a measure of the difficulty in propagating the effects of a fault signal from a signal line to an external output. It is. Controllability affects not only activation of a fault, but also difficulty in setting a certain signal line to a value required for fault propagation. It is often difficult to set the signal to 1 as an index of controllability and observability of each signal line (1-controllability: 1-c
(controllability), difficulty of making signal 0 (0-controllability: 0-controllability)
y), and difficulty in observing the effect of the fault from the signal line to the external output (observability: observability). In some cases, the difficulty is estimated based on the experience of the designer, but it can also be obtained as actual numerical values by a method called testability analysis.

【0008】テスタビリティ解析は、入力パターンを用
いずに、回路の構造情報のみをもとに各信号のテスト容
易性を解析する静的解析(Static Testab
ility Analysis)や、ある一定のテスト
パターンを回路に入力し、各信号線の挙動を観察するこ
とにより制御性・観測性を求める動的解析(Dynam
ic Testability Analysis)、
実際にATPGを回路に適用して故障を検出しにくい信
号線を特定する手法などに分類される。
The testability analysis is a static analysis (Static Testab) that analyzes the testability of each signal based on only the structural information of the circuit without using an input pattern.
dynamic analysis (Dynam) for inputting a certain test pattern to a circuit and observing the behavior of each signal line to obtain controllability and observability.
ic Testability Analysis),
It is classified into a method of specifying a signal line in which it is difficult to detect a failure by actually applying the ATPG to a circuit.

【0009】ここではSCOAPと呼ばれる、代表的な
静的テスタビリティ解析方法について説明する。SCO
APでは信号線Xの0−制御性、1−制御性及び観測性
をそれぞれCC0 (X)、CC1 (X)、CO(X)で
表す。信号線が外部入力のときCC0 (X)=CC
1 (X)=1とし、外部出力のときCO(X)=0とす
る。これらの値を伝播して最終的に内部の全ての信号線
の制御性及び観測性を求めてゆく。2入力素子(入力
X,Y、出力X)については、その機能に応じて図17
に示す形で伝播が行われる。これらの伝播式を用いて、
ある回路の各信号線の制御性および観測性を求めたもの
を図18に示す。図18において、例えば排他的論理和
ゲート101の一方の入力信号線に表示されている
(1,1,9)は最初の数字(=1)が上記信号線の0
−制御性を示し、第2番目の数字(=1)が上記信号線
の1−制御性を示し、第3番の数字(=9)が上記信号
線の観測性を示している。図18から分るように、信号
線が入力側に近いほど制御性が良く(値が低い)、出力
側に近いほど観測性が良い(値が低い)。
Here, a typical static testability analysis method called SCOAP will be described. SCO
0 controllability of AP in the signal line X, respectively 1-controllability and observability of the CC 0 (X), expressed in CC 1 (X), CO ( X). CC 0 (X) = CC when signal line is external input
1 (X) = 1, and CO (X) = 0 at the time of external output. By transmitting these values, controllability and observability of all the internal signal lines are finally obtained. As for the two-input element (input X, Y, output X), FIG.
The propagation is performed in the form shown in FIG. Using these propagation equations,
FIG. 18 shows the controllability and the observability of each signal line of a certain circuit. In FIG. 18, for example, (1, 1, 9) displayed on one input signal line of the exclusive OR gate 101 is such that the first numeral (= 1) is 0 of the signal line.
The second number (= 1) indicates the 1-controllability of the signal line, and the third number (= 9) indicates the observability of the signal line. As can be seen from FIG. 18, the closer the signal line is to the input side, the better the controllability (lower value), and the closer to the output side, the better the observability (lower value).

【0010】静的解析については従来より多くのアルゴ
リズムが開発されているが、信号の再収斂(1度分岐し
た信号が後で合流する状態)を値に反映させることが難
しいという問題がある。例えば図19に示す回路では回
路の最終ゲート112の出力の1−制御性は7と計算さ
れているが、この出力は1に制御することはできないの
で、この値には意味がない。再収斂を考慮するように補
正を行うアルゴリズムもいくつか提案されているが、充
分なものは存在しない。一般的には静的なテスタビリテ
ィ解析は信頼性の高い指標であるとはみなされていな
い。
Although more algorithms have been developed for static analysis than before, there is a problem in that it is difficult to reflect the reconvergence of the signal (a state in which a signal that has branched once merges later) into a value. For example, in the circuit shown in FIG. 19, the 1-controllability of the output of the final gate 112 of the circuit is calculated to be 7, but since this output cannot be controlled to 1, this value has no meaning. Several algorithms have been proposed to make corrections to take into account reconvergence, but none are sufficient. In general, static testability analysis is not considered a reliable indicator.

【0011】動的解析はATPGから発生されたテスト
パターンを用いて信号線の挙動を観測するので、制御性
に関しては静的解析に比べて精度が高い。しかし観測性
はやはり回路の構造と途中の信号線の状態のみから求め
なければならず、再収斂を正確に反映できない点では静
的解析と同様である。ATPGを用いた解析が最も精度
が良いが、一般には実行時間がかかるため、解析を繰り
返し行わなければならないような場合には用いられな
い。
In the dynamic analysis, since the behavior of the signal line is observed using a test pattern generated from the ATPG, the controllability is higher in accuracy than the static analysis. However, the observability must be obtained only from the structure of the circuit and the state of the signal line in the middle, and is similar to the static analysis in that reconvergence cannot be accurately reflected. The analysis using the ATPG has the highest accuracy, but is generally not performed when the analysis must be repeated because the execution time is long.

【0012】テスタビリティ解析の結果、制御性の低い
信号線に対しては、制御性を改善するテストポイントを
挿入する事により改善する事ができる。図20(a)に
示す回路の0−制御性の低い信号線121に対して、図
20(b)に示すように2入力AND素子123を挿入
している。テスト用の外部入力122を論理値1に固定
することにより、信号線124を0に制御する事が可能
になる。ただし固定したままでは論理ブロック121の
故障を観測できないので、信号線122はテスト中に0
/1両方の値を取るように制御する必要がある。図21
では観測性の低い信号線133に対して(図21(a)
参照)、観測用のテストポイント134を挿入している
(図21(b)参照)。テストポイント134は外部出
力信号であっても、制御、観測可能な内部信号(例えば
スキャンレジスタ)であってもよい。
As a result of the testability analysis, a signal line with low controllability can be improved by inserting a test point for improving controllability. As shown in FIG. 20B, a two-input AND element 123 is inserted into the signal line 121 with low 0-controllability of the circuit shown in FIG. By fixing the test external input 122 to the logical value 1, the signal line 124 can be controlled to 0. However, since the failure of the logic block 121 cannot be observed with the fixed state, the signal line 122 is set to 0 during the test.
/ 1 needs to be controlled so as to take both values. FIG.
For the signal line 133 with low observability (FIG. 21A)
), And an observation test point 134 is inserted (see FIG. 21B). The test point 134 may be an external output signal or an internal signal that can be controlled and observed (for example, a scan register).

【0013】図22はテストポイント挿入作業のフロー
を表したものである。作成済みのテストパターン(ステ
ップF31参照)を用いて故障シミュレーション(ステ
ップF32参照)を行なって、検出率が目標値に達しな
い場合はテスタビリティ解析を行い(ステップF33,
F34参照)、候補を抽出して(ステップF35参
照)、テストポイント挿入(ステップF36参照)を行
う。これから再び故障シミュレーションによる故障検出
率の算出を行ったり(ステップF32参照)、更にテス
トパターンの作成(ステップF31参照)を行なうとい
う作業を、検出率が目標値に達するまで繰り返し行わな
ければならない。この時にテスタビリティ解析の精度が
低いと、目標とする検出率を得るまでに膨大な作業時間
がかかったり、最終的に目標にまで達しないという事が
起こる。また、精度の高いATPGによる解析は、実行
時間が長くかかるため、大規模回路では作業時間が更に
膨大になるおそれがある。これらひとつひとつの処理が
別プログラムであったり手作業である事が、作業を更に
繁雑にさせている。
FIG. 22 shows a flow of the test point insertion work. A failure simulation (see step F32) is performed using the created test pattern (see step F31). If the detection rate does not reach the target value, a testability analysis is performed (steps F33 and F33).
F34), candidates are extracted (see step F35), and test points are inserted (see step F36). From now on, the work of calculating the failure detection rate by the failure simulation again (see step F32) and creating a test pattern (see step F31) must be repeated until the detection rate reaches the target value. At this time, if the accuracy of the testability analysis is low, an enormous amount of work time may be required to obtain the target detection rate, or the target may not reach the target. Further, the analysis by the ATPG with high accuracy requires a long execution time, so that the work time may be further increased in a large-scale circuit. The fact that each of these processes is a separate program or manual work further complicates the work.

【0014】論理回路に対する別のテスト容易化設計手
法として、ロジックBIST(Built−In Se
lf TEST)すなわち組み込み自己テストが存在す
る。BISTは、被テストブロックへ与えるテストパタ
ーンの生成と、被テストブロックからのテスト結果出力
の解析を、被テストブロックの周辺に構成された論理回
路により全て自動的に行なうものである。
Another design method for testability of a logic circuit is a logic BIST (Build-In Se).
(lf TEST) or built-in self-test. The BIST automatically generates a test pattern to be applied to a test block and analyzes a test result output from the test block, all automatically by a logic circuit arranged around the test block.

【0015】図23はBISTの一般的な構成図であ
る。テストモード設定用の外部入力信号151aで被テ
ストブロック155を含む半導体集積回路をテストモー
ドに設定する。これにより被テストブロックの入出力は
通常動作時とは異なる、テスト用の入出力信号と接続さ
れる。BIST回路を初期化した後、BISTクロック
を定められた数だけ入力することにより、自己テストが
実行される。自己テストの実行中は被テストブロック1
55への入力153はテストパターン生成器152によ
り自動的に生成される。また、被テストブロックからの
テスト結果出力156は、テスト結果解析器157に入
力され、逐一期待値と比較されたり、圧縮されてある特
定のピット長のデータ(シグネチャ)に変換される。最
終的に被テストブロック155のテスト解析結果158
が出力され、テストによる良否の判定が行われる。
FIG. 23 is a general block diagram of a BIST. The semiconductor integrated circuit including the block under test 155 is set to the test mode by the external input signal 151a for setting the test mode. As a result, the input / output of the test block is connected to a test input / output signal different from that in the normal operation. After initializing the BIST circuit, a self-test is executed by inputting a predetermined number of BIST clocks. Tested block 1 during self test
Input 153 to 55 is automatically generated by test pattern generator 152. The test result output 156 from the block under test is input to the test result analyzer 157, and is compared with an expected value one by one or converted into data (signature) of a specific pit length. Finally, the test analysis result 158 of the block under test 155
Is output, and the quality is determined by a test.

【0016】BISTによるテストではテストパターン
を外部のテスタメモリ上に用意する必要がなくなり、テ
スタのコストが削減される。また全ての動作をBIST
クロックに同期してデバイス内で行うために、BIST
クロックを高速で動作させられればテスタによるテスト
動作周波数よりも速い動作速度でのテストが可能にな
る。これにより実動作での製品テストを行うことができ
る。また、BISTによるテストでは少数のテスト用外
部入出力信号しか必要ないので、複数のブロックを並列
的にテストすることも可能となる。これにより、全体の
テスト時間が大幅に削減できる。
In the test by the BIST, it is not necessary to prepare a test pattern on an external tester memory, and the cost of the tester is reduced. All operations are BIST
In order to perform in the device in synchronization with the clock, BIST
If the clock can be operated at a high speed, a test at an operation speed higher than the test operation frequency by the tester becomes possible. As a result, a product test in actual operation can be performed. Further, since only a small number of test external input / output signals are required in the BIST test, a plurality of blocks can be tested in parallel. As a result, the entire test time can be significantly reduced.

【0017】ロジックBISTでは通常パターン生成に
はLFSR(Linear Feedback Shi
ft Register)などの疑似乱数的パターン生
成器を用いる。しかし乱数的なパターンでは、パターン
数を費やしても目標とする故障検出率に達することは困
難である。そのためロジックBISTではテストポイン
ト挿入が一般的に行われる。この際のテストポイントの
選択にもテスタビリティ解析を行うことになるが、乱数
的パターンによるテスタビリティは、ATPGを想定し
た解析とは意味合いが異なる。例えば、N入力のAND
素子の出力を論理値1に設定したい場合、その入力全て
を1に設定しなければならない。つまり乱数パターンで
の1−制御性は確率的には1/2N であり、Nが大きけ
れば非常に低い。しかしATPGでは回路の構造からN
個の入力を全て1にするパターンをすぐに見つけること
ができるので、この場合1−制御性が低いとは言えな
い。乱数パターン生成の状況下では、制御性と観測性は
以下のように言い換えられる、すなわち、1−制御性
(0−制御性)は、ランダムに与えられたテストパター
ン入力がある信号線の値を1(0)に設定する確率であ
り、信号線の観測性は、ランダムに与えられたテストパ
ターン入力がその信号線から外部出力への一つ以上のパ
スを活性化する確率である。
In the logic BIST, LFSR (Linear Feedback Shi) is used for normal pattern generation.
ft Register) or a pseudo-random pattern generator. However, with a random pattern, it is difficult to reach the target failure detection rate even if the number of patterns is spent. Therefore, test points are generally inserted in the logic BIST. At this time, testability analysis is also performed for selecting a test point. However, testability based on a random pattern has a different meaning from analysis based on ATPG. For example, N input AND
If one wants to set the output of a device to a logical value of one, all of its inputs must be set to one. That is, 1-controllability in the random number pattern is 1/2 N in probability, and is very low if N is large. However, in ATPG, N
In this case, it is not possible to say that 1-controllability is low because a pattern in which all the inputs are set to 1 can be found immediately. Under the situation of random number pattern generation, the controllability and the observability are reworded as follows: 1-controllability (0-controllability) is obtained by changing the value of a signal line having a randomly given test pattern input. The probability set to 1 (0), and the observability of a signal line is the probability that a randomly applied test pattern input will activate one or more paths from that signal line to an external output.

【0018】乱数的な入力で故障シミュレーションを行
い、その故障検出の状態から制御性あるいは観測性の低
いものを抽出する事によりテストポイントの候補は選択
できるが、具体的な数値を付けてその優先順位を決定す
る事は難しい。特に観測性は故障シミュレーションでの
故障検出情報のみでは数値化する事が困難であるからで
ある。
A fault simulation is performed with random input, and a test point candidate can be selected by extracting low controllability or low observability from the fault detection state. It is difficult to determine the order. In particular, it is difficult to quantify the observability by using only the failure detection information in the failure simulation.

【0019】[0019]

【発明が解決しようとする課題】以上説明したように、
順序回路内の全てのレジスタをスキャンレジスタに置換
えた場合は、チップサイズが大きくなるという問題が生
じる。
As described above,
When all the registers in the sequential circuit are replaced with scan registers, there is a problem that the chip size becomes large.

【0020】また、一部のレジスタのみをスキャンレジ
スタに置換える場合は故障検出率が正確に求めにくいと
いう問題が生じる。
Further, when only some of the registers are replaced with scan registers, a problem arises in that it is difficult to accurately determine a failure detection rate.

【0021】本発明は上記事情を考慮してなされたもの
であって、チップサイズの増大を可及的に防止するとと
もに高い故障検出率を得ることのできる、LSIのテス
ト容易化設計方法および装置ならびにテスト容易化設計
処理プログラムを記録したコンピュータ読取り可能な記
録媒体を提供することを目的とする。
SUMMARY OF THE INVENTION The present invention has been made in view of the above circumstances, and has an LSI testability design method and apparatus capable of preventing an increase in chip size as much as possible and obtaining a high failure detection rate. It is another object of the present invention to provide a computer-readable recording medium in which a testability design processing program is recorded.

【0022】[0022]

【課題を解決するための手段】本発明による、LSI回
路のテスト容易化設計方法は、複数の論理素子および複
数の信号線を有する回路と同一構成の、コンピュータ上
で構築されたモデル回路にテストパターンを入力して故
障シミュレーションを行い、故障検出率および前記複数
の信号線の各々に関連する故障阻止情報を求めるステッ
プと、前記故障検出率および故障阻止情報に基づいてテ
ストポイント挿入点を決定するステップと、を備えたこ
とを特徴とする。
SUMMARY OF THE INVENTION According to the present invention, a method for designing a testable LSI circuit is provided for testing a model circuit constructed on a computer having the same configuration as a circuit having a plurality of logic elements and a plurality of signal lines. Inputting a pattern and performing a fault simulation to obtain a fault coverage and fault prevention information associated with each of the plurality of signal lines; and determining a test point insertion point based on the fault coverage and the fault prevention information. And step.

【0023】なお、前記故障阻止情報は、第1の故障阻
止情報、すなわち対応する信号線までは伝播されてきた
が、この信号線が入力する論理素子の出力には伝播され
ない故障の累計値であることが好ましい。
The failure prevention information is the first failure prevention information, that is, the accumulated value of faults that have been propagated to the corresponding signal line but are not propagated to the output of the logic element to which this signal line is input. Preferably, there is.

【0024】なお、前記テストポイント挿入点を決定す
るステップは、求められた前記故障検出率が充分である
か否かを判断し、充分である場合には処理を終了し、充
分でない場合に前記故障阻止情報に基づいて前期複数の
信号線の中からテストポイント挿入候補を抽出するステ
ップと、テストポイント挿入候補があるか否か判定する
ステップと、候補が無い場合にはテストポイント挿入候
補を抽出するステップに戻り、候補が有る場合は少なく
とも1つの候補を選択し、この選択された候補に仮想的
にテストポイントを挿入するステップと、続いて上記テ
ストポイントが仮想的に挿入されたモデル回路にテスト
パターンを入力して第2の故障シミュレーションを行い
故障検出を求めるステップと、を備えたことが好まし
い。
In the step of determining the test point insertion point, it is determined whether or not the obtained fault detection rate is sufficient. If it is, the process is terminated. Extracting test point insertion candidates from the plurality of signal lines based on the failure prevention information; determining whether there are test point insertion candidates; extracting test point insertion candidates if there are no candidates Returning to the step of selecting, if there is a candidate, selecting at least one candidate, virtually inserting a test point into the selected candidate, and then selecting a model circuit in which the test point is virtually inserted. Inputting a test pattern and performing a second failure simulation to determine failure detection.

【0025】なお、前記故障阻止情報は更に第2の故障
阻止情報、すなわち、対応する信号線が入力する論理素
子の他の入力信号線に伝播されてきた故障の中で前記対
応する信号線が前記論素子の出力に伝播させない故障の
累計値であり、前記仮想的にテストポイントを挿入する
ステップは、前記選択された候補に仮想的に挿入するテ
ストポイントが観測用テストポイントであるかまたは制
御用テストポイントであるかを前記第2の故障阻止情報
に基づいて決定するステップを更に備えていることが好
ましい。
The failure prevention information further includes the second failure prevention information, that is, the corresponding signal line among the failures transmitted to the other input signal lines of the logic element to which the corresponding signal line is input. The step of inserting a virtual test point is a cumulative value of faults not propagated to the output of the logic element, and the step of virtually inserting the test point into the selected candidate is an observation test point or It is preferable that the method further comprises a step of determining whether or not the test point is a service test point based on the second failure prevention information.

【0026】なお、前記第2の故障シミュレーション
は、既に検出されている故障以外の故障を対象して行う
ことが好ましい。
It is preferable that the second fault simulation is performed for faults other than faults that have already been detected.

【0027】また本発明による、LSI回路のテスト容
易化設計装置は、複数の論理素子および複数の信号線を
有する回路と同一構成の、コンピュータ上で構築された
モデル回路にテストパターンを入力して故障シミュレー
ションを行い、故障検出率および前記複数の信号線の各
々に関連する故障阻止情報を求める故障シミュレーショ
ン部と、前記故障検出率および故障阻止情報に基づいて
テストポイント挿入点を決定するテストポイント挿入点
決定部と、を備えたことを特徴とする。
Further, according to the present invention, there is provided an LSI circuit testability designing apparatus which inputs a test pattern into a model circuit constructed on a computer having the same configuration as a circuit having a plurality of logic elements and a plurality of signal lines. A failure simulation unit for performing a failure simulation to determine a failure detection rate and failure prevention information related to each of the plurality of signal lines; and a test point insertion determining a test point insertion point based on the failure detection rate and the failure prevention information. And a point determining unit.

【0028】また本発明による、テスト容易化設計処理
プログラムを記録したコンピュータ読取り可能な記録媒
体は、複数の論理素子および複数の信号線を有する回路
と同一構成の、コンピュータ上で構築されたモデル回路
にテストパターンを入力して故障シミュレーションを行
い、故障検出率および前記複数の信号線の各々に関連す
る故障阻止情報を求める手順と、前記故障検出率および
故障阻止情報に基づいてテストポイント挿入点を決定す
る手順が記録されている。
According to the present invention, there is provided a computer-readable recording medium on which a testability design processing program is recorded, wherein a model circuit constructed on a computer has the same configuration as a circuit having a plurality of logic elements and a plurality of signal lines. A procedure for inputting a test pattern and performing a fault simulation to obtain a fault detection rate and fault prevention information related to each of the plurality of signal lines, and a test point insertion point based on the fault detection rate and the fault prevention information. The decision procedure is recorded.

【0029】なお、前記テストポイント挿入点を決定す
る手順は、求められた前記故障検出率が充分であるか否
かを判断し、充分である場合には処理を終了し、充分で
ない場合に前記故障阻止情報に基づいて前期複数の信号
線の中からテストポイント挿入候補を抽出する手順と、
テストポイント挿入候補があるか否か判定する手順と、
候補が無い場合にはテストポイント挿入候補を抽出する
手順テップに戻り、候補が有る場合は少なくとも1つの
候補を選択し、この選択された候補に仮想的にテストポ
イントを挿入する手順と、続いて上記テストポイントが
仮想的に挿入されたモデル回路にテストパターンを入力
して第2の故障シミュレーションを行い故障検出率を求
める手順と、を備えることが好ましい。
In the procedure for determining the test point insertion point, it is determined whether or not the obtained fault detection rate is sufficient. If it is, the process is terminated. Extracting test point insertion candidates from the plurality of signal lines based on the failure prevention information;
A procedure for determining whether there is a test point insertion candidate,
If there is no candidate, the procedure returns to the step of extracting test point insertion candidates. If there is a candidate, at least one candidate is selected, and a test point is virtually inserted into the selected candidate. Inputting a test pattern to the model circuit into which the test points are virtually inserted, and performing a second fault simulation to obtain a fault detection rate.

【0030】[0030]

【発明の実施の形態】本発明によるLSI回路のテスト
容易化設計方法の第1の実施の形態を図1乃至図6を参
照して説明する。この第1の実施の形態のテスト容易化
設計方法の処理手順を図1に示し、この設計方法を実現
する装置の構成を図2に示す。
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS A first embodiment of a method for designing a testable LSI circuit according to the present invention will be described with reference to FIGS. FIG. 1 shows a processing procedure of the testability design method of the first embodiment, and FIG. 2 shows a configuration of an apparatus for realizing the design method.

【0031】まず、図1のステップF1に示すように、
回路情報、すなわち複数の信号線と、複数の素子と、こ
れらの信号線と素子との接続情報を入力し、これにより
コンピュータ上でモデル回路が構築される。この回路情
報の入力は図2に示す設計記述21に基づいて設計デー
タベース構築部22によって、上記モデル回路が構築さ
れ、このモデル回路設計データベース23に格納され
る。
First, as shown in step F1 of FIG.
Circuit information, that is, a plurality of signal lines, a plurality of elements, and connection information between the signal lines and the elements are input, whereby a model circuit is constructed on a computer. The circuit information is input by the design database construction unit 22 based on the design description 21 shown in FIG. 2, and the model circuit is constructed and stored in the model circuit design database 23.

【0032】次に、上記設計データベース23に格納さ
れているモデル回路に、テストポイント挿入処理部30
内の故障シミュレーション部31によって、乱数的パタ
ーンが発生されて故障シミュレーションが実行される
(図1のステップF2参照)。このとき、パターンの
数、最終的な目標故障検出率、テスト時に与えられるパ
ターン長の最大値、および挿入できるテストポイントの
数の上限値等の処理に関する設計条件が図2の目標条件
入力部28を介して前もって入力されている。
Next, a test point insertion processing unit 30 is added to the model circuit stored in the design database 23.
The random simulation pattern is generated by the failure simulation unit 31 in the above, and the failure simulation is executed (see step F2 in FIG. 1). At this time, the design conditions relating to the processing such as the number of patterns, the final target failure detection rate, the maximum value of the pattern length given at the time of the test, and the upper limit of the number of test points that can be inserted are set in the target condition input unit 28 of FIG. Has been entered in advance.

【0033】故障シミュレーションは、故障のない正常
回路と、故障を持つ回路(故障回路)の両方について、
同じ入力パターンに対する各々の出力応答を計算し、故
障回路が正常回路と1箇所でも異なる応答をすれば、そ
の故障を検出可能とし、全く同じ応答をするときは検出
不可能とするものである。
The fault simulation is performed for both a normal circuit having no fault and a circuit having a fault (fault circuit).
Each output response to the same input pattern is calculated, and if the faulty circuit responds differently even at one point from the normal circuit, the fault can be detected, and if it responds exactly the same, it cannot be detected.

【0034】本実施の形態においては、故障シミュレー
ションの実行中に、故障の伝播が阻止された地点の情報
を、回路内の信号線毎に保存するように構成されてい
る。例えば図3に示す2入力ANDゲート6を有する回
路において、ANDゲート6の入力信号線2,4まで伝
播されてきた故障伝播情報は故障伝播情報リスト12,
14に各々保存され、出力信号線8まで伝播されてきた
故障伝播情報は故障伝播情報リスト18に保存される。
そして、このANDゲート6の一方の入力信号線2に故
障が伝播してきても、もう一方の入力信号線4の信号の
論理値が「0]であれば、上記故障は出力信号線8には
伝播されない。この阻止されて伝播されなくなった故障
の数を故障阻止情報リスト(図示せず)の、信号線2に
対応する欄に加算する。新たな試験パターンを上記回路
に適用し、故障が阻止される度毎に上記故障の数を加算
して記録しておく。こうすることにより、故障が阻止さ
れる頻度の高い信号線を、この信号線に関連する故障阻
止リスト中に記録されている故障阻止情報に基づいて知
ることができる。
In the present embodiment, during the execution of the fault simulation, information on the point where the propagation of the fault is stopped is stored for each signal line in the circuit. For example, in the circuit having the two-input AND gate 6 shown in FIG. 3, the fault propagation information propagated to the input signal lines 2 and 4 of the AND gate 6 is the fault propagation information list 12,
The fault propagation information, which is stored in each of the error propagation information 14 and propagated to the output signal line 8, is stored in a fault propagation information list 18.
Even if a fault propagates to one of the input signal lines 2 of the AND gate 6, if the logical value of the signal on the other input signal line 4 is "0", the fault will be transmitted to the output signal line 8. The number of faults that have been blocked and no longer propagated is added to the column corresponding to the signal line 2 in the fault blocking information list (not shown). The number of the faults is added and recorded each time the signal is blocked, so that the signal line whose frequency is frequently blocked is recorded in the failure blocking list associated with the signal line. Information based on existing failure prevention information.

【0035】次に図2に示す故障シミュレーション部3
1は故障シミュレーションの実行によって得られる故障
検出率が目標とする故障検出率に到達したか否かを確認
し(図1のステップF3参照)、到達していない場合に
は、上記各信号線に関連する故障阻止リスト中に記録さ
れているデータに基づいて、上記信号線の中からテスト
ポイント挿入候補を複数個抽出し(図1のステップF6
参照)、抽出した候補をテストポイント候補リスト32
中に格納する。到達していない場合は、ステップF4に
進む。このステップF4の内容は後で述べる。
Next, the failure simulation unit 3 shown in FIG.
1 confirms whether or not the failure detection rate obtained by executing the failure simulation has reached the target failure detection rate (see step F3 in FIG. 1). Based on the data recorded in the related failure prevention list, a plurality of test point insertion candidates are extracted from the signal lines (step F6 in FIG. 1).
And the extracted candidates are stored in the test point candidate list 32.
Store inside. If not, the process proceeds to step F4. The contents of step F4 will be described later.

【0036】上記テストポイント挿入候補の抽出を、図
4に示すLSI回路40を例にとって説明する。このL
SI回路40は、論理回路41と、論理回路48と、こ
れらの論理回路の間に設けられた論理素子42,43,
44,45と、信号線42a,42b,43a,43
b,44a,44b,45a,45bとを備えている。
このLSI回路40に故障シミュレーション部31が複
数のテストパターンを入力して故障シミュレーションを
行い、各信号線に関連する故障阻止情報が複数のパター
ンに渡る累計値として対応する故障阻止情報リスト中に
各々記録保持される。
The extraction of the test point insertion candidate will be described by taking the LSI circuit 40 shown in FIG. 4 as an example. This L
The SI circuit 40 includes a logic circuit 41, a logic circuit 48, and logic elements 42, 43,
44, 45 and signal lines 42a, 42b, 43a, 43
b, 44a, 44b, 45a, 45b.
The failure simulation unit 31 inputs a plurality of test patterns to the LSI circuit 40 and performs a failure simulation. Failure prevention information related to each signal line is included in a corresponding failure prevention information list as a cumulative value over a plurality of patterns. Records are kept.

【0037】この記録保持された故障阻止情報を図5に
示す。この得られた故障阻止情報は、故障が阻止された
回数の多い順に、故障シミュレーション部31によって
並べ換えられる。この並べ換えられた結果を図6に示
す。そしてこの並べ換えられた結果に基づいて、故障を
阻止した回数の多い方から決められた数の信号線、ある
いは故障が阻止された回数が所定の数を超える信号線
を、図1に示すステップF6のテストポイント挿入候補
として抽出し、テストポイント候補リスト32に格納す
る。
FIG. 5 shows the recorded failure prevention information. The obtained failure prevention information is rearranged by the failure simulation unit 31 in descending order of the number of times the failure has been prevented. FIG. 6 shows the rearranged result. On the basis of the rearranged result, a predetermined number of signal lines or the number of signal lines in which the number of times of failure prevention is greater than a predetermined number are determined in step F6 shown in FIG. The test point candidate is extracted and stored in the test point candidate list 32.

【0038】次にテストポイント候補リスト32中に保
持されていてかつ、テストポイント挿入の効果が評価さ
れていない候補が存在するか否かがテストポイント挿入
処理部30内の故障シミュレーション部33によって、
検索される(図1のステップF7参照)。そして、候補
が存在しない場合は、ステップF3に戻り上述のステッ
プが繰返される。なお、この繰返しが所定回数に達した
ときには全ての処理を終了するものとする。候補が存在
する場合は、上記候補の中から1つの信号線が故障シミ
ュレーション部33によって選択される(図1のステッ
プF8参照)。
Next, the failure simulation unit 33 in the test point insertion processing unit 30 determines whether or not there is a candidate which is held in the test point candidate list 32 and whose test point insertion effect is not evaluated.
A search is performed (see step F7 in FIG. 1). If no candidate exists, the process returns to step F3 and the above steps are repeated. When the repetition reaches a predetermined number of times, all the processes are terminated. When there is a candidate, one signal line is selected from the candidates by the failure simulation unit 33 (see step F8 in FIG. 1).

【0039】そして上記選択された信号線に故障シミュ
レーション部33によって仮想的にテストポイントが挿
入され、故障シミュレーションが実行される(図1のス
テップF10参照)。ここでの故障シミュレーション
は、既に検出されている故障以外の故障(未検出故障リ
スト(図示せず)に保持されている故障)を対象として
行う。このため、ステップF10の故障シミュレーショ
ンは繰返す毎に実行時間が短縮されていく。
Then, a test point is virtually inserted into the selected signal line by the fault simulation unit 33, and a fault simulation is executed (see step F10 in FIG. 1). The failure simulation here is performed for failures other than the failures already detected (faults stored in an undetected failure list (not shown)). For this reason, the execution time is shortened each time the failure simulation of step F10 is repeated.

【0040】ステップF10の故障シミュレーションの
結果は図2に示す挿入効果評価部34によって評価され
る。この評価は以下のようにして行われる。まず上述の
故障シミュレーションによって、決められた以上の故障
が新たに検出されて故障検出率が向上したか否かが挿入
効果評価部34によって評価される(図1のステップF
11参照)。向上した場合は、上記選択された信号線が
テストポイント挿入点として挿入効果評価部34によっ
てテストポイント挿入点リスト35に登録され(図1の
ステップF12参照)、登録された後は、ステップF7
に戻り上述の処理が繰返される。なお、この時点までに
検出された故障から故障検出率が故障シミュレーション
部33によって求められる。また向上していない場合
は、上記選択された信号線が挿入効果評価部34によっ
てテストポイント挿入候補から除外され、その後、ステ
ップF7に戻って上述の処理が繰返される。
The result of the failure simulation in step F10 is evaluated by the insertion effect evaluation section 34 shown in FIG. This evaluation is performed as follows. First, by the above-described failure simulation, the insertion effect evaluation unit 34 evaluates whether or not a failure beyond a predetermined level is newly detected and the failure detection rate is improved (step F in FIG. 1).
11). If the signal line is improved, the selected signal line is registered as a test point insertion point in the test point insertion point list 35 by the insertion effect evaluation unit 34 (see step F12 in FIG. 1).
And the above processing is repeated. The failure detection rate is determined by the failure simulation unit 33 from the failures detected up to this point. If not improved, the selected signal line is excluded from the test point insertion candidates by the insertion effect evaluation unit 34, and thereafter, the process returns to step F7 to repeat the above-described processing.

【0041】そして、テストポイント挿入候補が存在し
なくなって、かつ故障検出率が目標値以上となった場合
にテストポイント挿入点として登録された信号線に、観
測性を高めるためにテストポイント挿入部36によって
観測用テストポイントが挿入され(図1のステップF4
参照)、この挿入後のモデル回路が設計データベース2
3上に記録される。その後、この設計データベース23
に記録されているモデル回路に基づいて設計記述作成部
24によって回路情報が設計記述25として出力される
(図1のステップF5参照)。
When the test point insertion candidate no longer exists and the fault detection rate becomes equal to or higher than the target value, a test point insertion unit is added to the signal line registered as the test point insertion point in order to improve observability. 36, an observation test point is inserted (step F4 in FIG. 1).
), And the model circuit after insertion is the design database 2
3 recorded. Then, this design database 23
The circuit information is output as the design description 25 by the design description creating unit 24 based on the model circuit recorded in the step S5 (see step F5 in FIG. 1).

【0042】以上説明したように、本実施の形態によれ
ば、故障検出率が向上する信号線にのみテストポイント
を挿入するため、チップサイズが増大するのを可及的に
防止することができる。また、故障検出率を正確に求め
ることができる。
As described above, according to the present embodiment, the test point is inserted only into the signal line whose fault detection rate is improved, so that the chip size can be prevented from increasing as much as possible. . Further, the failure detection rate can be accurately obtained.

【0043】上記第1の実施の形態においては、故障が
阻止された情報(第1の故障阻止情報)のみが各信号線
において求められ保存されていたが、より詳しい情報を
保存することにより故障検出率の精度を向上させること
が可能となる。例えば図7に示す4入力ANDゲート5
0において、入力信号線50a,50bが論理値「0」
の状態であれば、この時点で入力信号線50dに伝播し
てきた故障の多くはここで阻止されてしまう。このと
き、上記第1の実施の形態の場合と同様に入力信号線5
0dの故障阻止情報リスト中に保持されている、「故障
が阻止された回数」に「伝播されてきて阻止された故障
の数」加算し、この加算された結果を第1の故障阻止情
報として上記故障阻止情報リスト中に記録保持する。更
に入力信号線50a,50bに対しても、これらの信号
線50a,50bの各故障阻止情報リスト中に、「故障
を阻止した回数」の累計値を第2の故障阻止情報として
記録保持する。そして一定数のパターンを与えた後、第
2の故障阻止情報を解析し、例えば信号線50aが故障
を阻止した数が他の信号線に比べて多ければ、信号線5
0aは1−制御性を改善するためのテストポイント挿入
の候補となる。仮に信号線50b,50c,50dで阻
止された故障が多くあり、信号線50aで阻止した故障
も多ければ、信号線50b,50c,50dに各々観測
用のテストポイントを挿入するよりも、信号線50aに
1−制御用のテストポイントを挿入した方が効果が大き
いと考えられる。
In the first embodiment, only the information on which the failure has been prevented (first failure prevention information) has been obtained and stored in each signal line. It is possible to improve the accuracy of the detection rate. For example, a 4-input AND gate 5 shown in FIG.
At 0, the input signal lines 50a and 50b have the logical value "0".
In this state, most of the faults that have propagated to the input signal line 50d at this point are prevented here. At this time, as in the case of the first embodiment, the input signal line 5
Add “the number of faults that have been propagated and blocked” to “the number of times the fault has been blocked” held in the fault blocking information list of 0d, and use the added result as the first fault blocking information. The information is recorded and held in the failure prevention information list. Further, for the input signal lines 50a and 50b, the accumulated value of the "number of times the failure was prevented" is recorded and held as the second failure prevention information in the failure prevention information list of each of the signal lines 50a and 50b. After giving a certain number of patterns, the second failure prevention information is analyzed. For example, if the number of failures prevented by the signal line 50a is larger than that of the other signal lines, the signal line 5
0a is 1-a candidate for test point insertion for improving controllability. If there are many faults blocked by the signal lines 50b, 50c, and 50d, and many faults blocked by the signal line 50a, the signal lines are more likely to be inserted into the signal lines 50b, 50c, and 50d than by inserting test points for observation. It is considered that inserting a 1-control test point into 50a is more effective.

【0044】上述の第1および第2の故障阻止情報を記
録保持する場合を本発明の第2の実施の形態として説明
する。
A case where the first and second failure prevention information are recorded and held will be described as a second embodiment of the present invention.

【0045】本発明によるLSI回路のテスト容易化設
計方法の第2の実施の形態を図8乃至図13を参照して
説明する。この第2の実施の形態のテスト容易化設計方
法の処理手順を図8に示し、この設計方法を実現する装
置の構成を図9に示す。
A second embodiment of the design method for testability of an LSI circuit according to the present invention will be described with reference to FIGS. FIG. 8 shows a processing procedure of the testability design method of the second embodiment, and FIG. 9 shows a configuration of an apparatus for realizing this design method.

【0046】この第2の実施の形態の設計方法の処理手
順は、図1に示す第1の実施の形態の設計方法の処理手
順において、ステップF8とステップF9との間に、ス
テップF8a,F8b,F8cを挿入した構成となって
いる。これに対応して図9に示す装置のテストポイント
挿入処理部30Aは、図2に示す装置のテストポイント
挿入処理部30に、テストポイント種類選択部37と、
故障リスト再構成部38とを新たに設けた構成となって
いる。
The processing procedure of the design method of the second embodiment is the same as that of the design method of the first embodiment shown in FIG. 1 except that steps F8a and F8b are provided between steps F8 and F9. , F8c are inserted. In response to this, the test point insertion processing unit 30A of the device shown in FIG. 9 includes a test point type selection unit 37 in the test point insertion processing unit 30 of the device shown in FIG.
The failure list reconstructing unit 38 is newly provided.

【0047】なお、この第2の実施の形態の設計方法に
おいては、第1の実施の形態と異なり、ステップF2の
故障シミュレーションの実行中に、各信号線に対応する
故障阻止情報リスト中には、上述した第1および第2の
故障阻止情報が記録保持される構成となっている。
In the design method of the second embodiment, unlike the first embodiment, during execution of the failure simulation in step F2, the failure prevention information list corresponding to each signal line includes The first and second failure prevention information described above are recorded and held.

【0048】次に第2の実施の形態の作用を説明する。
ステップF8までは、第1の実施の形態と同様にして行
う。続いて候補として選択された信号線に関する上記第
1および第2の故障阻止情報に基づいて、上記選択され
た信号線に観測用のテストポイントを挿入するか、ある
いは上記選択された信号線上に伝播した故障を阻止して
いる他の信号線に制御用のテストポイントを挿入するか
が、図9に示すテストポイント種類選択部37によって
選択される(図8のステップF8a参照)。
Next, the operation of the second embodiment will be described.
Steps up to step F8 are performed in the same manner as in the first embodiment. Subsequently, an observation test point is inserted into the selected signal line or propagated on the selected signal line based on the first and second failure prevention information relating to the signal line selected as a candidate. Whether to insert a control test point into another signal line that prevents the failure is selected by the test point type selection unit 37 shown in FIG. 9 (see step F8a in FIG. 8).

【0049】このテストポイントの種類選択について図
10に示すLSI回路50を例にとって説明する。この
LSI回路50は論理回路51,52と、これらの論理
回路51,52の間に設けられた論理素子52,53,
54,55と、信号線52a,52b,53a,53
b,54a,54b,55a,55b,55c,55d
とを備えている。このLSI回路50に故障シミュレー
ション部31が複数のテストパターンを入力して故障シ
ミュレーションを行い、各信号線に関連する第1および
第2の故障阻止情報が、対応する故障阻止情報リスト中
に各々記録保持される。この記録保持された第1および
第2の故障阻止情報を図11に示す。これらの第1およ
び第2の故障阻止情報は、各々、故障が阻止された回数
の多い順および故障を阻止した回数の多い順に、故障シ
ミュレーション部31によって並べ換えられ、並べ換え
た結果を各々図12および図13に示す。
The selection of the type of the test point will be described by taking the LSI circuit 50 shown in FIG. 10 as an example. The LSI circuit 50 includes logic circuits 51 and 52 and logic elements 52 and 53 provided between the logic circuits 51 and 52.
54, 55 and signal lines 52a, 52b, 53a, 53
b, 54a, 54b, 55a, 55b, 55c, 55d
And The failure simulation unit 31 inputs a plurality of test patterns to the LSI circuit 50 to perform a failure simulation, and records first and second failure prevention information related to each signal line in a corresponding failure prevention information list. Will be retained. FIG. 11 shows the first and second failure prevention information recorded and held. The first and second pieces of fault prevention information are rearranged by the fault simulation unit 31 in the order of the number of times the fault was stopped and in the order of the number of times of the faults, and the rearranged results are shown in FIG. 12 and FIG. As shown in FIG.

【0050】このようにして得られた第1の故障阻止情
報に基づいて、故障が阻止された回数の多い方から所定
の個数の信号線あるいは阻止された回数が所定値を超え
る信号線をテストポイント挿入の候補として故障シミュ
レーション部31によって選択される(図8のステップ
F8参照)。図10に示す回路50においては、図12
に示す第1の故障阻止情報のリストから、信号線55a
が候補として選択される。続いて、この選択した信号線
55a上の故障を阻止する素子55の入力信号線のう
ち、信号線55d,55bが図13に示す第2の故障阻
止情報のリスト上で上位に位置しているので、これらの
2つの信号線55d,55bに仮想的に0−制御性を向
上させるための制御用テストポイントを挿入することが
テストポイント種類選択部37によって選択される(図
8のステップF8a参照)。なお、図10に示す回路5
0においては、制御用テストポイントが選択されたが、
第2の故障阻止情報によっては観測用テストポイントが
選択される場合もある。
Based on the first failure prevention information obtained in this way, a predetermined number of signal lines whose number of failures has been prevented or a signal line whose number of times of failure exceeds a predetermined value are tested. The failure simulation unit 31 selects a point insertion candidate (see step F8 in FIG. 8). In the circuit 50 shown in FIG.
From the list of the first failure prevention information shown in FIG.
Is selected as a candidate. Subsequently, among the input signal lines of the element 55 for preventing a failure on the selected signal line 55a, the signal lines 55d and 55b are positioned higher in the list of the second failure prevention information shown in FIG. Therefore, insertion of a control test point for virtually improving 0-controllability into these two signal lines 55d and 55b is selected by the test point type selection unit 37 (see step F8a in FIG. 8). ). The circuit 5 shown in FIG.
At 0, the control test point was selected,
An observation test point may be selected depending on the second failure prevention information.

【0051】このようにしてテストポイントの種類が選
択された後、選択されたテストポイントが観測用であれ
ば、ステップF9に進み、第1の実施の形態で説明した
場合と同様の処理が行われる。選択されたテストポイン
トが制御用である場合は、信号線上に新たなゲート素子
を挿入することになるため、既に検出済とされている故
障であっても、上記ゲート素子を挿入したことにより検
出されなくなるものが出てくる可能性がある。このた
め、ゲート素子を挿入したことにより影響を受ける部分
を、図9に示す故障リスト再構成部38が解析し、これ
らの部分の故障を再び未検出であるとして、未検出故障
リストを再構成する(図8のステップF8c参照)。そ
の後、ステップF9に進み、第1の実施の形態で説明し
たステップF9以降の処理と同様の処理が行われる。
After the type of the test point is selected in this way, if the selected test point is for observation, the process proceeds to step F9, and the same processing as that described in the first embodiment is performed. Will be If the selected test point is for control, a new gate element is inserted on the signal line, so even if a fault has already been detected, it can be detected by inserting the gate element. Some things may no longer be available. For this reason, the parts affected by the insertion of the gate element are analyzed by the failure list reconstructing unit 38 shown in FIG. 9, and the failures in these parts are not detected again, and the undetected failure list is reconfigured. (See step F8c in FIG. 8). Thereafter, the process proceeds to step F9, and the same processing as the processing after step F9 described in the first embodiment is performed.

【0052】以上説明したように、第2の実施の形態に
おいては、第1の実施の形態の場合より詳細な故障阻止
情報が、故障シミュレーションによって得られて記録保
持されているため、より少ないテストポイントを挿入し
て高い故障検出率を得ることができる。このためチップ
サイズの増大を可及的に防止できる。
As described above, in the second embodiment, more detailed failure prevention information is obtained and recorded and held by the failure simulation than in the first embodiment, so that less tests are performed. High fault coverage can be obtained by inserting points. Therefore, an increase in chip size can be prevented as much as possible.

【0053】上記第2の実施の形態においては、制御用
テストポイントが選択された場合には、未検出故障リス
トを再構成したが、ステップF10の故障シミュレーシ
ョンは繰返し実行されるので、未検出になる故障につい
ては考慮せず(すなわち未検出故障リストを再構成せ
ず)、テストポイント挿入候補が尽くされた時点(ステ
ップF7においてNoの場合)でステップF2に戻り新
たな故障シミュレーションを行うように構成しても良
い。このようにすることにより処理時間を短縮すること
もできる。
In the second embodiment, when the control test point is selected, the undetected fault list is reconstructed. However, since the fault simulation in step F10 is repeatedly executed, the undetected fault list is re-executed. The fault is not considered (that is, the undetected fault list is not reconstructed), and when the test point insertion candidates are exhausted (No in step F7), the process returns to step F2 and a new fault simulation is performed. You may comprise. By doing so, the processing time can be reduced.

【0054】また、第1の実施の形態のテスト容易化設
計方法においては、図1に示すステップF1からステッ
プF12までの処理手順、第2の実施の形態のテスト容
易化設計方法においては、図8に示すステップF1から
ステップF12までの処理手順は各々、プログラムとし
て記録媒体(例えばCD−ROM、光磁気ディスク、ま
たはDVD(Digital Versatile D
isk)等の光ディスクや、フロッピーディスク、メモ
リカード等)に記録される。
In the design method for testability according to the first embodiment, the processing procedure from step F1 to step F12 shown in FIG. 1 is used, and in the design method for testability according to the second embodiment, The processing procedures from step F1 to step F12 shown in FIG. 8 are each performed as a recording medium (for example, a CD-ROM, a magneto-optical disk, or a DVD (Digital Versatile D)) as a program.
disk), a floppy disk, a memory card, etc.).

【0055】この記録は次のようにして行われる。まず
図14および図15に示すように、コンピュータ80を
起動し、記録媒体(図14においてはFD92またはC
D−ROM94)を記録装置(図14、15においては
FDドライブ81またはCD−ROMドライブ82)に
セットする。続いて入力手段84(例えばキーボード8
4aまたはマウス84b)を用いて、例えば第1の実施
の形態の場合は、図1に示すステップF1からステップ
F12までの処理手順をプログラムとして順次入力す
る。すると、この入力されたプログラムはコンピュータ
80のCPU(図示せず)によって、記録媒体に書込ま
れる。この書込みの際には表示装置86やプリンタ87
を利用すると便利である。
This recording is performed as follows. First, as shown in FIGS. 14 and 15, the computer 80 is started up, and the recording medium (FD 92 or C in FIG. 14) is started.
The D-ROM 94) is set in a recording device (the FD drive 81 or the CD-ROM drive 82 in FIGS. 14 and 15). Subsequently, the input means 84 (for example, the keyboard 8
For example, in the case of the first embodiment, the processing procedure from step F1 to step F12 shown in FIG. 1 is sequentially input as a program using the mouse 4a or the mouse 84b). Then, the input program is written on a recording medium by a CPU (not shown) of the computer 80. At the time of this writing, the display device 86 and the printer 87
It is convenient to use.

【0056】このような記録媒体に記録されたテスト容
易化設計処理手順のプログラムを実行する場合について
説明する。まず、テスト容易化設計処理手順がプログラ
ムとして記録された記録媒体を読取り装置(図15では
FDドライブ81またはCD−ROMドライブ82)に
セットする。続いて上記読取り装置に接続されたコンピ
ュータ80のCPUによって上記記録媒体から上記プロ
グラムが順次、読出されて、コンピュータ80の内部メ
モリにロードされる。その後、回路情報を上記読取り装
置を用いて入力するとともに目標条件等を入力手段を介
して入力すれば、上記プログラムが順次読出されて実行
される。
The case of executing the program for the testability design processing procedure recorded on such a recording medium will be described. First, a recording medium on which the testability design processing procedure is recorded as a program is set in a reading device (the FD drive 81 or the CD-ROM drive 82 in FIG. 15). Subsequently, the programs are sequentially read from the recording medium by the CPU of the computer 80 connected to the reading device and loaded into the internal memory of the computer 80. Thereafter, when the circuit information is input using the reading device and the target conditions and the like are input via input means, the programs are sequentially read and executed.

【0057】[0057]

【発明の効果】以上述べたように、本発明によれば、チ
ップサイズの増大を可及的に防止するとともに高い故障
検出率を得ることができる。
As described above, according to the present invention, an increase in chip size can be prevented as much as possible, and a high fault detection rate can be obtained.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明によるテスト容易化設計方法の第1の実
施の形態の処理手順を示すフローチャート。
FIG. 1 is a flowchart showing a processing procedure of a first embodiment of a testability design method according to the present invention.

【図2】第1の実施の形態のテスト容易化設計方法を実
施するための設計装置の構成を示すブロック図。
FIG. 2 is a block diagram showing a configuration of a design apparatus for implementing the testability design method according to the first embodiment;

【図3】第1の実施の形態の要点を説明するための模式
図。
FIG. 3 is a schematic diagram for explaining a main point of the first embodiment.

【図4】第1の実施の形態の作用を説明するために用い
られる回路図。
FIG. 4 is a circuit diagram used to explain the operation of the first embodiment.

【図5】本発明にかかる故障阻止情報を示す図。FIG. 5 is a diagram showing failure prevention information according to the present invention.

【図6】図5に示す故障阻止情報を故障が阻止された回
数の多い順に並べ換えた図。
FIG. 6 is a diagram in which the failure prevention information shown in FIG. 5 is rearranged in descending order of the number of times failures are prevented.

【図7】本発明によるテスト容易化設計方法の第2の実
施の形態の要点を説明するための模式図。
FIG. 7 is a schematic diagram for explaining the gist of the second embodiment of the testability design method according to the present invention.

【図8】本発明の第2の実施の形態の処理手順を示すフ
ローチャート。
FIG. 8 is a flowchart showing a processing procedure according to the second embodiment of the present invention.

【図9】第2の実施の形態のテスト容易化設計方法を実
施するための設計装置の構成を示すブロック図。
FIG. 9 is a block diagram illustrating a configuration of a design apparatus for implementing the testability design method according to the second embodiment;

【図10】第2の実施の形態の作用を説明するために用
いられる回路図。
FIG. 10 is a circuit diagram used to explain the operation of the second embodiment.

【図11】第2の実施の形態によって得られる第1およ
び第2の故障阻止情報を示す図。
FIG. 11 is a diagram showing first and second failure prevention information obtained by the second embodiment.

【図12】第1の故障阻止情報を、故障が阻止された回
数の多い順に並べ換えた図。
FIG. 12 is a diagram in which first failure prevention information is rearranged in descending order of the number of times failures are prevented.

【図13】第2の故障阻止情報を、故障を阻止した回数
の多い順に並べ換えた図。
FIG. 13 is a diagram in which second failure prevention information is rearranged in descending order of the number of times failures are prevented.

【図14】コンピュータ装置の構成を示す斜視図。FIG. 14 is a perspective view illustrating a configuration of a computer device.

【図15】コンピュータ装置の構成を示すブロック図。FIG. 15 is a block diagram illustrating a configuration of a computer device.

【図16】スキャン設計を説明する図。FIG. 16 is a view for explaining scan design.

【図17】SCOAPにおける制御性および観測の伝播
式を示す図。
FIG. 17 is a diagram showing controllability and the propagation equation of observation in SCOAP.

【図18】制御性および観測性の計算例を示す図。FIG. 18 is a diagram illustrating a calculation example of controllability and observability.

【図19】制御性および観測性の計算例を示す図。FIG. 19 is a diagram showing a calculation example of controllability and observability.

【図20】制御テストポイントの挿入を説明する図。FIG. 20 is a diagram illustrating insertion of a control test point.

【図21】観測テストポイントの挿入を説明する図。FIG. 21 is a diagram illustrating insertion of observation test points.

【図22】従来のテストポイント挿入の処理手順を示す
フローチャート。
FIG. 22 is a flowchart showing a procedure of a conventional test point insertion process.

【図23】BISTの一般的な構成を示すブロック図。FIG. 23 is a block diagram showing a general configuration of a BIST.

【符号の説明】[Explanation of symbols]

21 設計記述 22 設計データベース構築部 23 設計データベース 24 設計記述作成部 25 設計記述 28 目標条件入力部 30 テストポイント挿入処理部 31 故障シミュレーション部 32 テストポイント候補リスト 33 故障シミュレーション部 34 挿入効果評価部 35 テストポイント挿入点リスト 36 テストポイント挿入部 37 テストポイント種類選択部 38 故障リスト再構成部 21 Design Description 22 Design Database Construction Unit 23 Design Database 24 Design Description Creation Unit 25 Design Description 28 Target Condition Input Unit 30 Test Point Insertion Processing Unit 31 Failure Simulation Unit 32 Test Point Candidate List 33 Failure Simulation Unit 34 Insertion Effect Evaluation Unit 35 Test Point insertion point list 36 Test point insertion unit 37 Test point type selection unit 38 Failure list reconstruction unit

Claims (8)

【特許請求の範囲】[Claims] 【請求項1】複数の論理素子および複数の信号線を有す
る回路と同一構成の、コンピュータ上で構築されたモデ
ル回路にテストパターンを入力して故障シミュレーショ
ンを行い、故障検出率および前記複数の信号線の各々に
関連する故障阻止情報を求めるステップと、 前記故障検出率および故障阻止情報に基づいてテストポ
イント挿入点を決定するステップと、 を備えたことを特徴とするLSI回路のテスト容易化設
計方法。
1. A failure simulation is performed by inputting a test pattern to a model circuit constructed on a computer having the same configuration as a circuit having a plurality of logic elements and a plurality of signal lines, and a failure detection rate and the plurality of signals are provided. Determining test failure insertion information associated with each of the lines; and determining a test point insertion point based on the failure detection rate and the failure prevention information. Method.
【請求項2】前記故障阻止情報は、第1の故障阻止情
報、すなわち対応する信号線までは伝播されてきたが、
この信号線が入力する論理素子の出力には伝播されない
故障の累計値であることを特徴とする請求項1記載のL
SI回路のテスト容易化設計方法。
2. The failure prevention information has been propagated to first failure prevention information, that is, a corresponding signal line.
2. The signal L according to claim 1, wherein the signal line is a cumulative value of faults that are not propagated to an output of a logic element input thereto.
Design method for testability of SI circuit.
【請求項3】前記テストポイント挿入点を決定するステ
ップは、求められた前記故障検出率が充分であるか否か
を判断し、充分である場合には処理を終了し、充分でな
い場合に前記故障阻止情報に基づいて前期複数の信号線
の中からテストポイント挿入候補を抽出するステップ
と、 テストポイント挿入候補があるか否か判定するステップ
と、 候補が無い場合にはテストポイント挿入候補を抽出する
ステップに戻り、候補が有る場合は少なくとも1つの候
補を選択し、この選択された候補に仮想的にテストポイ
ントを挿入するステップと、 続いて上記テストポイントが仮想的に挿入されたモデル
回路にテストパターンを入力して第2の故障シミュレー
ションを行い故障検出率を求めるステップと、 を備えたことを特徴とする請求項2記載のLSI回路の
テスト容易化設計方法。
3. The step of determining the test point insertion point includes determining whether or not the obtained fault coverage is sufficient. If it is, the process is terminated. Extracting test point insertion candidates from the plurality of signal lines based on the failure prevention information; determining whether there are test point insertion candidates; extracting test point insertion candidates if there are no candidates Returning to the step of selecting, if there is a candidate, selecting at least one candidate, and virtually inserting a test point into the selected candidate; 3. The method according to claim 2, further comprising: inputting a test pattern and performing a second fault simulation to obtain a fault detection rate. Design method for testability of SI circuit.
【請求項4】前記故障阻止情報は更に第2の故障阻止情
報、すなわち、対応する信号線が入力する論理素子の他
の入力信号線に伝播されてきた故障の中で前記対応する
信号線が前記論理素子の出力に伝播させない故障の累計
値であり、 前記仮想的にテストポイントを挿入するステップは、前
記選択された候補に仮想的に挿入するテストポイントが
観測用テストポイントであるかまたは制御用テストポイ
ントであるかを前記第2の故障阻止情報に基づいて決定
するステップを更に備えていることを特徴とする請求項
3記載のLSI回路のテスト容易化設計方法。
4. The failure prevention information further includes second failure prevention information, that is, the corresponding signal line among the failures propagated to another input signal line of the logic element to which the corresponding signal line is input. The cumulative value of faults not propagated to the output of the logic element, wherein the step of virtually inserting the test point includes the step of controlling whether the test point to be virtually inserted into the selected candidate is an observation test point. 4. The method for designing a testable LSI circuit according to claim 3, further comprising the step of determining whether the test point is a test point for use based on the second failure prevention information.
【請求項5】前記第2の故障シミュレーションは、既に
検出されている故障以外の故障を対象して行うことを特
徴とする請求項3または4記載のLSI回路のテスト容
易化設計方法。
5. The method for designing a testable LSI circuit according to claim 3, wherein the second fault simulation is performed for faults other than faults already detected.
【請求項6】複数の論理素子および複数の信号線を有す
る回路と同一構成の、コンピュータ上で構築されたモデ
ル回路にテストパターンを入力して故障シミュレーショ
ンを行い、故障検出率および前記複数の信号線の各々に
関連する故障阻止情報を求める故障シミュレーション部
と、 前記故障検出率および故障阻止情報に基づいてテストポ
イント挿入点を決定するテストポイント挿入点決定部
と、 を備えたことを特徴とするLSI回路のテスト容易化設
計装置。
6. A failure simulation by inputting a test pattern to a model circuit constructed on a computer having the same configuration as a circuit having a plurality of logic elements and a plurality of signal lines, performing a failure detection rate and the plurality of signals. A failure simulation unit for obtaining failure prevention information related to each of the lines; and a test point insertion point determination unit for determining a test point insertion point based on the failure detection rate and the failure prevention information. Design equipment for testability of LSI circuits.
【請求項7】複数の論理素子および複数の信号線を有す
る回路と同一構成の、コンピュータ上で構築されたモデ
ル回路にテストパターンを入力して故障シミュレーショ
ンを行い、故障検出率および前記複数の信号線の各々に
関連する故障阻止情報を求める手順と、 前記故障検出率および故障阻止情報に基づいてテストポ
イント挿入点を決定する手順と、を、コンピュータに実
行させるテスト容易化設計処理プログラムを記録したコ
ンピュータ読取り可能な記録媒体。
7. A fault simulation is performed by inputting a test pattern to a model circuit constructed on a computer having the same configuration as a circuit having a plurality of logic elements and a plurality of signal lines, and performing a fault detection rate and said plurality of signals. A test facilitation design processing program for causing a computer to execute a procedure for obtaining failure prevention information related to each of the lines and a procedure for determining a test point insertion point based on the failure detection rate and the failure prevention information is recorded. Computer readable recording medium.
【請求項8】前記テストポイント挿入点を決定する手順
は、求められた前記故障検出率が充分であるか否かを判
断し、充分である場合には処理を終了し、充分でない場
合に前記故障阻止情報に基づいて前期複数の信号線の中
からテストポイント挿入候補を抽出する手順と、 テストポイント挿入候補があるか否か判定する手順と、 候補が無い場合にはテストポイント挿入候補を抽出する
手順に戻り、候補が有る場合は少なくとも1つの候補を
選択し、この選択された候補に仮想的にテストポイント
を挿入する手順と、 続いて上記テストポイントが仮想的に挿入されたモデル
回路にテストパターンを入力して第2の故障シミュレー
ションを行い故障検出率を求める手順と、 を備えたことを特徴とする請求項7記載の、コンピュー
タに実行させる設計処理プログラムを記録したコンピュ
ータ読取り可能な記録媒体。
8. The procedure for deciding the test point insertion point is to judge whether the obtained fault coverage is sufficient or not, and to terminate the processing if it is sufficient, A procedure for extracting test point insertion candidates from a plurality of signal lines based on the failure prevention information, a procedure for determining whether there is a test point insertion candidate, and extracting a test point insertion candidate when there is no candidate Returning to the procedure, if there is a candidate, at least one candidate is selected, and a test point is virtually inserted into the selected candidate. The method according to claim 7, further comprising: a step of inputting a test pattern and performing a second fault simulation to obtain a fault detection rate. A computer-readable recording medium recording a design processing program.
JP11048422A 1999-02-25 1999-02-25 Method and device for test facilitating design of lsi circuit and computer-readable recording medium where test facilitating design processing program is recorded Pending JP2000250946A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP11048422A JP2000250946A (en) 1999-02-25 1999-02-25 Method and device for test facilitating design of lsi circuit and computer-readable recording medium where test facilitating design processing program is recorded

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP11048422A JP2000250946A (en) 1999-02-25 1999-02-25 Method and device for test facilitating design of lsi circuit and computer-readable recording medium where test facilitating design processing program is recorded

Publications (1)

Publication Number Publication Date
JP2000250946A true JP2000250946A (en) 2000-09-14

Family

ID=12802902

Family Applications (1)

Application Number Title Priority Date Filing Date
JP11048422A Pending JP2000250946A (en) 1999-02-25 1999-02-25 Method and device for test facilitating design of lsi circuit and computer-readable recording medium where test facilitating design processing program is recorded

Country Status (1)

Country Link
JP (1) JP2000250946A (en)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007034918A (en) * 2005-07-29 2007-02-08 Nec Corp Semiconductor integrated circuit design device and semiconductor integrated circuit design method
US7207019B2 (en) 2003-10-31 2007-04-17 Matsushita Electric Industrial Co., Ltd. Test circuit inserting method and apparatus for a semiconductor integrated circuit
JP2007122422A (en) * 2005-10-28 2007-05-17 Nec Electronics Corp Circuit design system and program
JP2007322414A (en) * 2006-05-02 2007-12-13 Fujitsu Ltd Semiconductor integrated circuit, recording medium, test data generating apparatus, and lsi tester
JP2007322415A (en) * 2006-05-02 2007-12-13 Fujitsu Ltd Semiconductor integrated circuit, recording medium, test data generating apparatus, and lsi testing apparatus
JP2008500515A (en) * 2004-05-10 2008-01-10 ニベア クオリティー マネージメント ソリューションズ エルティーディー. Test suit for product functional guarantee and repair guidance
JP2011028465A (en) * 2009-07-24 2011-02-10 Renesas Electronics Corp Test point insertion method
JP2013130553A (en) * 2011-12-22 2013-07-04 Fujitsu Ltd Failure analysis apparatus, method and program

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7207019B2 (en) 2003-10-31 2007-04-17 Matsushita Electric Industrial Co., Ltd. Test circuit inserting method and apparatus for a semiconductor integrated circuit
JP2008500515A (en) * 2004-05-10 2008-01-10 ニベア クオリティー マネージメント ソリューションズ エルティーディー. Test suit for product functional guarantee and repair guidance
JP2007034918A (en) * 2005-07-29 2007-02-08 Nec Corp Semiconductor integrated circuit design device and semiconductor integrated circuit design method
JP4561992B2 (en) * 2005-07-29 2010-10-13 日本電気株式会社 Semiconductor integrated circuit design apparatus and semiconductor integrated circuit design method
JP2007122422A (en) * 2005-10-28 2007-05-17 Nec Electronics Corp Circuit design system and program
JP4711801B2 (en) * 2005-10-28 2011-06-29 ルネサスエレクトロニクス株式会社 Circuit design system and circuit design program
JP2007322414A (en) * 2006-05-02 2007-12-13 Fujitsu Ltd Semiconductor integrated circuit, recording medium, test data generating apparatus, and lsi tester
JP2007322415A (en) * 2006-05-02 2007-12-13 Fujitsu Ltd Semiconductor integrated circuit, recording medium, test data generating apparatus, and lsi testing apparatus
JP4717027B2 (en) * 2006-05-02 2011-07-06 富士通株式会社 Semiconductor integrated circuit, test data generation device, and LSI test device
JP2011028465A (en) * 2009-07-24 2011-02-10 Renesas Electronics Corp Test point insertion method
US8266573B2 (en) 2009-07-24 2012-09-11 Renesas Electronics Corporation Method and system for test point insertion
JP2013130553A (en) * 2011-12-22 2013-07-04 Fujitsu Ltd Failure analysis apparatus, method and program

Similar Documents

Publication Publication Date Title
US10509073B2 (en) Timing-aware test generation and fault simulation
Venkataraman et al. POIROT: A logic fault diagnosis tool and its applications
US8356218B2 (en) Fault location estimation device, fault location estimation method, and program
US7562321B2 (en) Method and apparatus for structured ASIC test point insertion
Yang et al. Quick scan chain diagnosis using signal profiling
JP2005037995A (en) System for verifying semiconductor integrated circuit
Zhang et al. Reduced complexity test generation algorithms for transition fault diagnosis
JP2000250946A (en) Method and device for test facilitating design of lsi circuit and computer-readable recording medium where test facilitating design processing program is recorded
US7900112B2 (en) System and method for digital logic testing
US11408938B2 (en) Bidirectional scan cells for single-path reversible scan chains
Remersaro et al. A scalable method for the generation of small test sets
Kang et al. Efficient RTL coverage metric for functional test selection
Kajihara et al. Estimation of delay test quality and its application to test generation
US11092645B2 (en) Chain testing and diagnosis using two-dimensional scan architecture
Cheng Test generation for delay faults in non-scan and partial scan sequential circuits
JP4020731B2 (en) Fault diagnosis method for semiconductor integrated circuit
US20090210761A1 (en) AC Scan Diagnostic Method and Apparatus Utilizing Functional Architecture Verification Patterns
Ray et al. Synergies Between Delay Test and Post-silicon Speed Path Validation: A Tutorial Introduction
JP2004317505A (en) Program, storage medium, and method and device for preparing test pattern
Ungar et al. Simplified metrics for evaluating designs for testability
Raik et al. Hierarchical identification of untestable faults in sequential circuits
Gangaram et al. Functional test selection for high volume manufacturing
Dhare et al. Development of controllability observability aided combinational ATPG with fault reduction
Mahmoud Fault Diagnosis in Digital Circuits
US20050203716A1 (en) Method and system for delay defect location when testing digital semiconductor devices