JP2000206704A - Pattern forming method - Google Patents

Pattern forming method

Info

Publication number
JP2000206704A
JP2000206704A JP758099A JP758099A JP2000206704A JP 2000206704 A JP2000206704 A JP 2000206704A JP 758099 A JP758099 A JP 758099A JP 758099 A JP758099 A JP 758099A JP 2000206704 A JP2000206704 A JP 2000206704A
Authority
JP
Japan
Prior art keywords
film
organic silicon
silicon film
pattern
resist
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP758099A
Other languages
Japanese (ja)
Inventor
Yasuhiko Sato
康彦 佐藤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP758099A priority Critical patent/JP2000206704A/en
Publication of JP2000206704A publication Critical patent/JP2000206704A/en
Pending legal-status Critical Current

Links

Landscapes

  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Materials For Photolithography (AREA)

Abstract

PROBLEM TO BE SOLVED: To provide a method of patterning a lower layer film by which the film reduction of a resist pattern in the upper layer is suppressed and a pattern having sidewalls perpendicular to the film and a good square cross-sectional form can be formed with high resolution and high dimensional accuracy. SOLUTION: The method to form a pattern includes a process to form an org. silicon film 12 containing silicon and org. silicon compd having bonds with silicon in the main chain, on the film 11 to be processed, a process to form a resist pattern 13b on the org. silicon film 12, a process to selectively oxidize the exposed part of the org. silicon film 12 using the resist pattern 13b as a mask, and a process to dissolve and remove the oxidized part of the org. silicon film 12 in an atmosphere containing fluorine.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、半導体装置の製造
方法に関し、特に、被加工膜を微細加工するためのエッ
チングマスクとして用いられるパターンおよび層間絶縁
膜として用いられるパターンの形成方法に関する。
The present invention relates to a method of manufacturing a semiconductor device, and more particularly to a method of forming a pattern used as an etching mask for finely processing a film to be processed and a pattern used as an interlayer insulating film.

【0002】[0002]

【従来の技術】半導体素子の製造工程におけるリソグラ
フィー工程では、スループットが高いことから紫外光を
光源とするフォトリソグラフィーが主に用いられてい
る。フォトリソグラフィーでは、寸法制御性のよいレジ
ストパターンを得るために、レジストと被加工物である
下地基板等との間に、下層膜として反射防止膜を形成
し、露光光がレジスト膜へ反射するのを防ぐことが重要
である。例えば反射防止膜としては、プロセスコストが
安価なことから、スピンコーティング法で成膜が可能な
樹脂膜が用いられている。この場合、次のような方法を
用いてレジストパターンが樹脂膜へ転写される。
2. Description of the Related Art In a lithography process in a semiconductor device manufacturing process, photolithography using ultraviolet light as a light source is mainly used because of high throughput. In photolithography, in order to obtain a resist pattern with good dimensional control, an antireflection film is formed as a lower layer between the resist and an underlying substrate or the like to be processed, and the exposure light is reflected to the resist film. It is important to prevent. For example, as an antireflection film, a resin film that can be formed by a spin coating method is used because the process cost is low. In this case, the resist pattern is transferred to the resin film using the following method.

【0003】1)ドライエッチング法 2)ポリメチルメタクリレート、ポリサルフォンなどの
感光性材料を用いて樹脂膜からなる反射防止膜を形成
し、PCM法(Portable Comformab
le M asking)法で反射防止膜を現像する方法 しかしながら、下層膜としての反射防止膜を構成する成
分がレジストと同質の有機系の材料からなるために、い
ずれの場合もレジストパターンを精度よく樹脂膜に転写
することが困難であった。たとえば、1)の方法では、
レジスト膜と樹脂膜とのエッチングレートがほぼ等し
く、反射防止膜のエッチング途中でレジストパターンが
後退し、場合によってはエッチングの途中でレジストパ
ターンが消失してしまう。このため、寸法制御性よく反
射防止膜をエッチングすることが困難だった。この問題
は、光リソグラフィーの限界が近づき、解像度を向上さ
せるためにレジスト膜の膜厚を薄くした場合、より顕著
になる。また2)の方法では、従来の樹脂材料ではレジ
スト膜との間にミキシング層ができてしまい、反射防止
膜の感光工程、現像工程の妨げとなる。このため、サブ
クォーターミクロンより小さいレジストパターンを反射
防止膜に転写することが困難だった。
[0003] 1) Dry etching method 2) An anti-reflection film made of a resin film is formed using a photosensitive material such as polymethyl methacrylate or polysulfone, and the PCM method (Portable Comformab) is used.
However, since the component constituting the anti-reflection film as the lower layer film is made of an organic material of the same quality as the resist, the resist pattern can be accurately formed using a resin in any case. It was difficult to transfer to the film. For example, in the method 1),
Since the etching rates of the resist film and the resin film are substantially equal, the resist pattern retreats during the etching of the antireflection film, and in some cases, the resist pattern disappears during the etching. For this reason, it was difficult to etch the antireflection film with good dimensional controllability. This problem becomes more prominent when the limit of the photolithography approaches and the thickness of the resist film is reduced in order to improve the resolution. In the method 2), a mixing layer is formed between the conventional resin material and the resist film, which hinders the exposure step and the development step of the antireflection film. For this reason, it was difficult to transfer a resist pattern smaller than the sub-quarter micron to the antireflection film.

【0004】また、スピンオングラスに染料を添加した
化合物も開示されている(Jpn.J.Appl.Ph
ys.35(1996),1257)ものの、充分な反
射防止能を付与するために染料の添加量を増加させる
と、反射防止膜が削れにくくなってしまうという問題が
生じる。
Further, a compound in which a dye is added to spin-on-glass has been disclosed (Jpn. J. Appl. Ph.
ys. 35 (1996), 1257), however, when the amount of the dye added is increased in order to provide sufficient antireflection ability, a problem arises in that the antireflection film is hardly abraded.

【0005】さらに、特開平6−204130号公報に
は、次のようなパターン形成方法が記載されている。こ
れにおいては、まず、シリコン系有機材料からなる反射
防止膜上にレジストパターンを形成し、レジストパター
ンをマスクとして用いて酸素プラズマ処理を施すことに
よりシリコン系有機材料膜を選択的にグラス化する。そ
の後、レジストパターンをエッチングマスクとしてシリ
コン系有機材料のグラス化部分をエッチングする。この
方法では、レジストパターンがアッシングされて崩れな
いように酸素プラズマ処理を行うと、シリコン系有機材
料を充分に酸化することができないため、レジストパタ
ーンに対して高選択比でエッチングすることが困難であ
った。
Further, JP-A-6-204130 describes the following pattern forming method. In this method, first, a resist pattern is formed on an antireflection film made of a silicon-based organic material, and the silicon-based organic material film is selectively glassed by performing an oxygen plasma treatment using the resist pattern as a mask. Thereafter, the glass portion of the silicon-based organic material is etched using the resist pattern as an etching mask. In this method, if oxygen plasma treatment is performed so that the resist pattern is not ashed and collapsed, the silicon-based organic material cannot be sufficiently oxidized, so that it is difficult to etch the resist pattern with a high selectivity to the resist pattern. there were.

【0006】また、半導体素子においては、配線の微細
化に伴い配線遅延が生じて高速化が妨げられている。こ
の問題を解決するために層間絶縁膜として有機シリコン
膜を用い、層間絶縁膜を低誘電率化する試みが最近なさ
れている。しかしながら、有機シリコン膜は膜中に炭素
成分が含有されているので、これに起因して種々の問題
が生じる。例えば、レジストパターンをエッチングマス
クとして有機シリコン膜をエッチングする際には、レジ
ストパターンとの選択比がとれず、有機シリコン膜のエ
ッチング途中でレジストパターンが削れてなくなること
もある。さらに、レジストパターンの後退が生じて寸法
制御性よく有機シリコン膜を加工することが難しかっ
た。特に、この問題は、レジスト膜に対してパターン露
光を行なう際に、レジストの膜厚を薄くして露光マージ
ンを向上させようとした場合に顕著になる。
In a semiconductor device, a wiring delay is caused by miniaturization of a wiring, which hinders high speed operation. In order to solve this problem, an attempt has recently been made to reduce the dielectric constant of the interlayer insulating film by using an organic silicon film as the interlayer insulating film. However, since the organic silicon film contains a carbon component in the film, various problems occur due to this. For example, when the organic silicon film is etched using the resist pattern as an etching mask, the selectivity with the resist pattern cannot be obtained, and the resist pattern may not be removed during the etching of the organic silicon film. Further, the resist pattern recedes, and it is difficult to process the organic silicon film with good dimensional control. In particular, this problem becomes remarkable when an attempt is made to improve the exposure margin by reducing the thickness of the resist when performing pattern exposure on the resist film.

【0007】[0007]

【発明が解決しようとする課題】そこで本発明は、下層
膜をパターニングする方法において、その上層のレジス
トパターンの膜減りを抑制するとともに、垂直な側壁を
有し、断面が矩形の良好な形状を有する微細パターンを
高い解像度、かつ高い寸法精度で形成する方法を提供す
ることを目的とする。
SUMMARY OF THE INVENTION Accordingly, the present invention provides a method of patterning a lower layer film, which suppresses a reduction in the thickness of a resist pattern in the upper layer and has a good shape having vertical side walls and a rectangular cross section. It is an object of the present invention to provide a method for forming a fine pattern having high resolution and high dimensional accuracy.

【0008】また本発明は、レジストパターンに対して
速くエッチングすることができ、かつスピンコーティン
グ法で成膜可能な反射防止膜として有機シリコン膜を用
いたパターン形成方法を提供することを目的とする。
Another object of the present invention is to provide a pattern forming method using an organic silicon film as an antireflection film which can be etched quickly on a resist pattern and can be formed by a spin coating method. .

【0009】さらに本発明は、レジストパターンをエッ
チングマスクとして有機シリコン膜を加工する際に対レ
ジスト選択比を向上させ、寸法制御性よく有機シリコン
膜を加工し得るパターン形成方法を提供することを目的
とする。
Another object of the present invention is to provide a pattern forming method capable of improving the selectivity to resist when processing an organic silicon film using a resist pattern as an etching mask and processing the organic silicon film with good dimensional control. And

【0010】[0010]

【課題を解決するための手段】上記課題を解決するため
に、第1の発明は、被加工膜上に、シリコンとシリコン
との結合を主鎖に有する有機シリコン化合物を含有する
有機シリコン膜を形成する工程、前記有機シリコン膜上
に、レジストパターンを形成する工程、前記レジストパ
ターンをマスクとして用いて、有機シリコン膜の露出部
を選択的に酸化処理する工程、および前記有機シリコン
膜の酸化処理された部分を、フッ素を含む雰囲気中で溶
解除去する工程を具備するパターン形成方法を提供す
る。
According to a first aspect of the present invention, an organic silicon film containing an organic silicon compound having a bond between silicon and silicon in a main chain is provided on a film to be processed. Forming, forming a resist pattern on the organic silicon film, selectively oxidizing an exposed portion of the organic silicon film using the resist pattern as a mask, and oxidizing the organic silicon film. Providing a pattern forming method including a step of dissolving and removing the removed portion in an atmosphere containing fluorine.

【0011】また第2の発明は、被加工膜上に、シリコ
ンとシリコンとの結合を主鎖に有する有機シリコン化合
物を含有する有機シリコン膜を形成する工程、前記有機
シリコン膜上に、レジストパターンを形成する工程、前
記レジストパターンをマスクとして用いて、有機シリコ
ン膜の露出部を選択的に酸化処理する工程、および前記
有機シリコン膜の酸化処理された部分を、アルカリ水溶
液で溶解除去する工程を具備するパターン形成方法を提
供する。
According to a second aspect of the present invention, there is provided a process for forming an organic silicon film containing an organic silicon compound having a bond between silicon and silicon in a main chain on a film to be processed, wherein a resist pattern is formed on the organic silicon film. Forming, using the resist pattern as a mask, selectively oxidizing an exposed portion of the organic silicon film, and dissolving and removing the oxidized portion of the organic silicon film with an alkaline aqueous solution. Provided is a method for forming a pattern.

【0012】さらに第3の発明は、被加工膜上に、シリ
コンとシリコンとの結合を主鎖に有する有機シリコン化
合物を含有する有機シリコン膜を形成する工程、前記有
機シリコン膜上に、レジストパターンを形成する工程、
前記レジストパターンをマスクとして用いて、有機シリ
コン膜の露出部を紫外線照射および加熱の少なくとも一
方により酸化処理する工程、および前記有機シリコン膜
の酸化処理された部分を、フッ素系ガスを用いたドライ
エッチングにより除去する工程を具備するパターン形成
方法を提供する。
Further, a third invention is a method for forming an organic silicon film containing an organic silicon compound having a bond between silicon and silicon in a main chain on a film to be processed, wherein a resist pattern is formed on the organic silicon film. Forming a,
Using the resist pattern as a mask, oxidizing the exposed portion of the organic silicon film by at least one of ultraviolet irradiation and heating, and dry-etching the oxidized portion of the organic silicon film using a fluorine-based gas. A pattern forming method comprising the step of removing by a method.

【0013】またさらに第4の発明は、被加工膜上に、
シリコンと酸素との結合を主鎖に有する有機シリコン化
合物を含有する有機シリコン膜を形成する工程、前記有
機シリコン膜上にレジストパターンを形成する工程、前
記レジストパターンをマスクとして用いて、有機シリコ
ン膜の露出部を紫外線を照射することにより選択的に脱
炭素化処理する工程、および前記有機シリコン膜の脱炭
素化処理された部分を、フッ素系ガスを用いたドライエ
ッチングにより除去する工程を具備するパターン形成方
法を提供する。
Still further, according to a fourth aspect of the present invention, there is provided a semiconductor device comprising:
Forming an organic silicon film containing an organic silicon compound having a bond between silicon and oxygen in a main chain, forming a resist pattern on the organic silicon film, using the resist pattern as a mask, Selectively decarbonizing the exposed portion of the organic silicon film by irradiating the exposed portion with ultraviolet light, and removing the decarbonized portion of the organic silicon film by dry etching using a fluorine-based gas. A method for forming a pattern is provided.

【0014】[0014]

【発明の実施の形態】以下に本発明のパターン形成方法
について、図面を参照して詳細に説明する。
DESCRIPTION OF THE PREFERRED EMBODIMENTS The pattern forming method of the present invention will be described below in detail with reference to the drawings.

【0015】図1は、本発明のパターン形成方法の一例
を表わす工程断面図である。
FIG. 1 is a process sectional view showing an example of the pattern forming method of the present invention.

【0016】まず、図1(a)に示すように、基板上に
形成された被加工膜11上に、有機シリコン膜12を形
成する。被加工膜11としては、例えば、酸化シリコン
膜;窒化シリコン膜;酸窒化シリコン膜、スピンオング
ラス;マスク等の製造の際に用いられるブランク材など
のシリコン系絶縁膜;アモルファスシリコン、ポリシリ
コン、シリコン基板などのシリコン系材料;アルミニウ
ム、アルミニウムシリサイド、カッパー、タングステ
ン、タングステンシリサイド、コバルトシリサイド、ル
テニウムなどの配線材料や電極材料が挙げられるがこれ
に限定されることはない。
First, as shown in FIG. 1A, an organic silicon film 12 is formed on a film 11 to be processed formed on a substrate. Examples of the processed film 11 include a silicon oxide film; a silicon nitride film; a silicon oxynitride film; spin-on glass; a silicon-based insulating film such as a blank material used in manufacturing a mask or the like; amorphous silicon, polysilicon, and silicon Silicon-based materials such as substrates; wiring materials and electrode materials such as aluminum, aluminum silicide, copper, tungsten, tungsten silicide, cobalt silicide, and ruthenium, but are not limited thereto.

【0017】有機シリコン膜の現像処理をフッ素を含む
雰囲気中で行なう場合、高濃度の現像液を用いると被加
工膜がフッ素でエッチングされることがある。こうした
現象は、被加工膜の材質と現像液との組み合わせによっ
ても生じるおそれがある。これを避けるためには、被加
工膜上に有機シリコン膜を形成するに先だって、フッ素
に耐性がありエッチングストッパー膜として作用する薄
膜を被加工膜上に形成することが好ましい。薄膜の膜厚
は、0.005〜0.5μmであることが好ましい。
0.005μm未満の場合は、フッ素が薄膜中を透過し
て被加工膜をエッチングするおそれがある。一方0.5
μmを越えると、薄膜を加工する際に寸法変換差が生じ
て寸法制御性よく被加工膜を加工することが困難とな
る。このような薄膜として、例えばo−キノンジアジド
とノボラック樹脂とを含有するポジ型レジスト、ポリス
チレン、ポリメチルメタクリレート、ポリビニルフェノ
ールノボラック樹脂、ポリエステル、ポリビニルアルコ
ール、ポリプロピレン、ポリブタジエン、ポリ酢酸ビニ
ルおよびポリビニルブチラールイミド等の樹脂膜が挙げ
られる。
When the development process of the organic silicon film is performed in an atmosphere containing fluorine, the film to be processed may be etched by fluorine when a high concentration of a developing solution is used. Such a phenomenon may also be caused by a combination of the material of the film to be processed and the developer. To avoid this, it is preferable to form a thin film which is resistant to fluorine and acts as an etching stopper film on the film to be processed before forming the organic silicon film on the film to be processed. The thin film preferably has a thickness of 0.005 to 0.5 μm.
If the thickness is less than 0.005 μm, there is a possibility that fluorine may permeate the thin film and etch the film to be processed. 0.5
When the thickness exceeds μm, a dimensional conversion difference occurs when processing a thin film, and it becomes difficult to process a film to be processed with good dimensional controllability. As such a thin film, for example, a positive resist containing o-quinonediazide and a novolak resin, polystyrene, polymethyl methacrylate, polyvinylphenol novolak resin, polyester, polyvinyl alcohol, polypropylene, polybutadiene, polyvinyl acetate and polyvinyl butyral imide, etc. A resin film may be used.

【0018】有機シリコン膜12は、シリコンとシリコ
ンとの結合を主鎖に有する有機シリコン化合物を含有し
ており、この化合物の主鎖を構成するSi−Si結合は
紫外光を吸収する。したがって、有機シリコン膜12の
上に形成されたレジスト膜に対して紫外光を用いてパタ
ーン露光を行なう際には、有機シリコン膜12は、露光
光のレジスト膜への反射を防ぐので反射防止膜として作
用する。また、本発明による有機シリコン膜12は、導
電性物質あるいは光や熱等で導電性が生じる物質を添加
することにより電気抵抗が低下するので、有機シリコン
膜12は帯電防止膜として作用させることもできる。
The organic silicon film 12 contains an organic silicon compound having a bond between silicon and silicon in its main chain, and the Si—Si bond forming the main chain of this compound absorbs ultraviolet light. Therefore, when pattern exposure is performed on the resist film formed on the organic silicon film 12 using ultraviolet light, the organic silicon film 12 prevents reflection of the exposure light to the resist film, so that the anti-reflection film is formed. Act as In addition, the organic silicon film 12 according to the present invention has an electric resistance that is reduced by adding a conductive substance or a substance that becomes conductive by light or heat, so that the organic silicon film 12 can also function as an antistatic film. it can.

【0019】有機シリコン膜12の膜厚は、0.005
〜5μm程度であることが好ましい。その理由は、膜厚
が0.005μm未満では、光露光でパターン形成を行
なう場合、下地基板からの反射光を十分に抑えることが
できず、一方5μmを越えて厚いと、レジストパターン
を本発明の方法で有機シリコン膜に転写する際に寸法変
換差が顕著に発生するおそれがあるためである。
The thickness of the organic silicon film 12 is 0.005.
It is preferably about 5 μm. The reason is that when the film thickness is less than 0.005 μm, when forming a pattern by light exposure, the reflected light from the underlying substrate cannot be sufficiently suppressed. This is because there is a possibility that a dimensional conversion difference is remarkably generated when transferring to the organic silicon film by the method described above.

【0020】本発明の方法において有機シリコン膜12
は、溶液を塗布する方法により、あるいはCVD法(化
学的気相蒸着法)のような気相法により被加工膜11上
に成膜することができるが、塗布法で有機シリコン膜を
形成することが好ましい。この理由は、CVD法と比較
して、塗布法はプロセスコストが簡易であるからであ
る。ここで、塗布法により有機シリコン膜を形成する方
法について詳述する。
In the method of the present invention, the organic silicon film 12
Can be formed on the film to be processed 11 by a method of applying a solution or by a gas phase method such as a CVD method (chemical vapor deposition method), but an organic silicon film is formed by a coating method. Is preferred. This is because the coating method has a simpler process cost than the CVD method. Here, a method of forming an organic silicon film by a coating method will be described in detail.

【0021】まず、シリコンとシリコンとの結合を主鎖
に有する有機シリコン化合物を所定の有機溶剤に溶解し
て溶液材料を調製する。本発明において用い得る有機シ
リコン化合物としては、例えば、下記一般式(1)で表
わされる繰り返し単位を有するポリシランが挙げられ
る。
First, an organic silicon compound having a bond between silicon and silicon in its main chain is dissolved in a predetermined organic solvent to prepare a solution material. Examples of the organosilicon compound that can be used in the present invention include polysilane having a repeating unit represented by the following general formula (1).

【0022】[0022]

【化1】 Embedded image

【0023】(上記一般式(1)中、R1 およびR2
同一でも異なっていてもよく、それぞれ水素原子、炭素
数1〜20の置換もしくは非置換の脂肪族炭化水素基、
脂環式炭化水素基または芳香族炭化水素基などであ
る。) こうした繰り返し単位は、2種以上が酸素原子、窒素原
子、脂肪族基、芳香族基等を介して互いに結合した構造
を有するものでもよい。用い得る有機シリコン化合物の
例を下記化学式[1−1]〜[1−118]に示す。な
お、式中、m,nは正の整数を表わす。
(In the general formula (1), R 1 and R 2 may be the same or different and each represents a hydrogen atom, a substituted or unsubstituted aliphatic hydrocarbon group having 1 to 20 carbon atoms,
An alicyclic hydrocarbon group or an aromatic hydrocarbon group; The repeating unit may have a structure in which two or more kinds are bonded to each other via an oxygen atom, a nitrogen atom, an aliphatic group, an aromatic group, or the like. Examples of the organosilicon compound that can be used are shown in the following chemical formulas [1-1] to [1-118]. In the formula, m and n represent positive integers.

【0024】[0024]

【化2】 Embedded image

【0025】[0025]

【化3】 Embedded image

【0026】[0026]

【化4】 Embedded image

【0027】[0027]

【化5】 Embedded image

【0028】[0028]

【化6】 Embedded image

【0029】[0029]

【化7】 Embedded image

【0030】[0030]

【化8】 Embedded image

【0031】[0031]

【化9】 Embedded image

【0032】[0032]

【化10】 Embedded image

【0033】[0033]

【化11】 Embedded image

【0034】[0034]

【化12】 Embedded image

【0035】[0035]

【化13】 Embedded image

【0036】[0036]

【化14】 Embedded image

【0037】[0037]

【化15】 Embedded image

【0038】[0038]

【化16】 Embedded image

【0039】[0039]

【化17】 Embedded image

【0040】こうした化合物の重量平均分子量は、特に
限定されないが、200〜100,000であることが
好ましい。この理由は、分子量が200未満では、レジ
ストの溶剤に有機シリコン膜が溶解してしまい、一方1
00,000を越えると、有機溶剤に溶解しにくく、溶
液材料を調製しにくくなるためである。有機シリコン化
合物は、1種類に限ることなく、数種類の化合物を混合
して用いてもよい。
The weight average molecular weight of such a compound is not particularly limited, but is preferably from 200 to 100,000. The reason is that if the molecular weight is less than 200, the organic silicon film is dissolved in the resist solvent, while
If it exceeds 000, it is difficult to dissolve in an organic solvent, and it is difficult to prepare a solution material. The organic silicon compound is not limited to one kind, and may be used by mixing several kinds of compounds.

【0041】有機シリコン化合物に対しては、必要に応
じて、貯蔵安定性をはかるための熱重合防止剤、被加工
膜への密着性を向上させるための密着性向上剤、被加工
膜からレジスト膜中へ反射する光を防ぐために紫外光を
吸収する染料、ポリサルフォン、ポリベンズイミダゾー
ルなどの紫外光を吸収するポリマー、導電性物質、光や
熱等で導電性が生じる物質、あるいは有機シリコン化合
物を架橋させ得る架橋剤を添加してもよい。
For the organosilicon compound, if necessary, a thermal polymerization inhibitor for improving storage stability, an adhesion enhancer for improving adhesion to a film to be processed, and a resist for improving the adhesion to a film to be processed. Use a dye that absorbs ultraviolet light to prevent light reflected into the film, a polymer that absorbs ultraviolet light such as polysulfone, polybenzimidazole, a conductive substance, a substance that becomes conductive by light or heat, or an organic silicon compound. A crosslinking agent capable of crosslinking may be added.

【0042】導電性物質としては、例えば、有機スルフ
ォン酸、有機カルボン酸、多価アルコール、多価チオー
ル(例えば、ヨウ素、臭素)、SbF5 、PF5 、BF
5 、SnF5 などが挙げられる。光、熱などのエネルギ
ーを加えることにより導電性が生じる物質としては、炭
素クラスタ(C60、C70)、シアノアントラセン、ジシ
アノアントラセン、トリフェニルピリウム、テトラフル
オロボレート、テトラシアノキノジメタン、テトラシア
ノエチレン、フタルイミドトリフレート、パークロロペ
ンタシクロドデカン、ジシアノベンゼン、ベンゾニトリ
ル、トリクロロメチルトリアジン、ベンゾイルペルオキ
シド、ベンゾフェノンテトラカルボン酸、およびt−ブ
チルペルオキシドなどが挙げられる。より具体的には、
下記式[2−1]から[2−106]に示す化合物を挙
げることができる。
Examples of the conductive substance include organic sulfonic acids, organic carboxylic acids, polyhydric alcohols, polyhydric thiols (for example, iodine and bromine), SbF 5 , PF 5 , BF
5 , SnF 5 and the like. Examples of a substance which becomes conductive by application of energy such as light or heat include carbon clusters (C 60 , C 70 ), cyanoanthracene, dicyanoanthracene, triphenylpyrium, tetrafluoroborate, tetracyanoquinodimethane, and tetracyanoquinodimethane. Examples include cyanoethylene, phthalimide triflate, perchloropentacyclododecane, dicyanobenzene, benzonitrile, trichloromethyltriazine, benzoyl peroxide, benzophenonetetracarboxylic acid, and t-butyl peroxide. More specifically,
Compounds represented by the following formulas [2-1] to [2-106] can be mentioned.

【0043】[0043]

【化18】 Embedded image

【0044】[0044]

【化19】 Embedded image

【0045】[0045]

【化20】 Embedded image

【0046】[0046]

【化21】 Embedded image

【0047】[0047]

【化22】 Embedded image

【0048】[0048]

【化23】 Embedded image

【0049】[0049]

【化24】 Embedded image

【0050】[0050]

【化25】 Embedded image

【0051】[0051]

【化26】 Embedded image

【0052】[0052]

【化27】 Embedded image

【0053】架橋剤を添加する場合、有機シリコン化合
物は、主鎖のシリコンに水素が結合したものが好まし
い。このような有機シリコン化合物としては、例えば前
述の化学式[1−1]〜[1−26]に記載の構造を挙
げることができる。
When a crosslinking agent is added, the organosilicon compound is preferably one in which hydrogen is bonded to silicon in the main chain. Examples of such an organosilicon compound include the structures described in the aforementioned chemical formulas [1-1] to [1-26].

【0054】架橋剤は、有機シリコン化合物を架橋させ
て、レジストと有機シリコン化合物とのミキシングを防
止するとともに、耐熱性を向上させるために加えられ
る。
The crosslinking agent is added to crosslink the organosilicon compound to prevent mixing of the resist and the organosilicon compound and to improve heat resistance.

【0055】架橋剤としては、多重結合を有する化合物
を用いることができる。多重結合を有する有機物とは、
二重結合または三重結合を有する化合物、より具体的に
は、ビニル基、アクリル基、アリール基、イミド基、ア
セチレニル基などを有する化合物である。このような多
重結合を有する有機物は、モノマー、オリゴマー、ポリ
マーのいずれでもよい。
As the cross-linking agent, a compound having a multiple bond can be used. The organic substance having a multiple bond is
A compound having a double bond or a triple bond, more specifically, a compound having a vinyl group, an acrylic group, an aryl group, an imide group, an acetylenyl group, or the like. The organic substance having such a multiple bond may be any of a monomer, an oligomer, and a polymer.

【0056】このような多重結合を有する有機物は、熱
または光により有機シリコン化合物のSi−H結合との
間で付加反応を起こし、有機シリコン化合物を架橋させ
る。なお、多重結合を有する有機物は、自己重合してい
てもよい。多重結合を有する有機物の具体例を以下に示
す。
The organic substance having such a multiple bond causes an addition reaction with the Si—H bond of the organic silicon compound by heat or light to crosslink the organic silicon compound. Note that the organic substance having a multiple bond may be self-polymerized. Specific examples of the organic substance having a multiple bond are shown below.

【0057】[0057]

【化28】 Embedded image

【0058】[0058]

【化29】 Embedded image

【0059】[0059]

【化30】 Embedded image

【0060】[0060]

【化31】 Embedded image

【0061】[0061]

【化32】 Embedded image

【0062】[0062]

【化33】 Embedded image

【0063】[0063]

【化34】 Embedded image

【0064】[0064]

【化35】 Embedded image

【0065】[0065]

【化36】 Embedded image

【0066】[0066]

【化37】 Embedded image

【0067】上述のように、有機シリコン化合物に対し
て多重結合を有する有機物を混合した場合、触媒として
ラジカル発生剤または酸発生剤を添加してもよい。これ
らのラジカル発生剤は、多重結合を有する有機物とSi
−Hとの付加反応または自己重合を助ける役割をする。
As described above, when an organic substance having a multiple bond is mixed with an organic silicon compound, a radical generator or an acid generator may be added as a catalyst. These radical generators are composed of an organic substance having a multiple bond and Si.
It serves to assist the addition reaction with -H or self-polymerization.

【0068】ラジカル発生剤としては、アゾ化合物(例
えば、アゾビスイソブチロニトリル)、過酸化物、アル
キルアリールケトン、シリルペルオキシド、有機ハロゲ
ン化物などが挙げられる。ラジカル発生剤は、光照射ま
たは加熱により分子中のO−O結合またはC−C結合が
分解してラジカルを発生する。ラジカル発生剤として
は、例えば化合物[4−1]〜[4−11]および化学
式[4−12]〜[4−24]により表わされるものが
挙げられる。
Examples of the radical generator include azo compounds (eg, azobisisobutyronitrile), peroxides, alkylaryl ketones, silyl peroxides, and organic halides. The radical generator generates a radical by decomposing an O—O bond or a C—C bond in a molecule by light irradiation or heating. Examples of the radical generator include those represented by compounds [4-1] to [4-11] and chemical formulas [4-12] to [4-24].

【0069】 ベンゾイルペルオキシド [4−1] ジターシャルブチルペルオキシド [4−2] ベンゾイン [4−3] ベンゾインアルキルエーテル [4−4] ベンゾインアルキルアリールチオエーテル [4−5] ベンゾイルアリールエーテル [4−6] ベンジルアルキルアリールチオエーテル [4−7] ベンジルアラルキルエタノール [4−8] フェニルグリオキサルアルキルアセタール [4−9] ベンゾイルオキシム [4−10] トリフェニル−t−ブチルシリルペルオキシド [4−11]Benzoyl peroxide [4-1] di-tert-butyl peroxide [4-2] benzoin [4-3] benzoin alkyl ether [4-4] benzoin alkylaryl thioether [4-5] benzoyl aryl ether [4-6] Benzylalkylarylthioether [4-7] Benzylaralkylethanol [4-8] Phenylglyoxalalkylacetal [4-9] Benzoyloxime [4-10] Triphenyl-t-butylsilyl peroxide [4-11]

【0070】[0070]

【化38】 Embedded image

【0071】[0071]

【化39】 Embedded image

【0072】ラジカル発生剤のうち、有機ハロゲン化物
としては、一般式[4−18]で表されるトリハロメチ
ル−s−トリアジン(例えば米国特許第3779778
号明細書参照)が好ましい。一般式[4−18]におい
て、Qは臭素または塩素、R 11は−CQ3 、−NH2
−NHR13、−OR13または置換もしくは非置換のフェ
ニル基、R12は−CQ3 、−NH2 、−NHR13、−N
(R132 、−OR13、−(CH=CH)n −Wまたは
置換もしくは非置換のフェニル基、(ここで、R13はフ
ェニル基、ナフチル基または炭素数6以下の低級アルキ
ル基、nは1〜3の整数、Wは芳香環、複素環、または
下記一般式で表わされる基である。)を示す。これら
は、場合によっては、多重結合を有する化合物を存在さ
せなくても、光または熱によりポリシランを架橋させる
こともある。
Of the radical generators, organic halides
Represents a trihalomethi represented by the general formula [4-18]
Ru-s-triazine (e.g. U.S. Pat. No. 3,779,778)
Is preferred. General formula [4-18]
Q is bromine or chlorine, R 11Is -CQThree, -NHTwo,
-NHR13, -OR13Or substituted or unsubstituted
Nyl group, R12Is -CQThree, -NHTwo, -NHR13, -N
(R13)Two, -OR13,-(CH = CH)n-W or
A substituted or unsubstituted phenyl group, wherein R13Is
Phenyl, naphthyl or lower alkyl having 6 or less carbon atoms
A group, n is an integer of 1 to 3, W is an aromatic ring, a heterocyclic ring, or
It is a group represented by the following general formula. ). these
May, in some cases, present compounds with multiple bonds.
Crosslink polysilanes by light or heat
Sometimes.

【0073】[0073]

【化40】 Embedded image

【0074】式中、Zは酸素または硫黄、R10は低級ア
ルキル基またはフェニル基を示す。
In the formula, Z represents oxygen or sulfur, and R 10 represents a lower alkyl group or a phenyl group.

【0075】一般式[4−18]で表されるトリハロメ
チル−s−トリアジンのうちでは、特に、R12が−(C
H=CH)n −Wであるビニルトリハロメチル−s−ト
リアジン(例えば米国特許第3987037号明細書参
照)が好ましい。ビニルトリハロメチル−s−トリアジ
ンは、トリハロメチル基と、トリアジン環と共役するエ
チレン性不飽和結合とを有し、光分解性を示すs−トリ
アジンである。
Among the trihalomethyl-s-triazines represented by the general formula [4-18], particularly, when R 12 is-(C
Vinyltrihalomethyl-s-triazines (H = CH) n -W (see, for example, US Pat. No. 3,987,037) are preferred. Vinyl trihalomethyl-s-triazine is a photohalable s-triazine having a trihalomethyl group and an ethylenically unsaturated bond conjugated to a triazine ring.

【0076】なお、Wで表される芳香環または複素環に
は、以下のような置換基が導入されていてもよい。例え
ば、塩素、臭素、フェニル基、炭素数6以下の低級アル
キル基、ニトロ基、フェノキシ基、アルコキシ基、アセ
トキシ基、アセチル基、アミノ基およびアミノアルキル
基などである。
The following substituents may be introduced into the aromatic or heterocyclic ring represented by W. Examples include chlorine, bromine, phenyl, lower alkyl having 6 or less carbon atoms, nitro, phenoxy, alkoxy, acetoxy, acetyl, amino, and aminoalkyl groups.

【0077】一般式[4−18]で表されるトリハロメ
チル−s−トリアジンを化学式[4−25]から[4−
34]に、その他のラジカル発生剤を化学式[4−3
5]〜[4−39]に示す。これらのハロゲン化物は、
場合によっては、多重結合を有する化合物を存在させな
くても、光または熱によりポリシランを架橋させること
もある。
The trihalomethyl-s-triazine represented by the general formula [4-18] is converted from the chemical formulas [4-25] to [4-
34], other radical generators represented by the chemical formula [4-3]
5] to [4-39]. These halides are
In some cases, the polysilane may be cross-linked by light or heat without the presence of a compound having a multiple bond.

【0078】[0078]

【化41】 Embedded image

【0079】[0079]

【化42】 Embedded image

【0080】酸発生剤としては、例えばオニウム塩、ハ
ロゲン含有化合物、オルトキノンジアジド化合物、スル
ホン化合物、スルホン酸化合物、ニトロベンジル化合物
が挙げられる。これらのうちでも、オニウム塩、オルト
キノンジアジド化合物が好ましい。
Examples of the acid generator include onium salts, halogen-containing compounds, orthoquinonediazide compounds, sulfone compounds, sulfonic acid compounds, and nitrobenzyl compounds. Of these, onium salts and orthoquinonediazide compounds are preferred.

【0081】オニウム塩としては、ヨードニウム塩、ス
ルホニウム塩、ホスホニウム塩、ジアゾニウム塩、アン
モニウム塩が挙げられる。好ましくは、化学式[4−4
0]〜[4−42]で表わされる化合物が挙げられる。
Examples of the onium salts include iodonium salts, sulfonium salts, phosphonium salts, diazonium salts, and ammonium salts. Preferably, the chemical formula [4-4]
0] to [4-42].

【0082】ハロゲン含有化合物としては、ハロアルキ
ル基含有炭化水素系化合物、ハロアルキル基含有炭化水
素系化合物、ハロアルキル基含有ヘテロ環状化合物など
が挙げられる。特に、化学式[4−43]および[4−
44]で表わされる化合物が好ましい。
Examples of the halogen-containing compound include a haloalkyl group-containing hydrocarbon compound, a haloalkyl group-containing hydrocarbon compound, and a haloalkyl group-containing heterocyclic compound. In particular, the chemical formulas [4-43] and [4-
44] is preferred.

【0083】オルトキノンジアジド化合物としては、ジ
アゾベンゾキノン化合物、ジアゾナフトキノン化合物な
どが挙げられる。特に、化学式[4−45]〜[4−4
8]で表わされる化合物が好ましい。
Examples of the orthoquinonediazide compound include a diazobenzoquinone compound and a diazonaphthoquinone compound. In particular, the chemical formulas [4-45] to [4-4]
8] is preferred.

【0084】スルホン化合物としては、β−ケトスルホ
ン、β−スルホニルスルホンなどが挙げられる。特に、
化学式[4−49]で表わされる化合物が好ましい。
Examples of the sulfone compound include β-ketosulfone and β-sulfonylsulfone. In particular,
The compound represented by the chemical formula [4-49] is preferable.

【0085】ニトロベンジル化合物としては、ニトロベ
ンジルスルホネート化合物、ジニトロベンジルスルホネ
ート化合物などが挙げられる。特に、化学式[4−5
0]で表わされる化合物が好ましい。
Examples of the nitrobenzyl compound include a nitrobenzylsulfonate compound and a dinitrobenzylsulfonate compound. In particular, the chemical formula [4-5]
0] are preferred.

【0086】スルホン酸化合物としては、アルキルスル
ホン酸エステル、ハロアルキルスルホン酸エステル、ア
リールスルホン酸エステル、イミノスルホネートなどが
挙げられる。特に、化学式[4−51]〜[4−53]
で表わされる化合物が好ましい。
Examples of the sulfonic acid compound include alkyl sulfonic acid esters, haloalkyl sulfonic acid esters, aryl sulfonic acid esters, and imino sulfonates. In particular, the chemical formulas [4-51] to [4-53]
The compound represented by is preferred.

【0087】[0087]

【化43】 Embedded image

【0088】(式中、R14〜R16は互いに同一であって
も異なっていてもよく、それぞれ水素原子、アミノ基、
ニトロ基、シアノ基、置換もしくは非置換のアルキル基
またはアルコキシル基、XはSbF6 、PF6 、B
4 、CF3 CO2 、ClO4 、CF3 SO3
(In the formula, R 14 to R 16 may be the same or different, and each represents a hydrogen atom, an amino group,
A nitro group, a cyano group, a substituted or unsubstituted alkyl group or an alkoxyl group, and X represents SbF 6 , PF 6 , B
F 4 , CF 3 CO 2 , ClO 4 , CF 3 SO 3 ,

【0089】[0089]

【化44】 Embedded image

【0090】R17は水素原子、アミノ基、アニリノ基、
置換もしくは非置換のアルキル基またはアルコキシル
基、R18、R19は互いに同一であっても異なっていても
よく、それぞれ置換もしくは非置換のアルコキシル基、
20は水素原子、アミノ基、アニリノ基、置換もしくは
非置換のアルキル基またはアルコキシル基を示す。
R 17 is a hydrogen atom, an amino group, an anilino group,
A substituted or unsubstituted alkyl group or an alkoxyl group, R 18 and R 19 may be the same or different from each other, and each is a substituted or unsubstituted alkoxyl group;
R 20 represents a hydrogen atom, an amino group, an anilino group, a substituted or unsubstituted alkyl group or an alkoxyl group.

【0091】[0091]

【化45】 Embedded image

【0092】(式中、R21は、トリクロロメチル基、フ
ェニル基、メトキシフェニル基、ナフチル基またはメト
キシナフチル基を示す。)
(In the formula, R 21 represents a trichloromethyl group, a phenyl group, a methoxyphenyl group, a naphthyl group or a methoxynaphthyl group.)

【0093】[0093]

【化46】 Embedded image

【0094】(式中、R22〜R24は、互いに同一であっ
ても異なっていてもよく、それぞれ水素原子、ハロゲン
原子、メチル基、メトキシ基または水酸基を示す。)
(In the formula, R 22 to R 24 may be the same or different and each represents a hydrogen atom, a halogen atom, a methyl group, a methoxy group or a hydroxyl group.)

【0095】[0095]

【化47】 Embedded image

【0096】(式中、R25は、−CH2 −、−C(CH
3 2 −、−C(=O)−または−SO2 −を示し、q
は1〜6の整数、rは0〜5の整数であり、qとrとの
合計は1〜6である。)
(Wherein R 25 represents —CH 2 —, —C (CH
3) 2 -, - C ( = O) - or -SO 2 - indicates, q
Is an integer of 1 to 6, r is an integer of 0 to 5, and the sum of q and r is 1 to 6. )

【0097】[0097]

【化48】 Embedded image

【0098】(式中、R26は、水素原子またはメチル
基、R27は、−CH2 −、−C(CH32 −、−C
(=O)−または−SO2 −を示し、sは1〜6の整
数、tは0〜5の整数であり、sとtとの合計は1〜6
である。)
(Wherein, R 26 is a hydrogen atom or a methyl group, R 27 is —CH 2 —, —C (CH 3 ) 2 —, —C
(= O) - or -SO 2 - indicates, s is an integer from 1 to 6, t is an integer from 0 to 5, the sum of s and t is 1 to 6
It is. )

【0099】[0099]

【化49】 Embedded image

【0100】(式中、R28〜R31は、互いに同一であっ
ても異なっていてもよく、それぞれ置換もしくは非置換
のアルキル基またはハロゲン原子、Yは−C(=O)−
または−SO2 −を示し、uは0〜3の整数である。)
(Wherein, R 28 to R 31 may be the same or different from each other, and each represents a substituted or unsubstituted alkyl group or a halogen atom, and Y represents —C ((O) —
Or -SO 2- , and u is an integer of 0 to 3. )

【0101】[0101]

【化50】 Embedded image

【0102】(式中、R32は、置換もしくは非置換のア
ルキル基、R33は水素原子またはメチル基、R34は、
Wherein R 32 is a substituted or unsubstituted alkyl group, R 33 is a hydrogen atom or a methyl group, and R 34 is

【0103】[0103]

【化51】 Embedded image

【0104】(ただし、R35は、水素原子またはメチル
基、R36、R37は互いに同一であっても異なっていても
よく、それぞれ置換もしくは非置換のアルコキシル基を
示し、vは1〜3の整数である。)
(Provided that R 35 is a hydrogen atom or a methyl group, R 36 and R 37 may be the same or different, and each represents a substituted or unsubstituted alkoxyl group; Is an integer.)

【0105】[0105]

【化52】 Embedded image

【0106】(式中、R38、R39は互いに同一であって
も異なっていてもよく、それぞれ水素原子または置換も
しくは非置換のアルキル基、R40、R41は互いに同一で
あっても異なっていてもよく、それぞれ水素原子または
置換もしくは非置換のアルキル基またはアリール基を示
す。)
(In the formula, R 38 and R 39 may be the same or different, and a hydrogen atom or a substituted or unsubstituted alkyl group, R 40 and R 41 may be the same or different. And each represents a hydrogen atom or a substituted or unsubstituted alkyl group or aryl group.)

【0107】[0107]

【化53】 Embedded image

【0108】(式中、R42は水素原子または置換もしく
は非置換のアルキル基、R43、R44は互いに同一であっ
ても異なっていてもよく、それぞれ置換もしくは非置換
のアルキル基またはアリール基を示し、R43とR44とは
互いに結合して環構造を形成していてもよい。)
(In the formula, R 42 is a hydrogen atom or a substituted or unsubstituted alkyl group, R 43 and R 44 may be the same or different, and each represents a substituted or unsubstituted alkyl group or an aryl group. And R 43 and R 44 may combine with each other to form a ring structure.)

【0109】[0109]

【化54】 Embedded image

【0110】(式中、Zはフッ素原子または塩素原子を
示す。) 本発明において、有機シリコン化合物の架橋剤として
は、上述した多重結合を有する有機物以外にも以下のよ
うな物質を用いることができる。例えば、ヒドロキシル
基を有する有機物、エポキシ基を有する有機物、アミノ
基を有する有機物、ピリジンオキシド、アルコキシシリ
ル基、シリルエステル基、オキシムシリル基、エトキシ
シリル基、アミノシリル基、アミドシリル基、アミノキ
シシリル基またはハロゲンを有するケイ素化合物、有機
金属化合物、ハロゲンを含む化合物などである。
(In the formula, Z represents a fluorine atom or a chlorine atom.) In the present invention, as a cross-linking agent for the organosilicon compound, the following substances other than the above-mentioned organic substance having a multiple bond may be used. it can. For example, an organic substance having a hydroxyl group, an organic substance having an epoxy group, an organic substance having an amino group, pyridine oxide, an alkoxysilyl group, a silyl ester group, an oximusilyl group, an ethoxysilyl group, an aminosilyl group, an amidosilyl group, an aminoxysilyl group, or Examples thereof include a silicon compound having a halogen, an organic metal compound, and a compound including a halogen.

【0111】ヒドロキシル基を有する化合物としては、
多価アルコール、ノボラック樹脂、カルボキシル基を有
する化合物、シラノールが挙げられる。これらの化合物
は、光または熱によりSi−Hと反応して有機シリコン
化合物を架橋させる。このような化合物の具体例を化学
式[5−1]〜[5−28]に示す。
Examples of the compound having a hydroxyl group include:
Examples include polyhydric alcohols, novolak resins, compounds having a carboxyl group, and silanols. These compounds react with Si—H by light or heat to crosslink the organosilicon compound. Specific examples of such compounds are shown in chemical formulas [5-1] to [5-28].

【0112】エポキシ基を有する化合物としては、一般
にエピビスタイプのエポキシ樹脂、または脂環式エポキ
シ樹脂と呼ばれるものが挙げられる。これらの樹脂で
は、一部にヒドロキシル基が付加していてもよい。ま
た、これらの樹脂とともに上述した酸発生剤を添加して
もよい。このような化合物の具体例を化学式[6−1]
〜[6−12]に示す。
Examples of the compound having an epoxy group include those generally called epibis type epoxy resins or alicyclic epoxy resins. In these resins, a hydroxyl group may be partially added. Further, the above-mentioned acid generator may be added together with these resins. A specific example of such a compound is represented by a chemical formula [6-1].
To [6-12].

【0113】アミノ基を有する化合物としては、例えば
[7−1]〜[7−13]に示したものが挙げられる。
Examples of the compound having an amino group include those described in [7-1] to [7-13].

【0114】ピリジンオキシドとしては、例えば化学式
[8−1]〜[8−6]に示したものが挙げられる。
Examples of the pyridine oxide include those represented by the chemical formulas [8-1] to [8-6].

【0115】アルコキシシリル基、シリルエステル基、
オキシムシリル基、エトキシシリル基、アミノシリル
基、アミドシリル基、アミノキシシリル基またはハロゲ
ンを有するケイ素化合物としては、例えば化学式[9−
1]〜[9−52]に示したものが挙げられる。これら
の化学式において、Xは上記の置換基を示す。なお、こ
れらの化合物とともに、通常、シリコーンの縮合触媒と
して使用される白金、有機スズ化合物などの金属触媒、
塩基を使用してもよい。
An alkoxysilyl group, a silyl ester group,
Examples of the silicon compound having an oximesilyl group, an ethoxysilyl group, an aminosilyl group, an amidosilyl group, an aminoxysilyl group, or a halogen include a compound represented by the chemical formula [9-
1] to [9-52]. In these chemical formulas, X represents the above substituent. In addition, together with these compounds, platinum usually used as a condensation catalyst for silicone, metal catalysts such as organotin compounds,
A base may be used.

【0116】有機金属化合物とは、有機基が置換した金
属塩、金属錯体を意味する。金属としては、B、Mg、
Al、Ca、Ti、V、Mn、Fe、Co、Ni、C
u、Zn、Zr、Mo、Rh、Pd、Cd、In、Sn
が用いられる。このような化合物の具体例を、化学式
[10−1]〜[10−8]に示す。
The organic metal compound means a metal salt or metal complex substituted by an organic group. B, Mg,
Al, Ca, Ti, V, Mn, Fe, Co, Ni, C
u, Zn, Zr, Mo, Rh, Pd, Cd, In, Sn
Is used. Specific examples of such compounds are shown in chemical formulas [10-1] to [10-8].

【0117】ハロゲンを含む化合物としては、例えば化
学式[11−1]〜[11−9]に示したものが挙げら
れる。
Examples of the compound containing a halogen include those represented by the chemical formulas [11-1] to [11-9].

【0118】[0118]

【化55】 Embedded image

【0119】[0119]

【化56】 Embedded image

【0120】[0120]

【化57】 Embedded image

【0121】[0121]

【化58】 Embedded image

【0122】[0122]

【化59】 Embedded image

【0123】[0123]

【化60】 Embedded image

【0124】[0124]

【化61】 Embedded image

【0125】[0125]

【化62】 Embedded image

【0126】[0126]

【化63】 Embedded image

【0127】[0127]

【化64】 Embedded image

【0128】[0128]

【化65】 Embedded image

【0129】[0129]

【化66】 Embedded image

【0130】[0130]

【化67】 Embedded image

【0131】有機シリコン化合物を溶解する有機溶剤と
しては、特に限定されることはないが、例えばアセト
ン、メチルエチルケトン、メチルイソブチルケトン、シ
クロヘキサノン等のケトン系溶剤、メチルセロソルブ、
メチルセロソルブアセテート、エチルセロソルブアセテ
ート等のセロソルブ系溶剤、乳酸エチル、酢酸エチル、
酢酸ブチル、酢酸イソアミル等のエステル系溶剤、メタ
ノール、エタノール、イソプロパノール等のアルコール
系溶剤、その他アニソール、トルエン、キシレン、ナフ
サなどを挙げることができる。
The organic solvent for dissolving the organic silicon compound is not particularly limited. For example, ketone solvents such as acetone, methyl ethyl ketone, methyl isobutyl ketone and cyclohexanone, methyl cellosolve,
Cellosolve solvents such as methyl cellosolve acetate, ethyl cellosolve acetate, ethyl lactate, ethyl acetate,
Examples thereof include ester solvents such as butyl acetate and isoamyl acetate, alcohol solvents such as methanol, ethanol, and isopropanol, and anisole, toluene, xylene, and naphtha.

【0132】上述したように、所定の有機シリコン化合
物、および場合によってはさらに別の化合物を有機溶剤
に溶解することにより、有機シリコン膜の原料となる塗
布材料が調製される。得られた塗布材料を、例えばスピ
ンコート法、ディップ法等によって被加工膜11上に塗
布した後、ホットプレート、オーブン等を用いて50〜
500℃で10秒〜60分程度加熱あるいは架橋するこ
とにより、有機シリコン膜12を形成することができ
る。有機シリコン化合物が、加熱により酸化する場合は
低酸素濃度N2 、Ar、He等の不活性ガス雰囲気中で
加熱することが好ましい。この場合、酸素濃度は1%以
下であることが好ましく、50ppm以下であることが
より好ましい。
As described above, a coating material as a raw material of an organic silicon film is prepared by dissolving a predetermined organic silicon compound and, if necessary, another compound in an organic solvent. The obtained coating material is applied on the film to be processed 11 by, for example, a spin coating method, a dipping method or the like.
The organic silicon film 12 can be formed by heating or crosslinking at 500 ° C. for about 10 seconds to 60 minutes. When the organic silicon compound is oxidized by heating, it is preferable to heat the organic silicon compound in an atmosphere of an inert gas such as a low oxygen concentration N 2 , Ar, or He. In this case, the oxygen concentration is preferably 1% or less, more preferably 50 ppm or less.

【0133】次に、有機シリコン膜12上にレジストパ
ターンを形成する。レジストパターンの形成に当たって
は、まず、図1(b)に示すように、有機シリコン膜1
2上にレジスト膜13を形成する。レジスト膜13の膜
厚を薄くすれば、それだけ露光時の露光量裕度、フォー
カスの裕度、あるいは解像度を向上させることができ
る。そのため、レジスト膜13の膜厚は、有機シリコン
膜12を寸法制御性よくエッチングできる膜厚であれば
薄いほうがよく、0.01〜10μmであることが好ま
しい。
Next, a resist pattern is formed on the organic silicon film 12. In forming a resist pattern, first, as shown in FIG.
2, a resist film 13 is formed. When the thickness of the resist film 13 is reduced, the exposure latitude, the focus latitude, and the resolution can be improved. Therefore, the thickness of the resist film 13 is preferably as small as possible so long as the organic silicon film 12 can be etched with good dimensional controllability, and is preferably 0.01 to 10 μm.

【0134】レジスト膜13を形成するためのレジスト
組成物としては、可視光、紫外光などの露光によりパタ
ーニング可能な組成物であれば特に限定はされず、ポジ
型またはネガ型を選択して用いることができる。ポジ型
のレジスト組成物としては、例えば、ナフトキノンジア
ジドとノボラック樹脂とを含有するレジスト組成物(I
X−770、JSR社製)、t−BOCで保護したポリ
ビニルフェノール樹脂と酸発生剤とを含有する化学増幅
型レジスト組成物(APEX−E、シップレー社製)、
およびターシャリブチルメタクリレートを共重合させた
ポリビニルフェノール樹脂と酸発生剤とを含有するレジ
スト組成物(UVIIHS、シップレー社製)などが挙げ
られる。また、ネガ型のレジストとしては、例えば、ポ
リビニルフェノールとメラミン樹脂と光酸発生剤とを含
有する化学増幅型レジスト(SNR200,シップレー
社製)、およびポリビニルフェノールとビスアジド化合
物とを含有するレジスト(RD−2000N、日立化成
社製)などが挙げられるが、これらに限定されることは
ない。
The resist composition for forming the resist film 13 is not particularly limited as long as it is a composition that can be patterned by exposure to visible light, ultraviolet light, or the like, and a positive type or a negative type is selected and used. be able to. As the positive resist composition, for example, a resist composition containing naphthoquinonediazide and a novolak resin (I)
X-770, manufactured by JSR), a chemically amplified resist composition containing a polyvinylphenol resin protected with t-BOC and an acid generator (APEX-E, manufactured by Shipley),
And a resist composition (UVIIHS, manufactured by Shipley) containing a polyvinyl phenol resin obtained by copolymerizing tertiary butyl methacrylate and an acid generator. Examples of the negative resist include a chemically amplified resist (SNR200, manufactured by Shipley) containing polyvinylphenol, a melamine resin, and a photoacid generator, and a resist (RD) containing polyvinylphenol and a bisazide compound. -2000N, manufactured by Hitachi Chemical Co., Ltd.), but is not limited thereto.

【0135】上述したようなレジスト組成物の溶液を、
スピンコート法、ディップ法等の方法で有機シリコン膜
12上に塗布した後、ホットプレート、オーブン等を用
いて50〜180℃で10秒〜5分程度加熱して溶媒を
気化させることで、レジスト膜13が形成される。ま
た、光露光を行なった場合にレジスト膜中で生じる多重
反射を減少させるための上層反射防止層、あるいは電子
ビーム露光を行なった場合に生じるチャージアップを防
ぐための上層帯電防止膜を、レジスト膜13の上層に必
要に応じて形成してもよい。
The solution of the resist composition as described above was
After coating on the organic silicon film 12 by a method such as a spin coating method or a dipping method, the solvent is vaporized by heating at 50 to 180 ° C. for about 10 seconds to 5 minutes using a hot plate, an oven, or the like, thereby forming a resist. A film 13 is formed. An upper anti-reflection layer for reducing multiple reflections generated in the resist film when light exposure is performed, or an upper anti-static film for preventing charge-up generated when electron beam exposure is performed is formed of a resist film. 13 may be formed as needed.

【0136】こうして形成されたレジスト膜13に対
し、図1(c)に示すようにパターン露光を行なう。す
なわち、所望のパターンを有するマスクを介して、露光
光14をレジスト膜13に照射する。露光光14として
は、可視光、紫外光等を好適に使用することができる。
紫外光を照射するための光源としては、例えば水銀灯、
XeF(波長=351nm)、XeCl(波長=308
nm)、KrF(波長=248nm)、KrCl(波長
=222nm)、ArF(波長=193nm)、および
2 (波長=151nm)等のエキシマレーザーが挙げ
られる。また、電子ビーム、イオンビーム、またはX線
を用いてパターン露光を行なってもよい。
The resist film 13 thus formed is subjected to pattern exposure as shown in FIG. That is, the resist film 13 is irradiated with the exposure light 14 via a mask having a desired pattern. As the exposure light 14, visible light, ultraviolet light, or the like can be preferably used.
As a light source for irradiating ultraviolet light, for example, a mercury lamp,
XeF (wavelength = 351 nm), XeCl (wavelength = 308)
nm), KrF (wavelength = 248 nm), KrCl (wavelength = 222 nm), ArF (wavelength = 193 nm), and excimer lasers such as F 2 (wavelength = 151 nm). Further, pattern exposure may be performed using an electron beam, an ion beam, or X-rays.

【0137】こうしたパターン露光によって、レジスト
膜13の露光部には潜像が形成される。
By such pattern exposure, a latent image is formed on the exposed portion of the resist film 13.

【0138】潜像が形成された後、レジスト膜13を所
定の現像液で現像することにより、レジスト膜の潜像部
分が溶解除去され、図1(d)に示すようなレジストパ
ターンが形成される。ここで使用される現像液は、レジ
スト材料に合わせて適宜選択することができる。例え
ば、テトラメチルアンモニウムヒドロキシド溶液、コリ
ン、水酸化ナトリウム、および水酸化カリウム等のよう
なアルカリ現像液が挙げられる。
After the latent image is formed, the resist film 13 is developed with a predetermined developing solution to dissolve and remove the latent image portion of the resist film, thereby forming a resist pattern as shown in FIG. You. The developer used here can be appropriately selected according to the resist material. For example, an alkali developing solution such as a tetramethylammonium hydroxide solution, choline, sodium hydroxide, potassium hydroxide and the like can be mentioned.

【0139】ここでは、ポジ型のレジストを用いた例を
説明しているので、レジスト膜13の露光部13aが溶
解除去されて残存した未露光部13bによりレジストパ
ターンが形成されるが、ネガ型のレジストを用いた場合
には、レジスト膜13の未露光部13bが溶解除去され
て架橋した露光部13aによりレジストパターンが形成
される。
Here, since an example using a positive type resist is described, a resist pattern is formed by an unexposed portion 13b remaining after the exposed portion 13a of the resist film 13 is dissolved and removed. When the resist is used, the unexposed portion 13b of the resist film 13 is dissolved and removed to form a resist pattern by the cross-linked exposed portion 13a.

【0140】得られたレジストパターンをマスクとして
用い、図1(e)に示すように酸素源15を供給して、
有機シリコン膜12の露出部(レジストパターンに被覆
されていない部分)を選択的に酸化処理する。ここで採
用し得る酸化処理方法としては、以下の方法が挙げられ
る。 (I)電子ビーム、イオンビーム、紫外光、およびX線
などのエネルギービームをウエハー全面に照射する。特
に、レジストがフェノール系樹脂などの芳香族系樹脂を
含有する場合には、150〜230nm以下の波長領域
の紫外光を照射することが好ましい。これは、レジスト
中に含まれる芳香族系樹脂が、これらの波長領域の紫外
光に対して吸収性が高く、そのためレジストパターンに
被覆されていない領域を、被覆されている領域に対して
高コントラストで光照射することが可能になるためであ
る。脂環式樹脂を主成分とするレジストの場合、150
〜180nmの波長領域の紫外光を照射することが好ま
しい。こうしたレジストは、この波長領域の紫外光に対
して吸収性が高く、そのため、レジストパターンに被覆
されていない領域を、被覆されている領域に対して高コ
ントラストで光照射することが可能になるためである。
Using the obtained resist pattern as a mask, an oxygen source 15 was supplied as shown in FIG.
An exposed portion (a portion not covered with the resist pattern) of the organic silicon film 12 is selectively oxidized. As the oxidation treatment method that can be adopted here, the following method can be mentioned. (I) The entire surface of the wafer is irradiated with an energy beam such as an electron beam, an ion beam, ultraviolet light, and X-rays. In particular, when the resist contains an aromatic resin such as a phenolic resin, it is preferable to irradiate ultraviolet light in a wavelength region of 150 to 230 nm or less. This is because the aromatic resin contained in the resist has high absorptivity to ultraviolet light in these wavelength regions, so that the region not covered by the resist pattern has a high contrast with the covered region. This is because it becomes possible to irradiate light. In the case of a resist containing an alicyclic resin as a main component, 150
It is preferable to irradiate ultraviolet light in a wavelength region of 180 nm. Such a resist has a high absorbency against ultraviolet light in this wavelength region, and therefore, it is possible to irradiate a region not covered with the resist pattern with a high contrast to the region covered with the resist pattern. It is.

【0141】紫外光照射の照射量は、0.01〜10,
000J/cm2 であることが好ましい。0.01J/
cm2 未満の場合には、有機シリコン膜の露出部を充分
に酸化させることが困難となり、一方10,000J/
cm2 を越えると、レジストパターンに被覆されている
部分でも酸化が進行して、異方性よく有機シリコン膜を
加工することが困難になる。
The irradiation amount of the ultraviolet light irradiation is 0.01 to 10;
It is preferably 000 J / cm 2 . 0.01J /
If it is less than 2 cm 2, it is difficult to sufficiently oxidize the exposed portion of the organic silicon film, while 10,000 J /
If it exceeds cm 2 , oxidation proceeds even in the portion covered with the resist pattern, and it becomes difficult to process the organic silicon film with good anisotropy.

【0142】また、電子ビームの照射量は、0.01m
C/cm2 〜1,000C/cm2であることが好まし
い。0.01mC/cm2 未満の場合には、有機シリコ
ン膜の露出部を十分に酸化させることが困難となり、一
方1,000C/cm2 を越えると、レジストパターン
に被覆されている部分でも酸化が進行して、異方性よく
有機シリコン膜を加工することが困難になるおそれがあ
る。
The irradiation amount of the electron beam is 0.01 m
It is preferably C / cm 2 ~1,000C / cm 2 . If it is less than 0.01 mC / cm 2 , it becomes difficult to sufficiently oxidize the exposed portion of the organic silicon film, while if it exceeds 1,000 C / cm 2 , oxidation will occur even in the portion covered with the resist pattern. As the process proceeds, it may be difficult to process the organic silicon film with good anisotropy.

【0143】上述したようなエネルギービームを照射す
ることにより、有機シリコン膜12の露出部では、有機
シリコン化合物中の主鎖のSi−Si結合、あるいは主
鎖のシリコンと側鎖との結合が開裂し、シリコンのダン
グリングボンドが生じる。このダングリングボンドに酸
素が結合して、酸化反応が進行する。一方、有機シリコ
ン膜12のレジストパターン13bに被覆されている部
分では、露出部と比べてエネルギービームの照射強度が
弱いために前述したような結合が切れにくく、酸化され
ない。 (II)酸素プラズマを放電させて得られた酸素ラジカル
を、ウェハー全面に対して照射する。具体的には、ダウ
ンフロープラズマ装置を用いて、ソースガスO2=10
〜10,000SCCM、励起電力100〜10,00
0W、真空度1〜10,000mTorrの条件で酸素
プラズマを発生させて、ウェハー全面に照射する。
By irradiating the energy beam as described above, the Si—Si bond of the main chain in the organic silicon compound or the bond between silicon of the main chain and the side chain in the exposed portion of the organic silicon film 12 is cleaved. As a result, dangling bonds of silicon occur. Oxygen is bonded to this dangling bond, and an oxidation reaction proceeds. On the other hand, in the portion of the organic silicon film 12 which is covered with the resist pattern 13b, since the irradiation intensity of the energy beam is lower than that of the exposed portion, the above-described bond is hard to be broken and is not oxidized. (II) The entire surface of the wafer is irradiated with oxygen radicals obtained by discharging oxygen plasma. Specifically, using a down-flow plasma apparatus, source gas O 2 = 10
10,000 SCCM, excitation power 100 to 10,000
Oxygen plasma is generated under the conditions of 0 W and a degree of vacuum of 1 to 10,000 mTorr, and the entire surface of the wafer is irradiated.

【0144】こうした条件で酸素ラジカルを照射するこ
とによって、有機シリコン膜12の露出部では、酸素ラ
ジカルが有機シリコン化合物中の主鎖のSi−Si結
合、あるいは主鎖のシリコンと側鎖との結合を開裂さ
せ、シリコンのダングリングボンドと酸素ラジカルとが
結合して酸化反応が進行する。一方、有機シリコン膜1
2のレジストパターン13bに被覆されている部分で
は、レジストパターンがマスクとして作用して酸素ラジ
カルが浸透しないため酸化が起こらない。 (III )ウェハーをオゾン雰囲気に曝す。この場合、雰
囲気中のオゾンは少なくとも50ppb以上であること
が望まれる。50ppb未満の場合には、有機シリコン
膜12の露出部を十分に酸化することが困難となる。
By irradiating oxygen radicals under these conditions, in the exposed portion of the organic silicon film 12, oxygen radicals are bonded to the main chain Si—Si bond or the main chain silicon and the side chain in the organic silicon compound. Is cleaved, and the dangling bond of silicon and the oxygen radical combine to cause an oxidation reaction to proceed. On the other hand, the organic silicon film 1
In the portion covered by the second resist pattern 13b, oxidation does not occur because the resist pattern acts as a mask and oxygen radicals do not penetrate. (III) Exposing the wafer to an ozone atmosphere. In this case, it is desired that the ozone in the atmosphere is at least 50 ppb or more. If it is less than 50 ppb, it becomes difficult to sufficiently oxidize the exposed portion of the organic silicon film 12.

【0145】有機シリコン膜12の露出部では、オゾン
は有機シリコン化合物中の主鎖のSi−Si結合、ある
いは主鎖のシリコンと側鎖との結合を開裂させ、シリコ
ンのダングリングボンドと結合して酸化反応が進行す
る。一方、有機シリコン膜12のレジストパターンに被
覆されている部分は、オゾンが浸透せず酸化が起こらな
い。
At the exposed portion of the organic silicon film 12, the ozone cleaves the Si—Si bond of the main chain in the organic silicon compound or the bond between silicon and the side chain of the main chain, and bonds with the dangling bond of silicon. The oxidation reaction proceeds. On the other hand, the portion of the organic silicon film 12 covered with the resist pattern does not permeate ozone and does not oxidize.

【0146】こうした酸化処理を施すことによって、有
機シリコン膜12の露出部では、膜中に含有される有機
シリコン化合物の主鎖には、Si−O−Si結合が選択
的に形成される。さらに、有機シリコン化合物の主鎖の
シリコンに水素原子が結合している場合には、Si−O
Hが形成される。
By performing such an oxidation treatment, Si—O—Si bonds are selectively formed in the main chain of the organic silicon compound contained in the exposed portion of the organic silicon film 12. Further, when a hydrogen atom is bonded to silicon in the main chain of the organic silicon compound, Si—O
H is formed.

【0147】なお、上述したいずれの方法で有機シリコ
ン膜12を酸化処理する場合も、有機シリコン膜12の
露出部12aにおいては、ケイ素、酸素、および炭素の
組成比を所定の範囲とすることが好ましい。具体的に
は、有機シリコン膜に含まれるケイ素、酸素、および炭
素の原子数を、それぞれp、qおよびrとすると、q/
p≧0.1かつr/p≦0.8の関係を満たすように酸
化することが好ましい。q/p<0.1あるいはr/p
>0.8の場合には、レジストパターン13bをエッチ
ングマスクとして有機シリコン膜12をエッチングする
際に、フロロカーボン系の重合膜が有機シリコン膜12
表面に堆積して有機シリコン膜がエッチングされにくく
なり、対レジスト選択比が低下してしまう。その結果、
有機シリコン膜12のエッチング途中でレジストパター
ン13bが全て削れてなくなったり、後退するため有機
シリコン膜12を寸法制御性よく加工することが困難に
なる。
When the organic silicon film 12 is oxidized by any of the above-described methods, the composition ratio of silicon, oxygen, and carbon in the exposed portion 12a of the organic silicon film 12 must be within a predetermined range. preferable. Specifically, assuming that the numbers of silicon, oxygen, and carbon atoms contained in the organic silicon film are p, q, and r, respectively, q /
It is preferable to oxidize so as to satisfy the relationship of p ≧ 0.1 and r / p ≦ 0.8. q / p <0.1 or r / p
> 0.8, when the organic silicon film 12 is etched using the resist pattern 13b as an etching mask, the fluorocarbon polymer film is
The organic silicon film is deposited on the surface and becomes difficult to be etched, and the selectivity with respect to the resist decreases. as a result,
During the etching of the organic silicon film 12, the resist pattern 13b is not completely removed or receded, so that it becomes difficult to process the organic silicon film 12 with good dimensional control.

【0148】次に、所定の現像液で有機シリコン膜12
の酸化処理された部分を選択的に除去することによっ
て、図1(f)に示すような有機シリコン膜パターンが
形成される。
Next, the organic silicon film 12 is removed with a predetermined developing solution.
By selectively removing the oxidized portion, an organic silicon film pattern as shown in FIG. 1 (f) is formed.

【0149】現像液としては、フッ素を含む溶液を用い
ることができ、具体的には、フッ化水素、またはフッ化
アンモニウムを純水で希釈した溶液が挙げられる。この
場合、フッ化水素またはフッ化アンモニウム1容に対し
て、純水1〜1,000,000容で希釈することが好
ましい。純水が1容未満では、レジストパターンや有機
シリコン膜の酸化されていない部分がフッ素原子により
変質するおそれがあり、一方1,000,000容を越
えると、有機シリコン膜の酸化部分を溶解除去すること
が困難となる。
As the developing solution, a solution containing fluorine can be used, and specific examples include a solution obtained by diluting hydrogen fluoride or ammonium fluoride with pure water. In this case, it is preferable to dilute with 1 to 1,000,000 volumes of pure water per 1 volume of hydrogen fluoride or ammonium fluoride. If the volume of pure water is less than 1 volume, unoxidized portions of the resist pattern and the organic silicon film may be altered by fluorine atoms, while if it exceeds 1,000,000 volumes, the oxidized portion of the organic silicon film is dissolved and removed. It will be difficult to do.

【0150】また、フッ化水素とフッ化アンモニウムと
を混合して用いてもよく、混合比は体積比で1<(フッ
化アンモニウム/フッ化水素)<100の範囲にするこ
とが好ましい。混合する場合、純水の希釈倍率はフッ化
アンモニウムとフッ化水素との合計容積1容に対し、純
水1〜1,000,000容とすることが好ましい。純
水が1容未満では、レジストパターンや有機シリコン膜
の酸化されていない部分がフッ素原子により変質するお
それがあり、一方1,000,000容を越えると、有
機シリコン膜の酸化部分を溶解除去することが困難とな
る。このようにフッ化水素とフッ化アンモニウムとを混
合することで、レジストパターンを膨潤させることな
く、かつエッチング速度の高い再現性が得られる。
Further, a mixture of hydrogen fluoride and ammonium fluoride may be used, and the mixing ratio is preferably in the range of 1 <(ammonium fluoride / hydrogen fluoride) <100. In the case of mixing, the dilution ratio of pure water is preferably 1 to 1,000,000 volumes of pure water with respect to 1 volume of the total volume of ammonium fluoride and hydrogen fluoride. If the volume of pure water is less than 1 volume, unoxidized portions of the resist pattern and the organic silicon film may be altered by fluorine atoms, while if it exceeds 1,000,000 volumes, the oxidized portion of the organic silicon film is dissolved and removed. It will be difficult to do. By mixing hydrogen fluoride and ammonium fluoride in this way, high reproducibility of the etching rate can be obtained without swelling the resist pattern.

【0151】なお、溶液の供給方法は特に限定されるこ
とはないが、溶液中へウェハーを浸漬させる方法、溶液
をスプレーでウェハー上に噴射する方法、溶液をウェハ
ー上にもる方法などが挙げられる。また、フッ素を含む
溶液を用いて有機シリコン膜の酸化部分を溶解除去する
場合、上述のフッ素原子を含む蒸気を用いて溶解除去し
てもよい。
The method of supplying the solution is not particularly limited, and examples thereof include a method of dipping the wafer into the solution, a method of spraying the solution onto the wafer by spraying, and a method of pouring the solution onto the wafer. Can be In the case where the oxidized portion of the organic silicon film is dissolved and removed using a solution containing fluorine, the oxidized portion may be dissolved and removed using the above-described vapor containing a fluorine atom.

【0152】本発明のパターン形成方法では、特定の有
機シリコン化合物を含有する材料を用いて、被加工膜と
レジスト膜との間に下層膜を形成し、レジストパターン
をマスクとして有機シリコン膜の所定の領域に選択的に
酸化処理を施している。有機シリコン膜の酸化処理部分
は特定の現像液で溶解除去することができるので、微細
なレジストパターンを高い寸法精度で有機シリコン膜に
転写して、有機シリコン膜パターンを形成することが可
能である。具体的には、図1(d)に示されるレジスト
パターンの寸法(X)と、図1(Y)に示される有機シ
リコン膜パターンの寸法(Y)との差(Y−X)で定義
される寸法変換差は、10nm以下に抑えることができ
る。
In the pattern forming method of the present invention, a lower layer film is formed between a film to be processed and a resist film using a material containing a specific organic silicon compound, and a predetermined pattern of the organic silicon film is formed using the resist pattern as a mask. Region is selectively oxidized. Since the oxidized portion of the organic silicon film can be dissolved and removed with a specific developer, it is possible to form an organic silicon film pattern by transferring a fine resist pattern to the organic silicon film with high dimensional accuracy. . Specifically, it is defined by the difference (YX) between the dimension (X) of the resist pattern shown in FIG. 1D and the dimension (Y) of the organic silicon film pattern shown in FIG. Dimensional conversion difference can be suppressed to 10 nm or less.

【0153】しかも、有機シリコン膜の酸化処理および
酸化部分の溶解除去処理の際には、レジストパターンの
膜減りは全く生じることはないので、レジスト膜厚を薄
くして、解像度を高めることもできる。
In addition, in the process of oxidizing the organic silicon film and the process of dissolving and removing the oxidized portion, no reduction in the thickness of the resist pattern occurs, so that the resolution can be increased by reducing the resist film thickness. .

【0154】こうして形成されたレジストパターンと有
機シリコン膜パターンとにより構成されるマスク材パタ
ーンは、断面形状が良好であるとともに、十分な膜厚を
有しているので、被加工膜をエッチングするためのエッ
チングマスクとして有効に用いることができる。
The mask material pattern composed of the resist pattern and the organic silicon film pattern thus formed has a good cross-sectional shape and a sufficient film thickness. Can be effectively used as an etching mask.

【0155】次に、第2の発明のパターン形成方法につ
いて説明する。
Next, the pattern forming method of the second invention will be described.

【0156】第2の発明のパターン形成方法において
は、前述したような有機シリコン膜12の酸化処理部分
をアルカリ水溶液で溶解除去する。この場合、有機シリ
コン膜12中に含有される有機シリコン化合物は、主鎖
のシリコンに結合した水素原子を有することが必要であ
る。こうした有機シリコン化合物としては、例えば前述
の化学式[1−1]〜[1−26]で表わされる構造が
挙げられる。有機シリコン化合物、有機シリコン膜を現
像する際の現像液を変更する以外は、前述の第1の発明
のパターン形成方法と同様にして第2のパターン形成方
法を行うことができる。
In the pattern forming method of the second invention, the oxidized portion of the organic silicon film 12 as described above is dissolved and removed with an alkaline aqueous solution. In this case, the organic silicon compound contained in the organic silicon film 12 needs to have a hydrogen atom bonded to silicon in the main chain. Such organic silicon compounds include, for example, the structures represented by the aforementioned chemical formulas [1-1] to [1-26]. The second pattern forming method can be performed in the same manner as the above-described pattern forming method of the first invention, except that the organic silicon compound and the developing solution for developing the organic silicon film are changed.

【0157】有機シリコン膜の酸化処理部分をアルカリ
水溶液で溶解除去できるのは、酸化処理により、上述し
たように側鎖の水素原子が水酸基となってアルカリ水溶
液に可溶性を呈するためである。なお、酸化処理によら
ず、予め有機シリコン膜がSi−OHユニットを有する
場合でも、酸化部分のみを選択的にアルカリ水溶液で溶
解除去することが可能である。その理由は、酸化処理に
よりSi−O−Si結合が生成して極性が向上し、アル
カリ水溶液が浸透しやすくなるためである。Si−OH
ユニットを有する有機シリコン化合物としては、前述の
化学式[1−115]〜[1−118]で表わされる構
造を挙げることができる。
The reason why the oxidized portion of the organic silicon film can be dissolved and removed with an aqueous alkaline solution is that the hydrogen atoms in the side chains become hydroxyl groups and become soluble in the aqueous alkaline solution as described above. In addition, even if the organic silicon film has a Si-OH unit in advance, regardless of the oxidation treatment, it is possible to selectively dissolve and remove only the oxidized portion with an alkaline aqueous solution. The reason for this is that the oxidation treatment generates a Si—O—Si bond, improves the polarity, and facilitates the penetration of an alkaline aqueous solution. Si-OH
Examples of the organosilicon compound having a unit include the structures represented by the aforementioned chemical formulas [1-115] to [1-118].

【0158】第2のパターン形成方法において用い得る
アルカリ水溶液としては、例えば、テトラメチルアンモ
ニウムヒドロキシド、コリン等の有機アルカリ水溶液;
水酸化ナトリウム、水酸化カリウム等の無機アルカリ水
溶液等が挙げられる。この場合、アルカリ水溶液の規定
度は0.01〜1.0規定であることが好ましい。0.
01規定未満では、有機シリコン膜12の酸化部分を溶
解除去することが困難となり、一方、1.0規定を越え
るとレジストパターンがアルカリ水溶液に侵されるおそ
れがあるからである。
Examples of the aqueous alkali solution that can be used in the second pattern forming method include, for example, an aqueous organic alkali solution such as tetramethylammonium hydroxide and choline;
An aqueous solution of an inorganic alkali such as sodium hydroxide or potassium hydroxide can be used. In this case, the normality of the aqueous alkali solution is preferably 0.01 to 1.0 normal. 0.
If it is less than 01 normal, it is difficult to dissolve and remove the oxidized portion of the organic silicon film 12, while if it exceeds 1.0 normal, the resist pattern may be attacked by an aqueous alkaline solution.

【0159】アルカリ水溶液により有機シリコン膜12
の酸化処理部分を溶解除去する第2の発明においても、
第1の発明のパターン形成方法と同様に、微細なレジス
トパターンを高い寸法精度で有機シリコン膜に転写し
て、10nm以下の寸法変換差で有機シリコン膜パター
ンを形成することが可能である。しかも、有機シリコン
膜の酸化処理および酸化部分の溶解除去処理の際には、
レジストパターンの膜減りは全く生じることはないの
で、レジスト膜厚を薄くして、解像度を高めることもで
きる。
The organic silicon film 12 is formed with an aqueous alkali solution.
In the second invention in which the oxidized portion is dissolved and removed,
Similarly to the pattern forming method of the first invention, it is possible to transfer a fine resist pattern onto an organic silicon film with high dimensional accuracy and form an organic silicon film pattern with a dimensional conversion difference of 10 nm or less. In addition, during the oxidation treatment of the organic silicon film and the dissolution removal treatment of the oxidized portion,
Since there is no reduction in the film thickness of the resist pattern, the resolution can be increased by reducing the resist film thickness.

【0160】こうして形成されたレジストパターンと有
機シリコン膜パターンとにより構成されるマスク材パタ
ーンは、断面形状が良好であるとともに、十分な膜厚を
有しているので、被加工膜をエッチングするためのエッ
チングマスクとして有効に用いることができる。
The mask material pattern formed by the thus formed resist pattern and organic silicon film pattern has a good cross-sectional shape and a sufficient film thickness. Can be effectively used as an etching mask.

【0161】次に、第3の発明のパターン形成方法につ
いて説明する。
Next, the pattern forming method of the third invention will be described.

【0162】図2および図3は、第3の発明のパターン
形成方法の一例を表わす工程断面図である。
FIGS. 2 and 3 are process sectional views showing an example of the pattern forming method of the third invention.

【0163】まず、図2(a)に示すように、シリコン
ウェハー110上に設けられた被加工膜115上に、有
機シリコン膜116を形成する。被加工膜としては、例
えば、酸化シリコン膜;窒化シリコン膜;酸窒化シリコ
ン膜、スピンオングラス;マスク等の製造の際に用いら
れるブランク材などのシリコン系絶縁膜;アモルファス
シリコン、ポリシリコン、シリコン基板などのシリコン
系材料;アルミニウム、アルミニウムシリサイド、カッ
パー、タングステン、タングステンシリサイド、コバル
トシリサイド、ルテニウムなどの配線材料や電極材料が
挙げられるがこれに限定されることはない。
First, as shown in FIG. 2A, an organic silicon film 116 is formed on a film 115 to be processed provided on a silicon wafer 110. Examples of the film to be processed include a silicon oxide film; a silicon nitride film; a silicon oxynitride film; spin-on-glass; a silicon-based insulating film such as a blank material used in manufacturing a mask or the like; amorphous silicon, polysilicon, and a silicon substrate. And silicon-based materials such as aluminum, aluminum silicide, copper, tungsten, tungsten silicide, cobalt silicide, ruthenium, and other wiring materials and electrode materials, but are not limited thereto.

【0164】図2(a)に示す例においては、被加工膜
115は酸化シリコン膜111を介してシリコンウェハ
ー110上に形成され、被加工膜115は、TiN層1
12、Ti層113および0.5%Cu−Al層114
を含む積層構造の金属配線層である。
In the example shown in FIG. 2A, the processed film 115 is formed on the silicon wafer 110 via the silicon oxide film 111, and the processed film 115 is
12, Ti layer 113 and 0.5% Cu-Al layer 114
Is a metal wiring layer having a laminated structure including:

【0165】有機シリコン膜116は、シリコンとシリ
コンとの結合を主鎖に有する有機シリコン化合物を含有
しており、この化合物の主鎖を構成するSi−Si結合
は紫外光を吸収する。したがって、有機シリコン膜11
6の上に形成されたレジスト膜に対して紫外光を用いて
パターン露光を行なう際には、有機シリコン膜116
は、露光光のレジスト膜への反射を防ぐので反射防止膜
として作用する。
The organic silicon film 116 contains an organic silicon compound having a bond between silicon and silicon in its main chain, and the Si—Si bond forming the main chain of this compound absorbs ultraviolet light. Therefore, the organic silicon film 11
When pattern exposure is performed on the resist film formed on the substrate 6 using ultraviolet light, the organic silicon film 116 is exposed.
Acts as an anti-reflection film because it prevents exposure light from reflecting on the resist film.

【0166】有機シリコン膜116の膜厚は、0.00
5〜5μm程度であることが好ましい。膜厚が0.00
5μm未満では、光露光でパターン形成を行なう場合、
下地基板からの反射光を十分に抑えることができず、一
方5μmを越えて厚いと、レジストパターンを、本発明
の第3の方法で下層膜にパターン転写する際に寸法変換
差が顕著に発生するおそれがあるためである。
The thickness of the organic silicon film 116 is 0.00
It is preferably about 5 to 5 μm. Thickness 0.00
If it is less than 5 μm, when performing pattern formation by light exposure,
If the reflected light from the base substrate cannot be sufficiently suppressed, while if the thickness exceeds 5 μm, a significant dimensional conversion difference occurs when the resist pattern is transferred to the lower layer film by the third method of the present invention. This is because there is a risk of doing so.

【0167】本発明の第3の方法において、有機シリコ
ン膜116は、溶液を塗布する方法により、あるいはC
VD法(化学的気相蒸着法)のような気相法により被加
工膜上に成膜することができるが、塗布法で有機シリコ
ン膜を形成することが好ましい。この理由は、CVD法
と比較して、塗布法はプロセスコストが簡易であるから
である。
In the third method of the present invention, the organic silicon film 116 is formed by applying a solution or
Although a film can be formed on a film to be processed by a vapor phase method such as a VD method (chemical vapor deposition method), it is preferable to form an organic silicon film by a coating method. This is because the coating method has a simpler process cost than the CVD method.

【0168】すなわち、本発明の第3のパターン形成方
法においても、前述の第1のパターン形成方法の場合と
同様に、一般式(1)で表される繰り返し単位を有する
ポリシランを用いて塗布法により有機シリコン膜を形成
することができる。使用し得る有機シリコン化合物とし
ては、前記一般式[1−1]〜[1−118]で表され
る化合物に加えて、下記一般式[1−119]〜[1−
120]で表されるものが挙げられる。なお、式中、
m,nは正の整数を表わす。
That is, in the third pattern forming method of the present invention, as in the case of the above-mentioned first pattern forming method, a coating method using a polysilane having a repeating unit represented by the general formula (1) is used. Thus, an organic silicon film can be formed. As the organosilicon compounds that can be used, in addition to the compounds represented by the aforementioned general formulas [1-1] to [1-118], the following general formulas [1-119] to [1-119]
120]. In the equation,
m and n represent positive integers.

【0169】[0169]

【化68】 Embedded image

【0170】こうした化合物の重量平均分子量は、特に
限定されないが、前述と同様の理由から200〜10
0,000であることが好ましい。
The weight average molecular weight of such a compound is not particularly limited, but may be 200 to 10 for the same reason as described above.
Preferably it is 000.

【0171】有機シリコン化合物に対しては、必要に応
じて、貯蔵安定性をはかるための熱重合防止剤、被加工
膜への密着性を向上させるための密着性向上剤、被加工
膜からレジスト膜中へ反射する光を防ぐために紫外光を
吸収する染料、ポリサルフォン、ポリベンズイミダゾー
ルなどの紫外光を吸収するポリマー、導電性物質、光や
熱等で導電性が生じる物質、あるいは有機シリコン化合
物を架橋させ得る架橋剤を添加してもよい。
For the organosilicon compound, if necessary, a thermal polymerization inhibitor for measuring storage stability, an adhesion improver for improving adhesion to a film to be processed, and a resist for improving the adhesion to a film to be processed. A dye that absorbs ultraviolet light to prevent light from being reflected into the film, a polymer that absorbs ultraviolet light such as polysulfone, polybenzimidazole, a conductive substance, a substance that becomes conductive by light or heat, or an organic silicon compound A crosslinking agent capable of crosslinking may be added.

【0172】こうした化合物としては、すでに第1のパ
ターン形成方法において説明したようなものが挙げられ
る。
Examples of such compounds include those already described in the first pattern forming method.

【0173】第3のパターン形成方法においても、所定
の有機シリコン化合物、および必要に応じてさらに別の
化合物を有機溶剤に溶解することにより、有機シリコン
膜の原料となる塗布材料を調製し、被加工膜115上に
塗布し、加熱して有機シリコン膜116を形成する。こ
こで用い得る有機溶剤、および加熱の条件等は、第1の
パターン形成方法の場合と同様である。
Also in the third pattern forming method, a coating material as a raw material of an organic silicon film is prepared by dissolving a predetermined organic silicon compound and, if necessary, another compound in an organic solvent. The organic silicon film 116 is formed by applying the film on the processing film 115 and heating. The organic solvent that can be used here, the heating conditions, and the like are the same as in the case of the first pattern forming method.

【0174】第3のパターン形成方法においては、有機
シリコン膜116中でのケイ素含有量は、有機シリコン
膜固形分100重量部に対して10〜65重量部である
ことが好ましい。10重量部未満の場合には、有機シリ
コン膜に対して、紫外光、あるいは加熱を行ってもSi
−O−Siの結合ユニットが十分に生成せず、有機シリ
コン膜をエッチングする際にレジストパターンに対する
エッチング選択比がとれなくなるおそれがある。一方6
5重量部を越えると、塗膜の安定性がなくなってしま
う。
In the third pattern forming method, the silicon content in the organic silicon film 116 is preferably 10 to 65 parts by weight based on 100 parts by weight of the solid content of the organic silicon film. If the amount is less than 10 parts by weight, even if ultraviolet light or heating is performed on the organosilicon film, Si
There is a possibility that the -O-Si bonding unit is not sufficiently generated, and the etching selectivity to the resist pattern cannot be obtained when etching the organic silicon film. 6
If the amount exceeds 5 parts by weight, the stability of the coating film will be lost.

【0175】次に、有機シリコン膜116の上にレジス
トパターンを形成する。レジストパターンの形成に当た
っては、まず、図2(b)に示すように、有機シリコン
膜116上にレジスト膜117を形成する。レジスト膜
117の膜厚を薄くすれば、それだけ露光時の露光量裕
度、フォーカスの裕度、あるいは解像度を向上させるこ
とができる。そのため、レジスト膜117の膜厚は、有
機シリコン膜116を寸法制御性よくエッチングできる
膜厚であれば薄いようがよく、0.01〜10μmが好
ましい。
Next, a resist pattern is formed on the organic silicon film 116. In forming the resist pattern, first, a resist film 117 is formed on the organic silicon film 116 as shown in FIG. By reducing the thickness of the resist film 117, the exposure latitude, focus latitude, or resolution can be improved. Therefore, the thickness of the resist film 117 may be small as long as the organic silicon film 116 can be etched with good dimensional control, and is preferably 0.01 to 10 μm.

【0176】レジスト膜117を形成するためのレジス
ト組成物としては、可視光、紫外光などの露光によりパ
ターニング可能な組成物であれば特に限定されず、ポジ
型またはネガ型を選択して用いることができる。具体的
には、前述の第1のパターン形成方法で挙げたようなレ
ジスト組成物を用いて、前述と同様の条件でレジスト膜
117を形成することができる。また、光露光を行なっ
た場合にレジスト膜中で生じる多重反射を減少させるた
めの上層反射防止膜、あるいは電子ビーム露光を行なっ
た場合に生じるチャージアップを防ぐための上層帯電防
止膜を、レジスト膜117の上層に形成してもよい。
The resist composition for forming the resist film 117 is not particularly limited as long as it is a composition that can be patterned by exposure to visible light, ultraviolet light, or the like, and a positive or negative type is selected and used. Can be. Specifically, the resist film 117 can be formed under the same conditions as described above using the resist composition as described in the first pattern forming method. An upper antireflection film for reducing multiple reflections generated in the resist film when performing light exposure, or an upper antistatic film for preventing charge-up that occurs when performing electron beam exposure, is provided with a resist film. 117 may be formed on the upper layer.

【0177】こうして形成されたレジスト膜117の所
定の領域を選択的に露光して潜像を形成し、この潜像部
分を現像液で選択的に溶解除去することによって、図2
(c)に示すようなレジストパターン118が形成され
る。レジスト膜に潜像を形成するための露光光、および
潜像部分を溶解除去するための現像液としては、第1の
パターン形成方法の場合と同様の露光光および現像液を
用いることができる。
By selectively exposing a predetermined area of the resist film 117 thus formed to form a latent image, and selectively dissolving and removing the latent image portion with a developing solution, as shown in FIG.
A resist pattern 118 as shown in FIG. As the exposure light for forming a latent image on the resist film and the developing solution for dissolving and removing the latent image portion, the same exposure light and developing solution as in the first pattern forming method can be used.

【0178】次に、図3(a)に示すように、得られた
レジストパターン118の上部から、有機シリコン膜1
16に対して紫外光119を照射して、有機シリコン膜
における、少なくとも有機シリコン膜の露出部(レジス
トパターン118に被覆されていない領域)を酸化す
る。ここで照射される紫外光は、450nmより短波長
の光を含んでいることが望ましい。450nmより長波
長の光では、有機シリコン化合物の主鎖を構成するシリ
コンとシリコンとの結合を切断するのにエネルギーが不
十分であり、充分に有機シリコン膜116をグラス化す
ることができないおそれがある。用い得る光源として
は、例えば、水銀灯、エキシマランプ、XeF(波長=
351nm)、XeCl(波長=308nm)、KrF
(波長=248nm)、KrCl(波長=222n
m)、ArF(波長=193nm)、およびF2 (波長
=151nm)等のエキシマレーザーが挙げられる。
Next, as shown in FIG. 3A, the organic silicon film 1
16 is irradiated with ultraviolet light 119 to oxidize at least an exposed portion of the organic silicon film (a region not covered with the resist pattern 118) in the organic silicon film. It is desirable that the ultraviolet light irradiated here contains light having a wavelength shorter than 450 nm. Light with a wavelength longer than 450 nm has insufficient energy to break the bond between silicon and silicon constituting the main chain of the organosilicon compound, and the organic silicon film 116 may not be sufficiently glassy. is there. As a light source that can be used, for example, a mercury lamp, an excimer lamp, and XeF (wavelength =
351 nm), XeCl (wavelength = 308 nm), KrF
(Wavelength = 248 nm), KrCl (wavelength = 222 n)
m), ArF (wavelength = 193 nm), and excimer laser such as F 2 (wavelength = 151 nm).

【0179】こうした紫外光の照射量は、0.01〜1
0,000J/cm2 の範囲であることが好ましい。
0.01J/cm2 未満では、有機シリコン膜116を
充分に酸化することが困難であり、一方10,000J
/cm2 を越えると、レジストパターンが光分解して収
縮してしまうおそれがある。
The irradiation amount of the ultraviolet light is 0.01 to 1
It is preferably in the range of 000 J / cm 2 .
If it is less than 0.01 J / cm 2 , it is difficult to sufficiently oxidize the organic silicon film 116, while 10,000 J / cm 2 is difficult.
If it exceeds / cm 2 , the resist pattern may undergo photolysis and contract.

【0180】紫外光119を照射することにより、有機
シリコン膜116の露出部(レジストパターン118に
被覆されていない部分)116aでは、まず、有機シリ
コン化合物中の主鎖のSi−Si結合、あるいは主鎖の
シリコンと側鎖との結合が開裂し、シリコンのダングリ
ングボンドが生じる。このダングリングボンドに酸素が
結合して酸化反応が進行する。有機シリコン膜116の
レジストパターン118に被覆されている領域116b
については、レジストパターン118中での透過性が高
い紫外光を用いた場合には、露出部116aと同様に酸
化が進行するが、透過性が低い紫外光を用いた場合には
酸化は進行しない。第3の発明のパターン形成方法にお
いては、レジストパターン118に被覆されていない有
機シリコン膜の領域116aは酸化させなければならな
いが、レジストパターンに被覆されている領域116b
に関しては必ずしも酸化させる必要はない。
By irradiating the ultraviolet light 119, the exposed portion of the organic silicon film 116 (the portion not covered with the resist pattern 118) 116a firstly has the Si-Si bond of the main chain in the organic silicon compound or the main portion. The bond between the silicon of the chain and the side chain is cleaved, resulting in a dangling bond of silicon. Oxygen is bonded to this dangling bond and an oxidation reaction proceeds. Region 116b of organic silicon film 116 covered with resist pattern 118
With respect to, when ultraviolet light having high transmittance in the resist pattern 118 is used, oxidation proceeds similarly to the exposed portion 116a, but when ultraviolet light having low transmittance is used, oxidation does not progress . In the pattern forming method of the third invention, the region 116a of the organic silicon film not covered with the resist pattern 118 must be oxidized, but the region 116b covered with the resist pattern must be oxidized.
Does not necessarily need to be oxidized.

【0181】なお、有機シリコン膜116の下層の被加
工膜115の材質等に応じて、レジストパターン118
に被覆されていない領域116bの酸化状態を選択する
ことができる。例えば、有機シリコン膜パターンをマス
クとして被加工膜115をフッ素系ガスでエッチングす
る場合には、レジストパターン118に被覆されている
領域116bは酸化させない方が好ましい。これは、レ
ジストパターン118に被覆されている領域116bが
酸化シリコンライクな膜質になると、被加工膜115の
エッチング時にエッチングマスクとしての有機シリコン
膜パターンの耐性が劣化するためである。この場合に
は、紫外光のレジストパターン1μm当たりのエネルギ
ー透過率が10%以下であることが好ましい。一方、有
機シリコン膜パターンをマスクとして塩素系ガスまたは
臭素系ガスで被加工膜115をエッチングする場合は、
レジストパターン118に被覆されている領域116b
は酸化シリコンライクな膜質であることが望まれる。シ
リコンライクな膜質であると、被加工膜115のエッチ
ング時にエッチングマスクとしての有機シリコン膜パタ
ーンの耐性が高められる。このため、レジストパターン
118に被覆されている領域116bは酸化させる方が
好ましく、紫外光のレジストパターン1μm当たりのエ
ネルギー透過率が5%以上であることが好ましい。
The resist pattern 118 depends on the material of the film 115 to be processed under the organic silicon film 116.
The oxidation state of the region 116b which is not covered with the first region can be selected. For example, when the processing target film 115 is etched with a fluorine-based gas using the organic silicon film pattern as a mask, it is preferable that the region 116b covered with the resist pattern 118 is not oxidized. This is because when the region 116b covered with the resist pattern 118 has a silicon oxide-like film quality, the resistance of the organic silicon film pattern as an etching mask during etching of the processing target film 115 is deteriorated. In this case, the energy transmittance of the ultraviolet light per 1 μm of the resist pattern is preferably 10% or less. On the other hand, when the processing target film 115 is etched with a chlorine-based gas or a bromine-based gas using the organic silicon film pattern as a mask,
Region 116b covered with resist pattern 118
Is desired to have a silicon oxide-like film quality. When the film quality is silicon-like, the resistance of the organic silicon film pattern as an etching mask at the time of etching the processing target film 115 is enhanced. Therefore, it is preferable to oxidize the region 116b covered with the resist pattern 118, and it is preferable that the energy transmittance of the ultraviolet light per 1 μm of the resist pattern is 5% or more.

【0182】また、レジストパターン118に被覆され
ている領域116bの酸化が許容できる場合は、必ずし
も紫外光を照射して酸化する必要はなく、加熱によって
有機シリコン膜116を熱酸化してもよい。この場合の
加熱方法は特に限定されることはなく、例えば、ホット
プレート、オーブン、およびランプ加熱などが挙げら
れ、有機シリコン膜116の温度が50〜180℃にな
るような温度で加熱することが好ましい。加熱温度が5
0℃以下では酸化が充分に進行せず、一方180℃を越
えるとレジストパターン118がフローして変形してし
まうからである。加熱によって有機シリコン膜の所定の
領域を酸化する場合、この有機シリコン膜に含まれる有
機シリコン化合物は、主鎖のシリコンに水素原子が結合
した構造であることが好ましく、このような構造として
は、前述の化学式[1−1]〜[1−26]で表わされ
る構造が挙げられる。
If the region 116b covered with the resist pattern 118 can be oxidized, it is not always necessary to oxidize the region by irradiating ultraviolet light, and the organic silicon film 116 may be thermally oxidized by heating. The heating method in this case is not particularly limited, and examples thereof include a hot plate, an oven, and lamp heating. The heating can be performed at a temperature such that the temperature of the organic silicon film 116 becomes 50 to 180 ° C. preferable. Heating temperature is 5
If the temperature is lower than 0 ° C., the oxidation does not sufficiently proceed, while if the temperature exceeds 180 ° C., the resist pattern 118 flows and is deformed. When a predetermined region of the organic silicon film is oxidized by heating, the organic silicon compound contained in the organic silicon film preferably has a structure in which hydrogen atoms are bonded to silicon in the main chain. Examples include the structures represented by the aforementioned chemical formulas [1-1] to [1-26].

【0183】なお、紫外光照射および加熱のいずれの方
法で有機シリコン膜116を酸化する場合も、有機シリ
コン膜116の露出部116aにおいては、ケイ素、酸
素、および炭素の組成比を所定の範囲とすることが好ま
しい。具体的には、有機シリコン膜に含まれるケイ素、
酸素、および炭素の原子数を、それぞれp、qおよびr
とすると、q/p≧0.1かつr/p≦0.8の関係を
満たすように酸化することが好ましい。q/p<0.1
あるいはr/p>0.8の場合には、レジストパターン
118をエッチングマスクとして有機シリコン膜116
をエッチングする際に、フロロカーボン系の重合膜が有
機シリコン膜116表面に堆積して有機シリコン膜がエ
ッチングされにくくなり、対レジスト選択比が低下して
しまう。その結果、有機シリコン膜116のエッチング
途中でレジストパターン118が全て削れてなくなった
り、後退するため有機シリコン膜116を寸法制御性よ
く加工することが困難になる。
When the organic silicon film 116 is oxidized by either ultraviolet light irradiation or heating, the composition ratio of silicon, oxygen, and carbon in the exposed portion 116a of the organic silicon film 116 falls within a predetermined range. Is preferred. Specifically, silicon contained in the organic silicon film,
The numbers of oxygen and carbon atoms are p, q and r, respectively.
Then, it is preferable to oxidize so as to satisfy the relationship of q / p ≧ 0.1 and r / p ≦ 0.8. q / p <0.1
Alternatively, when r / p> 0.8, the organic silicon film 116 is used with the resist pattern 118 as an etching mask.
When etching is performed, a fluorocarbon-based polymer film is deposited on the surface of the organic silicon film 116, so that the organic silicon film is hardly etched, and the selectivity with respect to the resist decreases. As a result, the resist pattern 118 is not completely removed during the etching of the organic silicon film 116 or retreats, so that it becomes difficult to process the organic silicon film 116 with good dimensional control.

【0184】次に、レジストパターン118をエッチン
グマスクとして用いて、有機シリコン膜116の酸化処
理された部分をドライエッチング法により選択的に除去
することによって、図3(b)に示すような有機シリコ
ン膜パターン116bが形成される。上述したように有
機シリコン膜の露出部116aは酸化シリコンライクに
改質されているので、フッ素系ガス、つまりフッ素を含
むソースガスを用いて、レジストパターン118に対し
て高いエッチング選択比を保ちながら有機シリコン膜1
16をエッチングすることができる。このようなエッチ
ャントとしては、例えば、SF6 、CF4 、CHF3
2 6 、C4 8 、およびCF4 等のガスが挙げら
れ、これらのガスにO2 、Ar、CO、およびH2 等を
添加してソースガスとして用いてもよい。
Next, by using the resist pattern 118 as an etching mask, the oxidized portion of the organic silicon film 116 is selectively removed by dry etching, whereby the organic silicon film shown in FIG. A film pattern 116b is formed. As described above, since the exposed portion 116a of the organic silicon film is modified into silicon oxide-like, a fluorine-based gas, that is, a source gas containing fluorine is used while maintaining a high etching selectivity with respect to the resist pattern 118. Organic silicon film 1
16 can be etched. Such etchants include, for example, SF 6 , CF 4 , CHF 3 ,
Gases such as C 2 F 6 , C 4 F 8 , and CF 4 are mentioned, and O 2 , Ar, CO, H 2, or the like may be added to these gases and used as a source gas.

【0185】エッチング装置としては、例えば、反応性
プラズマエッチング方式、マグネトロン反応性プラズマ
エッチング方式、電子ビームプラズマエッチング方式、
TCPエッチング方式、ICPエッチング方式、あるい
はECRプラズマエッチング方式等のエッチング装置を
使用することができ、真空度は1×10-4torrから
10torrの範囲内とすることが好ましい。1×10
-4torr未満の真空度では加工形状にテーパーがつい
てしまい、一方、10torrを越えるとエッチレート
の面内均一性が低下するおそれがある。また、励起電力
は0.01〜100W/cm2 が好ましい。0.01W
/cm2 未満ではエッチレートが遅くスループットが低
下し、100W/cm2 を越えるとレジストパターンに
対して高選択比で下層膜の酸化部分をエッチングするこ
とが困難になってしまう。
Examples of the etching apparatus include a reactive plasma etching system, a magnetron reactive plasma etching system, an electron beam plasma etching system,
An etching apparatus such as a TCP etching method, an ICP etching method, or an ECR plasma etching method can be used, and the degree of vacuum is preferably in the range of 1 × 10 −4 torr to 10 torr. 1 × 10
If the degree of vacuum is less than -4 torr, the processed shape is tapered, while if it exceeds 10 torr, the in-plane uniformity of the etch rate may be reduced. Further, the excitation power is preferably 0.01 to 100 W / cm 2 . 0.01W
/ In cm less than 2 slow throughput and reduced etch rate and etching the oxide portion of the lower film at a high selectivity to the resist pattern exceeds 100W / cm 2 becomes difficult.

【0186】上述した工程を経て有機シリコン膜116
をエッチングすることで、有機シリコン膜のレジストパ
ターン118に対するエッチング選択比を3以上とるこ
とが可能となる。その結果、レジスト膜の膜厚を有機シ
リコン膜116の膜厚と同程度まで薄くすることが可能
となり、パターン露光時の露光マージンまたはフォーカ
スマージンを広げることができる。高選択比がとれる理
由について、本発明者らは次のように考察した。すなわ
ち、上述のガス系ではエッチングの進行を抑制するフロ
ロカーボン系の堆積物がレジストパターン118の表面
には堆積しやすいのに対して、酸化処理した有機シリコ
ン膜は酸素を多く含むために堆積しにくいためである。
Through the above-described steps, the organic silicon film 116 is formed.
By etching, the etching selectivity of the organic silicon film to the resist pattern 118 can be set to 3 or more. As a result, the thickness of the resist film can be reduced to the same thickness as that of the organic silicon film 116, and the exposure margin or focus margin at the time of pattern exposure can be increased. The present inventors considered the reason why a high selectivity can be obtained as follows. That is, in the above-described gas system, fluorocarbon-based deposits that suppress the progress of etching are easily deposited on the surface of the resist pattern 118, whereas the oxidized organic silicon film is difficult to deposit because it contains a large amount of oxygen. That's why.

【0187】こうして、微細なレジストパターンを高い
寸法精度で有機シリコン膜に転写して、有機シリコン膜
パターンを形成することが可能である。具体的には、図
2(c)に示されるレジストパターンの寸法(X2
と、図3(b)に示される有機シリコン膜パターンの寸
法(Y2 )との差(Y2 −X2 )で定義される寸法変換
差は、10nm以下に抑えることができる。
Thus, it is possible to form an organic silicon film pattern by transferring the fine resist pattern onto the organic silicon film with high dimensional accuracy. Specifically, the dimension (X 2 ) of the resist pattern shown in FIG.
And the dimension conversion difference defined by the difference (Y 2 −X 2 ) between the size (Y 2 ) of the organic silicon film pattern shown in FIG. 3B can be suppressed to 10 nm or less.

【0188】次に、図3(c)に示すように、被加工膜
115をエッチングして被加工膜パターン115bを形
成する。この工程では、特に、被加工膜115がシリコ
ン系絶縁膜、例えば酸化シリコン膜、窒化シリコン膜、
酸窒化シリコン膜;あるいはスピンオングラス、マスク
の製造の際に用いられるブランク材などの場合、フッ素
を含むソースガスを用いてエッチングすることができ
る。したがって、有機シリコン膜116の酸化部分をエ
ッチング後、同一チャンバーを用いて被加工膜115の
エッチングを行うことが可能となる。その結果、有機シ
リコン膜116と被加工膜115とを別個のチャンバー
でエッチングした場合と比べてスループットが向上す
る。
Next, as shown in FIG. 3C, the processed film 115 is etched to form a processed film pattern 115b. In this step, in particular, the processing target film 115 is made of a silicon-based insulating film, for example, a silicon oxide film, a silicon nitride film,
In the case of a silicon oxynitride film; a spin-on-glass film, a blank material used for manufacturing a mask, or the like, etching can be performed using a source gas containing fluorine. Therefore, after the oxidized portion of the organic silicon film 116 is etched, the processed film 115 can be etched using the same chamber. As a result, the throughput is improved as compared with the case where the organic silicon film 116 and the processing target film 115 are etched in separate chambers.

【0189】次に、第4の発明のパターン形成方法につ
いて説明する。
Next, the pattern forming method of the fourth invention will be described.

【0190】図4および図5は、第4の発明のパターン
形成方法の一例を表す工程断面図である。
FIGS. 4 and 5 are process sectional views showing an example of the pattern forming method of the fourth invention.

【0191】まず、図4(a)に示すように、シリコン
ウェハー200上に有機シリコン膜201を形成する。
有機シリコン膜201は、シリコンと酸素との結合を主
鎖に有する有機シリコン化合物を含有しており、半導体
装置の層間絶縁膜として好適に用いられる。
First, an organic silicon film 201 is formed on a silicon wafer 200 as shown in FIG.
The organic silicon film 201 contains an organic silicon compound having a bond between silicon and oxygen in its main chain, and is suitably used as an interlayer insulating film of a semiconductor device.

【0192】有機シリコン膜201の膜厚は、0.00
5〜5μm程度であることが好ましい。膜厚が0.00
5μm未満では、層間絶縁膜としての絶縁効果が不十分
であり、一方5μmを越えて厚いと、有機シリコン膜を
加工する際に寸法変換差が顕著に発生するおそれがある
ためである。
The thickness of the organic silicon film 201 is 0.00
It is preferably about 5 to 5 μm. Thickness 0.00
If the thickness is less than 5 μm, the insulating effect as an interlayer insulating film is insufficient, while if it exceeds 5 μm, a significant difference in dimensional conversion may occur when processing the organic silicon film.

【0193】本発明の第4の方法において、有機シリコ
ン膜201は、溶液を塗布する方法により、あるいはC
VD法(化学的気相蒸着法)のような気相法により被加
工膜上に成膜することができるが、塗布法で有機シリコ
ン膜を形成することが好ましい。この理由は、CVD法
と比較して、塗布法はプロセスコストが簡易であるから
である。
In the fourth method of the present invention, the organic silicon film 201 is formed by applying a solution or
Although a film can be formed on a film to be processed by a vapor phase method such as a VD method (chemical vapor deposition method), it is preferable to form an organic silicon film by a coating method. This is because the coating method has a simpler process cost than the CVD method.

【0194】すなわち、本発明の第4のパターン形成方
法においては、シリコンと酸素との結合を主鎖に有する
有機シリコン化合物を用いる以外は、前述の第1のパタ
ーン形成方法の場合と同様の塗布法により有機シリコン
膜を形成することができる。使用し得る有機シリコン化
合物としては、例えば、下記化学式[PSO−1]〜
[PSO−9]で表されるポリシロキサンが挙げられ
る。なお、[PSO−8]および[PSO−9]で表さ
れるような共重合体でもよい。
That is, in the fourth pattern forming method of the present invention, except that an organic silicon compound having a bond between silicon and oxygen in the main chain is used, the same coating method as in the first pattern forming method described above is used. An organic silicon film can be formed by the method. Examples of the organosilicon compound that can be used include, for example, the following chemical formulas [PSO-1] to
A polysiloxane represented by [PSO-9] is exemplified. In addition, a copolymer represented by [PSO-8] and [PSO-9] may be used.

【0195】[0195]

【化69】 Embedded image

【0196】[0196]

【化70】 Embedded image

【0197】上記化学式中、R61、R62、およびR
63は、炭化水素原子、または炭素数1〜20の置換もし
くは非置換の脂肪族炭化水素または芳香族炭化水素であ
り、mおよびnは整数である。
In the above formula, R 61 , R 62 and R
63 is a hydrocarbon atom, or a substituted or unsubstituted aliphatic or aromatic hydrocarbon having 1 to 20 carbon atoms, and m and n are integers.

【0198】こうした化合物の重量平均分子量は、特に
限定されないが、前述の第1のパターン形成方法の場合
と同様の理由から200〜100,000であることが
好ましい。
The weight average molecular weight of such a compound is not particularly limited, but is preferably from 200 to 100,000 for the same reason as in the case of the first pattern forming method described above.

【0199】有機シリコン化合物は、1種類に限ること
なく、数種類の化合物を混合して用いてもよい。また、
必要に応じて貯蔵安定性を図るために熱重合防止剤、シ
リコン系絶縁膜への密着性を向上させるための密着性向
上剤を添加してもよく、こうした化合物としては、すで
に第1のパターン形成方法において説明したようなもの
が挙げられる。
The organic silicon compound is not limited to one kind, but may be a mixture of several kinds. Also,
If necessary, a thermal polymerization inhibitor for improving storage stability and an adhesion improver for improving adhesion to the silicon-based insulating film may be added. Those described in the formation method can be used.

【0200】さらに、誘電率を低下させるためにチタ
ン、ジルコニウムなどの重金属の酸化物、あるいはポリ
イミドを添加してもよい。重金属の酸化物、あるいはポ
リイミドを添加する場合には、前記有機シリコン化合物
に共重合させてもよい。
Further, in order to lower the dielectric constant, oxides of heavy metals such as titanium and zirconium, or polyimides may be added. When a heavy metal oxide or polyimide is added, it may be copolymerized with the organosilicon compound.

【0201】第4のパターン形成方法においても、所定
の有機シリコン化合物、および必要に応じてさらに別の
化合物を有機溶剤に溶解することにより、有機シリコン
膜の原料となる塗布材料を調製し、シリコンウェハー2
00上に塗布し、加熱して有機シリコン膜201を形成
する。ここで用い得る有機溶剤、および加熱の条件等
は、第1のパターン形成方法の場合と同様である。
In the fourth pattern forming method, a coating material as a raw material of an organic silicon film is prepared by dissolving a predetermined organic silicon compound and, if necessary, another compound in an organic solvent. Wafer 2
Then, the organic silicon film 201 is formed by heating and applying the heat treatment. The organic solvent that can be used here, the heating conditions, and the like are the same as in the case of the first pattern forming method.

【0202】第4のパターン形成方法においては、有機
シリコン膜201中でのケイ素、酸素および炭素の含有
量は次のような範囲内であることが好ましい。ケイ素の
含有量は、有機シリコン膜中の固形分100重量部に対
して5〜65重量部であることが好ましい。ケイ素の含
有量が5重量部未満の場合には、紫外線を照射しても有
機シリコン膜の酸化シリコン化が進行しないので、有機
シリコン膜201エッチング時に対レジスト選択比がと
れず、一方、ケイ素の含有量が65重量部を越える塗膜
の安定性が損なわれてしまう。
In the fourth pattern forming method, the contents of silicon, oxygen and carbon in the organic silicon film 201 are preferably within the following ranges. The silicon content is preferably 5 to 65 parts by weight based on 100 parts by weight of the solid content in the organic silicon film. If the silicon content is less than 5 parts by weight, the silicon oxide of the organic silicon film does not progress even when irradiated with ultraviolet rays, so that the selectivity to the resist cannot be obtained when the organic silicon film 201 is etched. If the content exceeds 65 parts by weight, the stability of the coating film is impaired.

【0203】また、酸素の含有量は有機シリコン膜中の
固形分100重量部に対して5〜70重量部であること
が好ましい。酸素の含有量が5重量部未満の場合には、
有機シリコン膜201のエッチング時に対レジスト選択
比がとれず、一方、70重量部を越えると塗膜の安定性
が損なわれてしまう。
Further, the content of oxygen is preferably 5 to 70 parts by weight based on 100 parts by weight of the solid content in the organic silicon film. If the oxygen content is less than 5 parts by weight,
When the organic silicon film 201 is etched, the selectivity with respect to the resist cannot be obtained. On the other hand, when it exceeds 70 parts by weight, the stability of the coating film is impaired.

【0204】さらに、炭素の含有量は、有機シリコン膜
中の固形分100重量部に対して1〜50重量部である
ことが好ましい。炭素の含有量が1重量部以下の場合に
は、塗膜の耐熱性が低下し、一方、50重量部を越える
と紫外線を照射しても有機シリコン膜201の脱炭素化
が進行せず、有機シリコン膜エッチング時に対レジスト
選択比がとれないおそれがある。
Further, the content of carbon is preferably 1 to 50 parts by weight based on 100 parts by weight of the solid content in the organic silicon film. When the carbon content is 1 part by weight or less, the heat resistance of the coating film decreases, while when it exceeds 50 parts by weight, the decarbonization of the organic silicon film 201 does not progress even when irradiated with ultraviolet light, At the time of etching the organic silicon film, there is a possibility that a selective ratio to the resist cannot be obtained.

【0205】次に、必要に応じて図4(b)に示すよう
に有機シリコン膜201上に下層膜202を形成する。
後の工程でレジストパターンを形成するに当たって光を
光源としてレジスト膜をパターニングする場合は、下層
膜202として露光光に吸収性を有する材料を用いる。
これによって、露光光のレジスト膜中への反射を防いで
寸法制御性よくレジストパターンを得ることができる。
一方、荷電ビームを光源としてレジスト膜をパターニン
グする際には、下層膜202として導電性を有する材料
を用いることで、露光中のレジスト膜への電荷蓄積を防
ぎ位置ずれのないレジストパターンを得ることができ
る。
Next, as shown in FIG. 4B, a lower film 202 is formed on the organic silicon film 201 as needed.
When a resist film is patterned using light as a light source in forming a resist pattern in a later step, a material having an absorptivity to exposure light is used as the lower layer film 202.
Thereby, it is possible to prevent reflection of the exposure light into the resist film and obtain a resist pattern with good dimensional control.
On the other hand, when patterning a resist film using a charged beam as a light source, by using a conductive material as the lower layer film 202, it is possible to prevent charge accumulation on the resist film during exposure and obtain a resist pattern without displacement. Can be.

【0206】いずれの場合も、下層膜202の膜厚は
0.01〜5.0μmであることが好ましい。0.01
μm未満では露光光の反射あるいは電荷の蓄積を充分に
抑えることができず、一方、5.0μmを超えるとレジ
ストパターンをドライエッチング法で有機シリコン膜2
01にパターン転写する際に寸法変換差が顕著に発生す
るためである。
In any case, the thickness of the lower layer film 202 is preferably 0.01 to 5.0 μm. 0.01
When the thickness is less than μm, reflection of exposure light or accumulation of charges cannot be sufficiently suppressed. On the other hand, when the thickness exceeds 5.0 μm, a resist pattern is formed on the organic silicon film 2 by dry etching.
This is because when the pattern is transferred to No. 01, a dimensional conversion difference occurs remarkably.

【0207】次に、下層膜202上にレジストパターン
を形成する。ここでは、有機シリコン膜201上に下層
膜202を形成したので、下層膜上にレジストパターン
を形成するが、有機シリコン膜201上に直接レジスト
パターンを形成することもできる。レジストパターンの
形成に当たっては、まず、図4(c)に示すように、下
層膜202上にレジスト膜203を形成する。レジスト
膜203の膜厚を薄くすれば、それだけ露光時の露光量
裕度、フォーカス裕度といった露光量マージン、あるい
は解像度を向上させることができる。そのため、レジス
ト膜203の膜厚は、有機シリコン膜201を寸法制御
性よくエッチングできる膜厚であれば薄いほうがよく、
0.01〜10μmが好ましい。
Next, a resist pattern is formed on the lower layer film 202. Here, since the lower layer film 202 is formed on the organic silicon film 201, a resist pattern is formed on the lower layer film. However, a resist pattern can be formed directly on the organic silicon film 201. In forming the resist pattern, first, as shown in FIG. 4C, a resist film 203 is formed on the lower layer film 202. When the thickness of the resist film 203 is reduced, the exposure margin such as the exposure latitude during exposure and the focus latitude, or the resolution can be improved accordingly. Therefore, the thickness of the resist film 203 is preferably as small as possible so long as the organic silicon film 201 can be etched with good dimensional control.
It is preferably from 0.01 to 10 μm.

【0208】レジスト膜203を形成するためのレジス
ト組成物としては、可視光、紫外光などの露光によりパ
ターニング可能な組成物であれば特に限定されず、ポジ
型またはネガ型を選択して用いることができる。具体的
には、前述の第1のパターン形成方法で挙げたようなレ
ジスト組成物を用いて、前述と同様の条件でレジスト膜
203を形成することができる。また、光露光を行なっ
た場合にレジスト膜中で生じる多重反射を減少させるた
めの上層反射防止膜、あるいは電子ビーム露光を行なっ
た場合に生じるチャージアップを防ぐための上層帯電防
止膜を、レジスト膜203の上層に形成してもよい。
The resist composition for forming the resist film 203 is not particularly limited as long as it is a composition that can be patterned by exposure to visible light, ultraviolet light, or the like. Can be. Specifically, the resist film 203 can be formed using the resist composition as described in the first pattern forming method under the same conditions as described above. An upper antireflection film for reducing multiple reflections generated in the resist film when performing light exposure, or an upper antistatic film for preventing charge-up that occurs when performing electron beam exposure, is provided with a resist film. 203 may be formed on the upper layer.

【0209】こうして形成されたレジスト膜203の所
定の領域を選択的に露光して潜像を形成し、この潜像部
分を現像液で選択的に溶解除去することによって、図4
(d)に示すようなレジストパターン204が形成され
る。レジスト膜に潜像を形成するための露光光、および
潜像部分を溶解除去するための現像液としては、第1の
パターン形成方法の場合と同様の露光光および現像液を
用いることができる。
By selectively exposing a predetermined area of the resist film 203 thus formed to form a latent image, and selectively dissolving and removing the latent image portion with a developing solution, as shown in FIG.
A resist pattern 204 is formed as shown in FIG. As the exposure light for forming a latent image on the resist film and the developing solution for dissolving and removing the latent image portion, the same exposure light and developing solution as in the first pattern forming method can be used.

【0210】次に、レジストパターン204を下層膜に
転写して、図5(a)に示すように下層膜パターン20
7を形成する。ここでの転写方法は限定されることはな
いが、例えばドライエッチング法などが挙げられる。
Next, the resist pattern 204 is transferred to the lower film, and as shown in FIG.
7 is formed. The transfer method here is not limited, and examples include a dry etching method.

【0211】次いで、図5(b)に示すように、得られ
たレジストパターン204および下層膜パターン207
の上部から、有機シリコン膜201に対して紫外線20
8を照射して、少なくとも有機シリコン膜の露出部(レ
ジストパターン204等に被覆されていない領域)を脱
炭素化する。ここで照射される紫外線は、450nmよ
り短い波長の光を含むことが望ましい。450nmより
長波長の光では、有機シリコン化合物の主鎖のシリコン
と有機基との結合を切断するためのエネルギーが不十分
であり、充分に有機シリコン膜からの炭素成分を除去し
て脱炭素化することができないおそれがある。用い得る
光源としては、前述の第2のパターン形成方法におい
て、有機シリコン膜の酸化用として説明したようなもの
が挙げられる。
Next, as shown in FIG. 5B, the obtained resist pattern 204 and lower layer pattern 207 are formed.
UV light from the top of the
Irradiation 8 decarbonizes at least the exposed portions of the organic silicon film (regions not covered with the resist pattern 204 and the like). It is desirable that the ultraviolet light irradiated here includes light having a wavelength shorter than 450 nm. In the case of light having a wavelength longer than 450 nm, the energy for breaking the bond between silicon and the organic group in the main chain of the organosilicon compound is insufficient, and the carbon component from the organosilicon film is sufficiently removed to decarbonize. May not be possible. Examples of the light source that can be used include those described for oxidizing the organic silicon film in the above-described second pattern formation method.

【0212】こうした紫外光の照射量は、0.01〜1
0,000J/cm2 の範囲であることが好ましい。
0.01J/cm2 未満では有機シリコン膜201を充
分に脱炭素化することが困難となり、一方10,000
J/cm2 を越えると、レジストパターン204が光分
解して収縮してしまうためである。
The irradiation amount of the ultraviolet light is 0.01 to 1
It is preferably in the range of 000 J / cm 2 .
If it is less than 0.01 J / cm 2 , it is difficult to sufficiently decarbonize the organic silicon film 201, while it is 10,000.
If it exceeds J / cm 2 , the resist pattern 204 undergoes photolysis and contracts.

【0213】紫外光209を照射することにより、有機
シリコン膜の露出部(レジストパターン204に被覆さ
れていない部分)201aでは、まず、有機シリコン化
合物中の主鎖のシリコンと有機基との結合が開裂してシ
リコンのダングリングボンドが生じる。このダングリン
グボンドに酸素が結合して脱炭素化反応が進行する。第
4の発明においては、有機シリコン膜201の露出部2
01aは、脱炭素化させなければならない。特に、有機
シリコン膜のケイ素に対する炭素の原子数比(C/Si
=炭素の原子数/ケイ素の原子数)をkとすると、紫外
線照射後には、ケイ素に対する炭素の原子数比0.95
×k以下になるように脱炭素化を行う。0.95×kよ
り大きいと脱炭素化が不十分であるので、レジスト選択
比の向上が見込めない。
By irradiating the ultraviolet light 209, in the exposed portion (portion not covered with the resist pattern 204) 201a of the organic silicon film, first, the bond between the silicon of the main chain in the organic silicon compound and the organic group is formed. Cleavage results in dangling bonds of silicon. Oxygen bonds to this dangling bond, and the decarbonization reaction proceeds. In the fourth invention, the exposed portion 2 of the organic silicon film 201
01a must be decarbonized. In particular, the atomic ratio of carbon to silicon (C / Si
= The number of carbon atoms / the number of silicon atoms) and k is 0.95 after irradiation with ultraviolet light.
Decarbonization is performed so as to be × k or less. If it is larger than 0.95 × k, decarbonization is insufficient, so that improvement in resist selectivity cannot be expected.

【0214】一方、レジストパターン204に被覆され
ている領域201bは、脱炭素化させない方が望まれ
る。この部分で脱炭素化が進行すると、加工終了後に得
られる有機シリコン膜パターンの誘電率が上昇するとい
う不都合が生じるおそれがあるためである。脱炭素化の
ために照射する紫外光に対して透過率が低い材料をレジ
スト膜203、あるいは下層膜202として用いること
によって、こうした不都合を防止することができる。透
過率が低い材料を用いることでレジストパターン20
4、あるいは下層膜パターン207がフォトマスクとし
て作用するので、レジストパターン204あるいは下層
膜パターン207に被覆されている有機シリコン膜の領
域201bでは、脱炭素化反応が進行しにくくなり、誘
電率の上昇を防ぐことができる。また、下層膜202が
脱炭素化のために照射する紫外光に対して透過率が高い
場合には、レジストパターン204を形成した後、下層
膜202をエッチングする前に、脱炭素化のための紫外
光を有機シリコン膜201に照射してもよい。
On the other hand, it is desirable not to decarbonize the region 201b covered with the resist pattern 204. This is because, if the decarbonization proceeds in this portion, there is a possibility that the inconvenience that the dielectric constant of the organic silicon film pattern obtained after the processing is increased may occur. Such inconvenience can be prevented by using a material having a low transmittance with respect to ultraviolet light irradiated for decarbonization as the resist film 203 or the lower film 202. By using a material having a low transmittance, the resist pattern 20 can be formed.
4 or the lower film pattern 207 acts as a photomask, so that in the region 201b of the organic silicon film covered with the resist pattern 204 or the lower film pattern 207, the decarbonization reaction hardly proceeds, and the dielectric constant increases. Can be prevented. If the lower film 202 has a high transmittance with respect to ultraviolet light irradiated for decarbonization, after forming the resist pattern 204 and before etching the lower film 202, The organic silicon film 201 may be irradiated with ultraviolet light.

【0215】次に、レジストパターン204および下層
膜パターン207をエッチングマスクとして用いて、有
機シリコン膜201の脱炭素化処理された部分をドライ
エッチング法により選択的に除去することによって、図
5(c)に示すような有機シリコン膜パターン201b
が形成される。上述したように有機シリコン膜の露出部
201aは酸化シリコンライクに改善されているので、
フッ素系ガス、つまりフッ素を含むソースガスを用いて
レジストパターン204等に対して高いエッチング選択
比を保ちながら有機シリコン膜201をエッチングする
ことができる。ここで用いられ得るエッチャント、エッ
チング装置およびその条件としては、前述の第3のパタ
ーン形成方法の場合と同様のものが挙げられる。
Next, using the resist pattern 204 and the lower layer film pattern 207 as an etching mask, the decarbonized portion of the organic silicon film 201 is selectively removed by dry etching to obtain a structure shown in FIG. The organic silicon film pattern 201b as shown in FIG.
Is formed. As described above, the exposed portion 201a of the organic silicon film is improved to silicon oxide-like,
Using a fluorine-based gas, that is, a source gas containing fluorine, the organic silicon film 201 can be etched while maintaining a high etching selectivity with respect to the resist pattern 204 and the like. The etchant, the etching apparatus, and the conditions that can be used here are the same as those in the above-described third pattern forming method.

【0216】上述した工程を経て有機シリコン膜201
をエッチングすることで、有機シリコン膜のレジストパ
ターン204に対するエッチング選択比を3以上とるこ
とが可能となる。その結果、レジスト膜の膜厚を薄くす
ることが可能となり、パターン露光時の解像性、あるい
は露光マージンを広げることができる。高選択比がとれ
る理由について、本発明者らは次のように考察した。す
なわち、上述のガス系でエッチングの進行を抑制するフ
ロロカーボン系の堆積物がレジストパターン204の表
面には堆積しやすいのに対して、脱炭素化処理した有機
シリコン膜は、フロロカーボン系堆積物を形成する炭素
成分を含まないため、有機シリコン膜201のエッチレ
ートが向上するためである。また、脱炭素化後、有機基
が切断したりシリコンに酸素が結合して酸化が起こり、
酸化によりフロロカーボン系堆積物が堆積しにくくな
り、有機シリコン膜201のエッチレートが向上したた
めである。
Through the above-described steps, the organic silicon film 201
By etching, the etching selectivity of the organic silicon film to the resist pattern 204 can be set to 3 or more. As a result, the thickness of the resist film can be reduced, and the resolution during pattern exposure or the exposure margin can be increased. The present inventors considered the reason why a high selectivity can be obtained as follows. That is, while the fluorocarbon-based deposit that suppresses the progress of etching in the above-described gas system is easily deposited on the surface of the resist pattern 204, the decarbonized organic silicon film forms the fluorocarbon-based deposit. This is because the etching rate of the organic silicon film 201 is improved because it does not contain a carbon component. In addition, after decarbonization, organic groups are cut or oxygen is bonded to silicon, and oxidation occurs,
This is because the oxidation makes it difficult for fluorocarbon-based deposits to be deposited, and the etch rate of the organic silicon film 201 has been improved.

【0217】[0219]

【実施例】以下、図面を参照しつつ具体例を示して本発
明をさらに詳細に説明する。(実施例I)本実施例にお
いては、第1および第2のパターン形成方法について説
明する。(実施例I−1)以下、図1を参照しつつ本実
施例を説明する。
DESCRIPTION OF THE PREFERRED EMBODIMENTS The present invention will be described below in more detail with reference to the drawings and specific examples. (Embodiment I) In this embodiment, first and second pattern forming methods will be described. (Embodiment I-1) Hereinafter, this embodiment will be described with reference to FIG.

【0218】まず、シリコンウェハー10上に被加工膜
11として膜厚500nmのSiO 2 膜をLPCVD法
で形成した。
First, a film to be processed is formed on the silicon wafer 10.
500 nm thick SiO as 11 TwoLPCVD method
Formed.

【0219】得られた被加工膜であるSiO2 膜11の
上に、以下の(S1)〜(S9)に示す手法を用いて、
図1(a)に示したような有機シリコン膜12をそれぞ
れ形成した。 (S1)有機シリコン化合物としての重量平均分子量1
1,000の化合物[1−84](n/m=1/4)1
0gをアニソール90gに溶解して、溶液材料を調製し
た。これをスピンコーティング法で被加工膜(SiO2
膜)11上に塗布した後、ホットプレート上で160℃
で90秒間の加熱を行なって有機シリコン膜12を形成
した。 (S2)有機シリコン化合物としての重量平均分子量1
1,000の化合物[1−103](n/m=1/4)
10gをアニソール90gに溶解して、溶液材料を調製
した。これを用いて、(S1)と同様の手順で有機シリ
コン膜を被加工膜11上に形成した。 (S3)有機シリコン化合物としての重量平均分子量
9,000の化合物[1−100](n/m=1/4)
10gをアニソール90gに溶解して、溶液材料を調製
した。これを用いて、(S1)と同様の手順で有機シリ
コン膜を被加工膜11上に形成した。 (S4)有機シリコン化合物としての重量平均分子量
9,000の化合物[1−50]10gをアニソール9
0gに溶解して、溶液材料を調製した。これを用いて、
(S1)と同様の手順で有機シリコン膜を被加工膜11
上に形成した。 (S5)有機シリコン化合物としての重量平均分子量1
3,000の化合物[1−118](n/m=4/1)
10gをアニソール90gに溶解して、溶液材料を調製
した。これを用いて、(S1)と同様の手順で有機シリ
コン膜を被加工膜11上に形成した。 (S6)有機シリコン化合物として重量平均分子量2,
000の化合物[1−1]9g、架橋剤としての化合物
[3−8]1.8g、およびラジカル発生剤として化合
物[4−12]0.2gをアニソール90gに溶解し
て、溶液材料を調製した。これをスピンコーティング法
で被加工膜(SiO2 膜)11上に塗布した後、窒素雰
囲気下(酸素濃度50ppm以下)でホットプレートを
用いて160℃で90秒間加熱を行なった。 (S7)有機シリコン化合物として重量平均分子量4,
000の化合物[1−23](n/m=9/1)9gを
用いた以外は、(S6)と同様にして溶液材料を調製
し、これを用いて(S6)と同様の手順で有機シリコン
膜12を被加工膜(SiO2 膜)11上に形成した。 (S8)有機シリコン化合物として重量平均分子量3,
000の化合物[1−24](n/m=1/4)9g
を用いた以外は、(S6)と同様にして 溶液材料を調
製し、これを用いて(S6)と同様の手順で有機シリコ
ン膜12を被加工膜(SiO2 膜)11上に形成した。 (S9)架橋剤として化合物[3−62]1.8g を
用いた以外は、(S 6)と同様にして溶液材料を調製
し、これを用いて用いて(S6)と同様の手順で有機シ
リコン膜12を被加工膜(SiO2 膜)11上に形成し
た。
On the obtained SiO 2 film 11 as a film to be processed, the following methods (S1) to (S9) are used.
An organic silicon film 12 as shown in FIG. 1A was formed. (S1) Weight average molecular weight 1 as organosilicon compound
1,000 compounds [1-84] (n / m = 1/4) 1
0 g was dissolved in 90 g of anisole to prepare a solution material. This is processed by spin coating to form a film to be processed (SiO 2
Film) 11 and then 160 ° C on a hot plate
The organic silicon film 12 was formed by heating for 90 seconds. (S2) Weight average molecular weight 1 as organosilicon compound
1,000 compounds [1-103] (n / m = 1/4)
10 g was dissolved in 90 g of anisole to prepare a solution material. Using this, an organic silicon film was formed on the processing target film 11 in the same procedure as in (S1). (S3) Compound [1-100] having a weight average molecular weight of 9,000 as an organosilicon compound (n / m = 1/4)
10 g was dissolved in 90 g of anisole to prepare a solution material. Using this, an organic silicon film was formed on the processing target film 11 in the same procedure as in (S1). (S4) 10 g of a compound [1-50] having a weight average molecular weight of 9,000 as an organosilicon compound was added to anisole 9
0 g to prepare a solution material. Using this,
An organic silicon film is formed into a film to be processed 11 in the same procedure as (S1).
Formed on top. (S5) Weight average molecular weight 1 as organosilicon compound
3,000 compounds [1-118] (n / m = 4/1)
10 g was dissolved in 90 g of anisole to prepare a solution material. Using this, an organic silicon film was formed on the processing target film 11 in the same procedure as in (S1). (S6) As the organosilicon compound, a weight average molecular weight of 2,
9 g of the compound [1-1], 1.8 g of the compound [3-8] as a crosslinking agent, and 0.2 g of the compound [4-12] as a radical generator are dissolved in 90 g of anisole to prepare a solution material. did. This was applied onto the film to be processed (SiO 2 film) 11 by spin coating, and then heated at 160 ° C. for 90 seconds using a hot plate in a nitrogen atmosphere (oxygen concentration: 50 ppm or less). (S7) As the organosilicon compound, a weight average molecular weight of 4,
A solution material was prepared in the same manner as in (S6), except that 9 g of the compound [1-23] (n / m = 9/1) was used. A silicon film 12 was formed on the film to be processed (SiO 2 film) 11. (S8) As the organosilicon compound, a weight average molecular weight of 3,
9 g of compound [1-24] (n / m = 1/4)
A solution material was prepared in the same manner as in (S6) except that was used, and an organic silicon film 12 was formed on the film to be processed (SiO 2 film) 11 by using this in the same procedure as in (S6). (S9) A solution material was prepared in the same manner as in (S6) except that 1.8 g of the compound [3-62] was used as a crosslinking agent, and this was used to prepare an organic material in the same procedure as in (S6). A silicon film 12 was formed on the film to be processed (SiO 2 film) 11.

【0220】有機シリコン膜の膜厚は、いずれも200
nmとした。
Each of the organic silicon films has a thickness of 200
nm.

【0221】各有機シリコン膜について、分光エリプソ
を用いて露光波長(248nm)での複素屈折率を測定
し、その結果を下記表1にまとめる。
For each organic silicon film, the complex refractive index at the exposure wavelength (248 nm) was measured using spectral ellipsometry, and the results are summarized in Table 1 below.

【0222】[0222]

【表1】 [Table 1]

【0223】表1に示されるように、(S1)〜(S
9)のいずれの有機シリコン膜も、露光光(248n
m)に対して吸収性を有しており、反射防止膜として作
用することがわかる。
As shown in Table 1, (S1) to (S1)
9), the exposure light (248n)
It can be seen that it has absorptivity to m) and acts as an antireflection film.

【0224】次に、各有機シリコン膜12上にレジスト
溶液をスピンコーティング法により塗布し、ホットプレ
ート上で110℃で90秒間のベーキングを行なって、
図1(b)に示すようにレジスト膜13を形成した。こ
こで用いたレジスト溶液は、重量平均分子量18,00
0のポリビニルフェノール5g、水酸基の50%をター
シャリブトキシカルボニル基で置換した重量平均分子量
22,000のポリビニルフェノール4.9g、および
酸発生剤としてのトリフェニルスルフォネート0.1g
を乳酸エチル90gに溶解することにより調製したポジ
型化学増幅型レジストである。
Next, a resist solution is applied on each organic silicon film 12 by a spin coating method, and baked on a hot plate at 110 ° C. for 90 seconds.
A resist film 13 was formed as shown in FIG. The resist solution used here had a weight average molecular weight of 18,00.
5 g of polyvinyl phenol having a weight average molecular weight of 22,000 in which 50% of hydroxyl groups have been substituted with tertiary butoxycarbonyl groups, and 0.1 g of triphenyl sulfonate as an acid generator
Is a positive chemically amplified resist prepared by dissolving the compound in 90 g of ethyl lactate.

【0225】得られたレジスト膜13の膜厚は200n
mである。
The film thickness of the obtained resist film 13 is 200 n
m.

【0226】次に、図1(c)に示すように所定のマス
クを介してKrFエキシマレーザー光を光源とする縮小
光学型ステッパーを用いて、レジスト膜に対してパター
ン露光を行なった後、ホットプレートを用いて110℃
で90秒間のベーキングを施した。ベーキング後のレジ
スト膜13は、0.21規定のテトラメチルアンモニウ
ムヒドロキシドを用いて現像処理を行なうことにより、
レジスト膜の露光部13aを溶解除去して、図1(d)
に示すような0.15μmのラインアンドスペースパタ
ーン13bを形成した。
Next, as shown in FIG. 1C, the resist film is subjected to pattern exposure through a predetermined mask using a reduction optical type stepper using KrF excimer laser light as a light source, 110 ° C using a plate
For 90 seconds. The resist film 13 after baking is subjected to a development process using 0.21 N tetramethylammonium hydroxide,
The exposed portion 13a of the resist film is dissolved and removed, and FIG.
The line and space pattern 13b of 0.15 μm as shown in FIG.

【0227】レジストパターン13bの断面形状を走査
型電子顕微鏡を用いて観察したところ、いずれの有機シ
リコン膜上でも、図1(d)に示されるように裾引きが
なく、良好なプロファイルを有するレジストパターンが
得られた。また、レジストパターン間にも残渣は見られ
なかった。なお、現像後のレジストパターンの膜厚は、
いずれの場合も195nmである。
When the cross-sectional shape of the resist pattern 13b was observed by using a scanning electron microscope, it was found that the resist pattern had no profile and had a good profile on any of the organic silicon films as shown in FIG. A pattern was obtained. No residue was observed between the resist patterns. The thickness of the resist pattern after development is
In each case, it is 195 nm.

【0228】得られたレジストパターン13bをマスク
として用いて、図1(e)に示すように有機シリコン膜
12の露出部(レジストパターンに被覆されていない部
分)を選択的に酸化した。酸化処理にあたっては、以下
に示す(P1)〜(P4)の方法を用いた。なお、(P
1)〜(P3)のエネルギービームを照射する場合の照
射量は、表2ないし表4に記載した値とした。 (P1)F2 レーザー(波長157nm)をウェハー全
面に照射した。 (P2)エキシマランプ(中心波長172nm、バンド
幅10nm)をウェハー全面に照射した。 (P3)加速電圧10keVで電子ビームをウェハー全
面に照射した。 (P4)ダウンフロープラズマ装置を用いて、ソースガ
スO2 =100SCCM、励起電力300W、真空度4
5mTorrの条件で発生させて酸素プラズマを全面照
射した。
Using the obtained resist pattern 13b as a mask, the exposed portions (portions not covered by the resist pattern) of the organic silicon film 12 were selectively oxidized as shown in FIG. 1 (e). In the oxidation treatment, the following methods (P1) to (P4) were used. Note that (P
The irradiation dose when irradiating the energy beams 1) to (P3) was a value described in Tables 2 to 4. (P1) The entire surface of the wafer was irradiated with an F 2 laser (wavelength: 157 nm). (P2) The entire surface of the wafer was irradiated with an excimer lamp (center wavelength: 172 nm, bandwidth: 10 nm). (P3) The entire surface of the wafer was irradiated with an electron beam at an acceleration voltage of 10 keV. (P4) Using a down flow plasma apparatus, source gas O 2 = 100 SCCM, excitation power 300 W, degree of vacuum 4
Oxygen plasma was generated and irradiated over the entire surface under the conditions of 5 mTorr.

【0229】次に、有機シリコン膜の酸化処理を行った
部分12aをフッ素原子を含む溶液、あるいはアルカリ
水溶液を用いて溶解除去して、図1(f)に示すような
有機シリコン膜パターン12bを形成した。フッ素原子
を含む溶液としては、以下の(D1)〜(D3)および
(D6)、アルカリ水溶液としては、以下の(D4)お
よび(D5)を用いた。 (D1)フッ化水素1容を純水99容で希釈した処理液 (D2)フッ化アンモニウム1容を純水99容で希釈し
た処理液 (D3)フッ化水素1容とフッ化アンモニウム6容との
混合液を純水93容で希釈した処理液 (D4)0.21規定のテトラメチルアンモニウムヒド
ロキシド (D5)0.27規定の水酸化アンモニウム また、(D6)としてフッ酸1容を純水9容で希釈した
処理液を100℃に加熱し、希フッ酸蒸気中にウェハー
を設置して有機シリコン膜の酸化処理を行なった部分を
溶解除去した。
Next, the oxidized portion 12a of the organic silicon film is dissolved and removed using a solution containing a fluorine atom or an alkaline aqueous solution to form an organic silicon film pattern 12b as shown in FIG. Formed. The following (D1) to (D3) and (D6) were used as the solution containing a fluorine atom, and the following (D4) and (D5) were used as the alkaline aqueous solution. (D1) Processing solution obtained by diluting 1 volume of hydrogen fluoride with 99 volumes of pure water (D2) Processing solution obtained by diluting 1 volume of ammonium fluoride with 99 volumes of pure water (D3) 1 volume of hydrogen fluoride and 6 volumes of ammonium fluoride (D4) 0.21 N tetramethylammonium hydroxide (D5) 0.27 N ammonium hydroxide Also, 1 volume of hydrofluoric acid was purified as (D6). The treatment liquid diluted with 9 volumes of water was heated to 100 ° C., and the wafer was placed in dilute hydrofluoric acid vapor to dissolve and remove the portions of the organic silicon film that had been oxidized.

【0230】その結果、いずれの組合せでも、図1
(f)に示すように異方性よく溶解除去することができ
た。次に、酸化処理および酸化部分の溶解除去処理で生
じた寸法変換差を調べるために、有機シリコン膜12上
に形成したレジストパターン13bの寸法(図1(d)
のX1 で定義)と、酸化部分を溶解除去して形成した有
機シリコン膜パターン12bの寸法(図1(f)のY1
で定義)との差(=Y1 −X1 )を測定した。寸法変換
差の測定結果を、有機シリコン膜の種類、酸化方法およ
び溶解除去方法とともに表2ないし表4に示す。
As a result, in any combination, FIG.
As shown in (f), it was able to be dissolved and removed with good anisotropy. Next, in order to examine a dimensional conversion difference caused by the oxidation process and the dissolution removal process of the oxidized portion, the size of the resist pattern 13b formed on the organic silicon film 12 (FIG. 1D)
And X 1 defined) of, Y 1 dimension of the organosilicon film pattern 12b formed by dissolving and removing the oxidized part (FIG. 1 (f)
) (= Y 1 −X 1 ). The measurement results of the dimensional conversion difference are shown in Tables 2 to 4 together with the type of the organic silicon film, the oxidation method and the dissolution removal method.

【0231】[0231]

【表2】 [Table 2]

【0232】[0232]

【表3】 [Table 3]

【0233】[0233]

【表4】 [Table 4]

【0234】いずれの場合も、寸法変換差が9nm以下
という許容範囲の寸法差で、制御性よくレジストパター
ン13bを有機シリコン膜12に転写することができ
た。また、有機シリコン膜を現像処理した後のレジスト
パターン13bの膜厚を測定し、その結果を表2ないし
表4に併記した。レジストパターンの残膜厚は少なくと
も150nm以上であることがこれらの表に示されてい
る。特に、(D1)〜(D3)および(D6)、すなわ
ち、フッ素原子を含む雰囲気中で有機シリコン膜を溶解
除去したものは、レジストパターンの膜減り量が少ない
ことがわかる。有機シリコン膜パターン12bの膜厚は
200nmであるので、有機シリコン膜パターン12b
とレジストパターン13bとからなるマスク材パターン
の膜厚は、350nm以上である。この厚さは、次の工
程で被加工膜(SiO2 膜)をエッチングするのに必要
とされるマスクとして、十分な厚さである。 (比較例I−1)従来のPCM法で用いられてきた下層
膜材料により下層膜を形成し、0.15μmラインアン
ドスペースのレジストパターンを下層膜に転写し、得ら
れた下層膜パターンの形状を調べた。
In each case, the resist pattern 13b could be transferred onto the organic silicon film 12 with good controllability with a dimensional difference within a tolerance of 9 nm or less. Further, the film thickness of the resist pattern 13b after the development processing of the organic silicon film was measured, and the results are also shown in Tables 2 to 4. These tables show that the remaining film thickness of the resist pattern is at least 150 nm or more. In particular, (D1) to (D3) and (D6), that is, those obtained by dissolving and removing the organic silicon film in an atmosphere containing a fluorine atom, show that the amount of film loss of the resist pattern is small. Since the thickness of the organic silicon film pattern 12b is 200 nm, the organic silicon film pattern 12b
The thickness of the mask material pattern including the resist pattern 13b and the resist pattern 13b is 350 nm or more. This thickness is sufficient as a mask required for etching the film to be processed (SiO 2 film) in the next step. (Comparative Example I-1) A lower layer film was formed from a lower layer film material used in the conventional PCM method, a 0.15 μm line and space resist pattern was transferred to the lower layer film, and the shape of the obtained lower layer film pattern was obtained. Was examined.

【0235】まず、前述の実施例と同様に、シリコンウ
ェハー上に被加工膜として膜厚500nmのSiO2
をLPCVD法で形成した。
First, a 500 nm thick SiO 2 film was formed as a film to be processed on a silicon wafer by the LPCVD method in the same manner as in the above-described embodiment.

【0236】得られた被加工膜(SiO2 膜)の上に、
以下の(C1)〜(C6)に示す手法で下層膜をそれぞ
れ形成した。 (C1)重量平均分子量15,000のノボラック樹脂
9.8g、および感光剤としてジアゾナフトキノン0.
2gを乳酸エチル90gに溶解して、溶液材料を調製し
た。これをスピンコーティング法で被加工膜(SiO2
膜)上に塗布した後、ホットプレート上で110℃で9
0秒間の加熱を行なって下層膜を形成した。 (C2)重量平均分子量13,000のポリメチルメタ
クリレート10gを、シクロヘキサノン90gに溶解し
て溶液材料を調製した。これをスピンコーティング法で
被加工膜(SiO2 膜)上に塗布した後、ホットプレー
ト上で110℃で90秒間の加熱を行なって下層膜を形
成した。 (C3)重量平均分子量15,000のノボラック樹脂
9.3g、感光剤としてジアゾナフトキノン0.2g、
および露光光を吸収する染料としてアントラセン0.5
gを乳酸エチル90gに溶解して、溶液材料を調製し
た。これをスピンコーティング法で被加工膜(SiO2
膜)上に塗布した後、ホットプレート上で110℃で9
0秒間の加熱を行なった。 (C4)重量平均分子量13,000のポリメチルメタ
クリレート9.5g、および露光光を吸収する染料とし
てアントラセン0.5gを、シクロヘキサノン90gに
溶解して溶液材料を調製した。これをスピンコーティン
グ法で被加工膜(SiO2 膜)上に塗布した後、ホット
プレート上で110℃で90秒間の加熱を行なって下層
膜を形成した。 (C5)(C4)と同様の溶液材料を用いて(C4)と
同様の手法で下層膜を形成した後、ArFエキシマレー
ザーで全面照射することにより、下層膜の表面を架橋さ
せた。 (C6)重量平均分子量6,000のポリサルフォン1
0gを、シクロヘキサノン90gに溶解して溶液材料を
調製した。これをスピンコーティング法で被加工膜(S
iO2 膜)上に塗布した後、ホットプレート上で220
℃で90秒間の加熱を行なって下層膜を形成した。
On the obtained film to be processed (SiO 2 film),
Underlayer films were formed by the following methods (C1) to (C6). (C1) 9.8 g of a novolak resin having a weight average molecular weight of 15,000, and 0.1 g of diazonaphthoquinone as a photosensitive agent.
2 g was dissolved in 90 g of ethyl lactate to prepare a solution material. This is processed by spin coating to form a film to be processed (SiO 2
On the hot plate at 110 ° C.
Heating was performed for 0 seconds to form a lower layer film. (C2) A solution material was prepared by dissolving 10 g of polymethyl methacrylate having a weight average molecular weight of 13,000 in 90 g of cyclohexanone. This was applied on a film to be processed (SiO 2 film) by spin coating, and then heated at 110 ° C. for 90 seconds on a hot plate to form a lower layer film. (C3) 9.3 g of a novolak resin having a weight average molecular weight of 15,000, 0.2 g of diazonaphthoquinone as a photosensitive agent,
And anthracene 0.5 as a dye absorbing the exposure light
g was dissolved in 90 g of ethyl lactate to prepare a solution material. This is processed by spin coating to form a film to be processed (SiO 2
On the hot plate at 110 ° C.
Heating was performed for 0 seconds. (C4) A solution material was prepared by dissolving 9.5 g of polymethyl methacrylate having a weight average molecular weight of 13,000 and 0.5 g of anthracene as a dye absorbing exposure light in 90 g of cyclohexanone. This was applied on a film to be processed (SiO 2 film) by spin coating, and then heated at 110 ° C. for 90 seconds on a hot plate to form a lower layer film. (C5) A lower layer film was formed using the same solution material as in (C4) in the same manner as in (C4), and the entire surface was irradiated with an ArF excimer laser to crosslink the surface of the lower layer film. (C6) Polysulfone 1 having a weight average molecular weight of 6,000
0 g was dissolved in 90 g of cyclohexanone to prepare a solution material. This is processed by spin coating to form a film to be processed (S
iO 2 film) was applied on, 220 on a hot plate
Heating was performed at 90 ° C. for 90 seconds to form a lower layer film.

【0237】下層膜の膜厚は、いずれも200nmであ
る。
The thickness of each of the lower layers is 200 nm.

【0238】各下層膜について、分光エリプソを用いて
露光波長(248nm)での複素屈折率を測定し、その
結果を下記表5にまとめる。
For each lower layer film, the complex refractive index at the exposure wavelength (248 nm) was measured using spectral ellipsometry, and the results are summarized in Table 5 below.

【0239】[0239]

【表5】 [Table 5]

【0240】表5に示されるように、(C1)および
(C2)に関しては、露光波長(248nm)に対する
吸収はほとんどなく、反射防止膜として作用しないこと
がわかる。
As shown in Table 5, with respect to (C1) and (C2), there was almost no absorption at the exposure wavelength (248 nm), and it was found that the film did not act as an antireflection film.

【0241】次に、反射防止能を有している下層膜(C
3)、(C4)、(C5)および(C6)上で、前述の
実施例と同様にしてレジスト膜の形成を試みたところ、
(C3)については、下層膜がレジスト溶媒に溶解し
て、レジスト塗布時に下層膜が完全に溶けてしまった。
それ以外の下層膜上では、正常にレジスト溶液を塗布す
ることができ、引き続いて実施例と同様の条件でベーキ
ングを行なうことによりレジスト膜が形成された。
Next, an underlayer film (C
3) On (C4), (C5) and (C6), the formation of a resist film was attempted in the same manner as in the above-described embodiment.
Regarding (C3), the lower layer film was dissolved in the resist solvent, and the lower layer film was completely dissolved during the application of the resist.
On the other lower layer films, the resist solution could be normally applied, and subsequently, the resist film was formed by baking under the same conditions as in the example.

【0242】レジスト溶液の塗布を正常に行なうことが
できた下層膜(C4)、(C5)および(C6)上で、
実施例と同様の条件でパターン露光、現像処理を施して
レジストパターンを形成し、得られたレジストパターン
プロファイルの断面形状を走査型電子顕微鏡を用いて観
察した。
On the lower layers (C4), (C5) and (C6) where the application of the resist solution could be performed normally,
A resist pattern was formed by pattern exposure and development under the same conditions as in the example, and the cross-sectional shape of the obtained resist pattern profile was observed using a scanning electron microscope.

【0243】下層膜(C4)、(C5)上では、図9の
ように裾引き24が発生しており、断面形状が良好なレ
ジストプロファイルを得ることができなかった。また、
レジストパターン23間には残渣の発生が顕著にみられ
た。この発生原因としては、レジストの溶媒に対する下
層膜22の耐性が完全でなく、レジストと下層膜とのミ
キシングが完全に抑えられていないこと、および下層膜
22中に含有される染料がレジスト膜中に拡散して、レ
ジスト膜の下部が現像液に溶けにくくなったためと考え
られる。
On the lower layer films (C4) and (C5), footing 24 occurred as shown in FIG. 9, and a resist profile having a good sectional shape could not be obtained. Also,
The generation of residues between the resist patterns 23 was remarkable. The cause of this is that the resistance of the lower film 22 to the solvent of the resist is not perfect, the mixing between the resist and the lower film is not completely suppressed, and the dye contained in the lower film 22 It is considered that the lower part of the resist film hardly dissolved in the developer.

【0244】下層膜(C6)上でのみ、実施例の場合と
同様に裾引きのない良好な形状を有するレジストパター
ンを得ることができた。
Only on the lower layer film (C6), it was possible to obtain a resist pattern having a good shape without footing as in the case of the example.

【0245】この下層膜(C6)については、レジスト
パターンをマスクとして、エキシマランプを照射量2.
0J/cm2 で照射して下層膜の露出部(レジストパタ
ーンに被覆されていない部分)を感光した。続いて、メ
チルイソブチルケトン溶液をウェハー上にもり、下層膜
の感光部分を溶解除去することを試みた。
The lower layer film (C6) is irradiated with an excimer lamp using a resist pattern as a mask.
Irradiation was performed at 0 J / cm 2 to expose the exposed portion of the underlayer film (the portion not covered with the resist pattern). Subsequently, a methyl isobutyl ketone solution was applied onto the wafer, and an attempt was made to dissolve and remove the photosensitive portion of the lower layer film.

【0246】処理後の下層膜パターンの断面を走査型電
子顕微鏡で観察し、その形状の概略を図10(a)に示
す。図に示されるように、SiO2 膜の表面に一層残り
34が生じている。エキシマランプの照射量を3.0J
/cm2 に増加させたところ、図10(b)のように一
層残りはなくなったものの、パターニング後の下層膜3
5の形状がボウイング形状になり、異方性よくパターニ
ングすることができなかった。 (比較例I−2)有機シリコン膜に対して酸化処理を施
さない以外は、前述の(実施例I−1)と同様の手法に
より有機シリコン膜の現像を試みた。
The cross section of the processed lower layer film pattern was observed with a scanning electron microscope, and the outline of the shape is shown in FIG. As shown in the figure, one layer remains on the surface of the SiO 2 film. Excimer lamp irradiation amount is 3.0J
/ Cm 2 , there is no more residue as shown in FIG.
The shape of No. 5 became a bowing shape and could not be patterned with good anisotropy. (Comparative Example I-2) Development of the organic silicon film was attempted in the same manner as in the above (Example I-1) except that the oxidation treatment was not performed on the organic silicon film.

【0247】有機シリコン膜の種類と対応する溶解除去
方法は、前述の表2ないし表4に示した組み合わせの通
りとした。いずれの組み合わせにおいても、有機シリコ
ン膜は溶解除去されることはなかった。
The dissolving and removing method corresponding to the type of the organic silicon film was as shown in Tables 2 to 4 above. In any of the combinations, the organic silicon film was not dissolved and removed.

【0248】本比較例から、本発明による有機シリコン
膜は、酸化処理を行なわなければ、フッ素原子を含む雰
囲気、あるいはアルカリ水溶液で現像除去できないこと
がわかる。 (比較例I−3)現像液としてトルエンを用いた以外
は、前述の(比較例I−2)と同様の手法により有機シ
リコン膜の現像を試みた。その結果、有機シリコン膜に
おけるレジストパターンに被覆されている部分も露出部
とともに全て溶解除去してしまい、有機シリコン膜をパ
ターニングすることができなかった。
From this comparative example, it can be seen that the organic silicon film according to the present invention cannot be removed by development in an atmosphere containing a fluorine atom or an alkaline aqueous solution unless oxidation treatment is performed. (Comparative Example I-3) An organic silicon film was developed by the same method as in the above (Comparative Example I-2) except that toluene was used as a developer. As a result, all the portions of the organic silicon film covered with the resist pattern were dissolved and removed together with the exposed portions, and the organic silicon film could not be patterned.

【0249】本発明による有機シリコン膜は極性が低
く、トルエンのような無極性溶媒に溶解するが、酸化処
理を施すことなく現像処理を行なうと、有機シリコン膜
全体が溶解し、有機シリコン膜をパターニングすること
ができないことがわかる。 (比較例I−4)トルエンを用いて現像処理を行なった
以外は、前述の(実施例I−1)と同様の手法により有
機シリコン膜の現像を試みた。
The organic silicon film according to the present invention has a low polarity and is dissolved in a non-polar solvent such as toluene. However, if the development treatment is performed without performing the oxidation treatment, the entire organic silicon film is dissolved and the organic silicon film is dissolved. It can be seen that patterning cannot be performed. (Comparative Example I-4) The development of the organic silicon film was attempted in the same manner as in (Example I-1) described above, except that the developing treatment was performed using toluene.

【0250】有機シリコン膜の種類と対応する溶解除去
方法は、前述の表2ないし表4に示した組み合わせの通
りとした。いずれの組み合わせにおいても、有機シリコ
ン膜は溶解除去されることはなかった。
The dissolving and removing method corresponding to the type of the organic silicon film was as shown in Tables 2 to 4 above. In any of the combinations, the organic silicon film was not dissolved and removed.

【0251】本比較例から、本発明による有機シリコン
膜は、酸化処理を行なうことにより極性が高くなり、無
極性溶媒に溶けにくくなったためと考えられる。 (実施例I−2)図6を参照して、本発明の他の実施例
を説明する。
From this comparative example, it is considered that the organic silicon film according to the present invention became higher in polarity by the oxidation treatment and became less soluble in a nonpolar solvent. (Embodiment I-2) Another embodiment of the present invention will be described with reference to FIG.

【0252】まず、(実施例I−1)と同様にしてシリ
コンウェハー10上に被加工膜11を形成した。
First, a film to be processed 11 was formed on a silicon wafer 10 in the same manner as in (Example I-1).

【0253】次に、重量平均分子量12,000のポリ
ビニルフェノール10gを乳酸エチル90gに溶解して
エッチングストッパー膜の材料となる溶液を調製した。
この溶液を、スピンコーティング法により被加工膜上に
塗布した後、ホットプレートを用いて320℃で90秒
間ベーキングして、図6(a)に示すようにフッ素に耐
性を有する薄膜(エッチングストッパー膜)17を形成
した。薄膜の膜厚は30nmである。
Next, 10 g of polyvinylphenol having a weight average molecular weight of 12,000 was dissolved in 90 g of ethyl lactate to prepare a solution to be used as a material for the etching stopper film.
This solution is applied on the film to be processed by spin coating, and then baked at 320 ° C. for 90 seconds using a hot plate to form a thin film resistant to fluorine (etching stopper film) as shown in FIG. 17) was formed. The thickness of the thin film is 30 nm.

【0254】次に、(実施例I−1)の(S1)と同様
の方法でフッ素に耐性を有する薄膜上に有機シリコン膜
12を形成した後、(実施例I−1)の手法により図6
(e)に示すように有機シリコン膜上にレジストパター
ン13bを形成した。
Next, an organic silicon film 12 is formed on a fluorine-resistant thin film by the same method as in (S1) of (Example I-1), and the method of (Example I-1) is used. 6
As shown in (e), a resist pattern 13b was formed on the organic silicon film.

【0255】続いて、(実施例I−1)で用いたエキシ
マランプをウェハー全面に照射量1.5J/cm2 で照
射し、図6(f)に示すように有機シリコン膜のレジス
トパターンに被覆されていない領域を選択的に酸化処理
した。
Subsequently, the entire surface of the wafer was irradiated with the excimer lamp used in (Example I-1) at a dose of 1.5 J / cm 2 , and the resist pattern of the organic silicon film was changed as shown in FIG. The uncoated areas were selectively oxidized.

【0256】さらに、フッ化水素1容を純水9容で希釈
した処理液を用いて有機シリコン膜の酸化処理を行なっ
た部分を溶解除去して、図6(g)に示すような有機シ
リコン膜パターン12bを得た。ここで用いた処理液
は、(実施例I−1)で用いたものと比較すると、フッ
化水素の濃度が10倍以上という高濃度のものである。
Further, the oxidized portion of the organic silicon film was dissolved and removed by using a processing solution obtained by diluting 1 volume of hydrogen fluoride with 9 volumes of pure water, and the organic silicon film as shown in FIG. A film pattern 12b was obtained. The treatment liquid used here has a high concentration of hydrogen fluoride of 10 times or more as compared with that used in (Example I-1).

【0257】フッ化水素1容を純水99容で希釈した
(実施例I−1)の場合、処理時間が182秒かかった
が、本実施例の場合、フッ化水素の濃度を高くしたので
処理時間を13秒に短縮することができた。有機シリコ
ン膜パターンの形状、酸化処理および酸化部分の溶解除
去処理で生じた寸法変換差、現像処理後のレジストパタ
ーンの残膜については(実施例I−1)と同様の値が得
られており、これらの性能と現像処理時間の短縮とを両
立することができた。 (比較例I−5)(実施例I−2)においてフッ素に耐
性を有する薄膜を形成しなかった場合には、図10
(b)に示したように被加工膜がフッ酸により等方的に
エッチングされてしまった。
In the case of diluting 1 volume of hydrogen fluoride with 99 volumes of pure water (Example I-1), the treatment time was 182 seconds. However, in the case of this example, the concentration of hydrogen fluoride was increased. The processing time was reduced to 13 seconds. The same values as in Example I-1 were obtained for the shape of the organic silicon film pattern, the dimensional conversion difference caused by the oxidation treatment and the dissolution removal treatment of the oxidized portion, and the remaining film of the resist pattern after the development treatment. Thus, it was possible to achieve both of these performances and shortening of the development processing time. (Comparative Example I-5) When a thin film having resistance to fluorine was not formed in (Example I-2), FIG.
As shown in (b), the film to be processed was isotropically etched by hydrofluoric acid.

【0258】本比較例の結果から、被加工膜と有機シリ
コン膜との間にフッ素に耐性を有する薄膜を形成するこ
とにより、フッ化水素濃度が高い処理液を用いて有機シ
リコン膜の現像を行なう場合でも、被加工膜がエッチン
グされることなく現像処理時間を短縮可能であることが
わかる。
From the results of this comparative example, it was found that by forming a fluorine-resistant thin film between the film to be processed and the organic silicon film, the organic silicon film was developed using a processing solution having a high hydrogen fluoride concentration. It can be seen that, even when the process is performed, the development processing time can be reduced without etching the film to be processed.

【0259】以上、被加工膜上に形成された有機シリコ
ン膜を、光リソグラフィー用の反射防止膜として作用さ
せた場合を例に挙げて本発明を説明したが、本発明は、
これに限定されるものではない。例えば、荷電ビームリ
ソグラフィー用の電荷蓄積による位置ずれを防ぐための
帯電防止材としても、前述の有機シリコン膜を作用させ
ることが可能である。
The present invention has been described above with reference to the case where the organic silicon film formed on the film to be processed is used as an antireflection film for photolithography.
It is not limited to this. For example, the above-described organic silicon film can be used as an antistatic material for preventing displacement due to charge accumulation for charged beam lithography.

【0260】以下、被加工膜上に形成される帯電防止膜
として用いた例を説明する。 (実施例I−3)まず、(実施例I−1)と同様にして
シリコンウェハー上に被加工膜を形成した。
An example in which the film is used as an antistatic film formed on a film to be processed will be described below. (Example I-3) First, a film to be processed was formed on a silicon wafer in the same manner as in (Example I-1).

【0261】次に、有機シリコン化合物として重量平均
分子量11,000の化合物[1−84](n/m=1
/4)9.9g、導電性物質としてトリフェニルスルフ
ォネート0.1gをアニソール90gに溶解して溶液材
料を調製した。この溶液を用いて、(実施例I−1)の
(S1)と同様の手順で有機シリコン膜を被加工膜上に
形成した。有機シリコン膜の膜厚は200nmとした。
有機シリコン膜のシート抵抗を測定したところ、1.2
×1010Ω/□であり、導電性物質を添加しない場合の
シート抵抗8.2×1015Ω/□と比べると低い値が得
られた。したがって、ここで形成した有機シリコン膜
は、帯電防止膜として作用させることができる。
Next, a compound [1-84] having a weight average molecular weight of 11,000 (n / m = 1) was used as an organosilicon compound.
/ 4) 9.9 g and 0.1 g of triphenylsulfonate as a conductive substance were dissolved in 90 g of anisole to prepare a solution material. Using this solution, an organic silicon film was formed on the film to be processed in the same procedure as (S1) in (Example I-1). The thickness of the organic silicon film was 200 nm.
When the sheet resistance of the organic silicon film was measured, 1.2
× 10 10 Ω / □, a lower value than the sheet resistance of 8.2 × 10 15 Ω / □ when no conductive substance was added. Therefore, the organic silicon film formed here can function as an antistatic film.

【0262】こうして得られた有機シリコン膜上に、
(実施例I−1)と同様にしてレジスト膜を形成した。
このレジスト膜に対し、加速電圧50eVの電子ビーム
描画装置を用いてパターン露光を行なった後、実施例と
同様にしてベーキング、現像処理を施して0.1μmラ
インアンドスペースパターンを形成した。現像処理後の
レジストパターンの膜厚は192nmであった。
On the organic silicon film thus obtained,
A resist film was formed in the same manner as in (Example I-1).
The resist film was subjected to pattern exposure using an electron beam lithography system with an acceleration voltage of 50 eV, and then baked and developed in the same manner as in the example to form a 0.1 μm line and space pattern. The thickness of the resist pattern after the development was 192 nm.

【0263】得られたパターンを走査型電子顕微鏡で上
部から観察したところ、電荷蓄積による位置ずれがなく
レジストパターンを形成することができた。これは、有
機シリコン膜(帯電防止膜)のシート抵抗値が充分低い
ため、照射された電荷が帯電防止膜全体に分散されたた
めと考えられる。
When the obtained pattern was observed from above with a scanning electron microscope, a resist pattern could be formed without any displacement due to charge accumulation. This is presumably because the organic silicon film (antistatic film) had a sufficiently low sheet resistance, and the irradiated charges were dispersed throughout the antistatic film.

【0264】次に、(実施例I−1)で用いたエキシマ
ーランプをウェハー全面に2.0J/cm2 で照射し
て、レジストパターンに被覆されていない有機シリコン
膜の領域を選択的に酸化した。
Next, the entire surface of the wafer was irradiated with the excimer lamp used in (Example I-1) at 2.0 J / cm 2 to selectively oxidize the region of the organic silicon film not covered with the resist pattern. did.

【0265】最後に、(実施例I−1)の(D1)の方
法で有機シリコン膜の酸化部分を溶解除去した。
Finally, the oxidized portion of the organic silicon film was dissolved and removed by the method (D1) of (Example I-1).

【0266】走査型電子顕微鏡でパターンを断面から観
察したところ、現像処理後の帯電防止膜の形状は垂直形
状で良好であった。また、帯電防止膜を現像処理した後
のレジストパターンの膜厚は190nmであり、帯電防
止膜の現像による膜減り量は2nm以下に抑えられてい
た。帯電防止膜の膜厚は200nmであるので、帯電防
止膜パターンとレジストパターンとからなるマスク材パ
ターンの膜厚は、392nmである。この厚さは、次の
工程で被加工膜(SiO2 膜)をエッチングするのに必
要とされるマスクとして十分な厚さである。 (実施例II)本実施例においては、第3のパターン形成
方法について説明する。 (実施例II−1)以下、図2および3を参照しつつ本実
施例を説明する。
When the pattern was observed from a cross section with a scanning electron microscope, the shape of the antistatic film after the development treatment was a good vertical shape. Further, the thickness of the resist pattern after the development of the antistatic film was 190 nm, and the amount of film reduction due to the development of the antistatic film was suppressed to 2 nm or less. Since the thickness of the antistatic film is 200 nm, the thickness of the mask material pattern including the antistatic film pattern and the resist pattern is 392 nm. This thickness is sufficient as a mask required for etching the film to be processed (SiO 2 film) in the next step. (Embodiment II) In this embodiment, a third pattern forming method will be described. (Example II-1) Hereinafter, this example will be described with reference to FIGS.

【0267】まず、シリコンウェハー110上に厚さ3
00nmのSiO2 膜111をスパッターにより形成し
た。このSiO2 膜111上に、被加工膜としての金属
配線層115をスパッター法により形成した。金属配線
層115は、TiN膜112(膜厚:40nm)、Ti
膜113(膜厚:5nm)、0.5%−Cu−Al膜1
14(膜厚:230nm)、Ti膜113(膜厚:10
nm)、およびTiN膜112(膜厚:20nm)が順
次形成された積層構造である。
First, a silicon wafer 110 having a thickness of 3
A 00 nm SiO 2 film 111 was formed by sputtering. On the SiO 2 film 111, a metal wiring layer 115 as a film to be processed was formed by a sputtering method. The metal wiring layer 115 includes a TiN film 112 (thickness: 40 nm),
Film 113 (thickness: 5 nm), 0.5% -Cu-Al film 1
14 (thickness: 230 nm), Ti film 113 (thickness: 10
nm) and a TiN film 112 (film thickness: 20 nm) are sequentially formed.

【0268】得られた被加工膜である金属配線層115
の上に、以下の(S11)〜(S16)に示す手法を用
いて、図2(a)に示したような有機シリコン膜116
をそれぞれ形成した。この有機シリコン膜116は、反
射防止膜として作用する下層膜と呼ぶことができる。 (S11)有機シリコン化合物としての重量平均分子量
12,000の化合物[1−84](n/m=1/4)
10gをアニソール90gに溶解して、溶液材料を調製
した。これをスピンコーティング法で被加工膜(金属配
線層)115上に塗布した後、ホットプレート上で19
0℃で60秒間加熱して有機シリコン膜115を形成し
た。 (S12)有機シリコン化合物としての重量平均分子量
3,000の化合物[1−115](n/m=4/6)
10gをアニソール90gに溶解して、溶液材料を調製
した。これをスピンコーティング法で被加工膜(金属配
線層)115上に塗布した後、窒素雰囲気中(酸素濃度
50ppm以下)でホットプレートを用いて130℃で
60秒間加熱して有機シリコン膜115を形成した。 (S13)有機シリコン化合物としての重量平均分子量
2,500の化合物[3−89]10gをアニソール9
0gに溶解して、溶液材料を調製した。これを用いて
(S12)と同様の手順で有機シリコン膜116を被加
工膜115上に形成した。ただし、加熱は240℃で6
0秒間とした。 (S14)有機シリコン化合物として重量平均分子量
3,200の化合物[1−1]10g、架橋剤として重
量平均分子量3,200の有機シリコン化合物[3−8
9](n/m=1/1)9g、開始剤として化合物[4
−12]1gをアニソール90gに溶解して、溶液材料
を調製した。これを用いて(S13)と同様の手順で、
有機シリコン膜116を被加工膜115上に形成した。 (S15)有機シリコン化合物として重量平均分子量
5,200の化合物[1−120](n/m=1/1)
10gをアニソール90gに溶解して、溶液材料を調製
した。これを用いて、(S13)と同様の手順で有機シ
リコン膜116を被加工膜115上に形成した。 (S16)有機シリコン化合物として重量平均分子量
2,500の化合物[1−119](n/m=1/1)
10gをアニソール90gに溶解して、溶液材料を調製
した。これを用いて(S12)と同様の手順で有機シリ
コン膜116を被加工膜115上に形成した。
The metal wiring layer 115 which is the obtained film to be processed
The organic silicon film 116 as shown in FIG. 2A is formed by using the following methods (S11) to (S16).
Was formed respectively. This organic silicon film 116 can be referred to as a lower film that functions as an antireflection film. (S11) Compound [1-84] having a weight average molecular weight of 12,000 as an organosilicon compound (n / m = 1/4)
10 g was dissolved in 90 g of anisole to prepare a solution material. This is applied on the film to be processed (metal wiring layer) 115 by a spin coating method, and then applied on a hot plate.
The organic silicon film 115 was formed by heating at 0 ° C. for 60 seconds. (S12) Compound [1-115] having a weight average molecular weight of 3,000 as an organosilicon compound (n / m = 4/6)
10 g was dissolved in 90 g of anisole to prepare a solution material. This is applied onto the film to be processed (metal wiring layer) 115 by spin coating, and then heated at 130 ° C. for 60 seconds using a hot plate in a nitrogen atmosphere (oxygen concentration 50 ppm or less) to form the organic silicon film 115. did. (S13) 10 g of a compound [3-89] having a weight average molecular weight of 2,500 as an organosilicon compound was added to anisole 9
0 g to prepare a solution material. Using this, an organic silicon film 116 was formed on the processed film 115 in the same procedure as in (S12). However, heating at 240 ° C is 6
0 seconds. (S14) 10 g of a compound [1-1] having a weight average molecular weight of 3,200 as an organosilicon compound and an organic silicon compound [3-8] having a weight average molecular weight of 3,200 as a crosslinking agent
9] (n / m = 1/1) 9 g, compound [4] as an initiator
-12] 1 g was dissolved in 90 g of anisole to prepare a solution material. Using this, in the same procedure as (S13),
An organic silicon film 116 was formed on the film 115 to be processed. (S15) Compound [1-120] having a weight average molecular weight of 5,200 as an organosilicon compound (n / m = 1/1)
10 g was dissolved in 90 g of anisole to prepare a solution material. Using this, an organic silicon film 116 was formed on the processing target film 115 in the same procedure as in (S13). (S16) Compound [1-119] having a weight average molecular weight of 2,500 as an organosilicon compound (n / m = 1/1)
10 g was dissolved in 90 g of anisole to prepare a solution material. Using this, an organic silicon film 116 was formed on the processed film 115 in the same procedure as in (S12).

【0269】各有機シリコン膜の膜厚は、いずれも20
0nmとした。
The thickness of each organic silicon film is 20
It was set to 0 nm.

【0270】次に、各有機シリコン膜116上にレジス
ト溶液をスピンコーティング法により塗布し、ホットプ
レート上で140℃で90秒間のベーキングを行なっ
て、図2(b)に示すようなレジスト膜117を形成し
た。ここで用いたレジスト溶液は、重量平均分子量1
8,000の抑止剤樹脂としての化合物[P−1](n
/m=1/1)9.9gと、酸発生剤として化合物[P
−2]0.1gとを乳酸エチル90gに溶解してなるポ
ジ型化学増幅型レジストである。用いた化合物を以下に
示す。
Next, a resist solution is applied on each organic silicon film 116 by spin coating, and baked on a hot plate at 140 ° C. for 90 seconds to form a resist film 117 as shown in FIG. Was formed. The resist solution used here had a weight average molecular weight of 1
Compound [P-1] (n
/ M = 1/1) 9.9 g and the compound [P
-2] is a positive chemically amplified resist obtained by dissolving 0.1 g in 90 g of ethyl lactate. The compounds used are shown below.

【0271】[0271]

【化71】 Embedded image

【0272】得られたレジスト膜117の膜厚は200
nmである。
The thickness of the obtained resist film 117 is 200
nm.

【0273】次に、ArFエキシマレーザーを光源とす
る縮小光学型ステッパーを用いてレジスト膜117に対
してパターン露光を行なった後、ホットプレートを用い
て140℃で90秒間のベーキングを施した。ベーキン
グ後のレジスト膜117に、0.26規定のテトラメチ
ルアンモニウムヒドロキシドを用いて現像処理を施すこ
とにより、図2(c)に示すような0.13μmのライ
ンアンドスペースパターン118が形成された。
Next, the resist film 117 was subjected to pattern exposure using a reduction optical type stepper using an ArF excimer laser as a light source, and then baked at 140 ° C. for 90 seconds using a hot plate. By subjecting the baked resist film 117 to development processing using 0.26 N tetramethylammonium hydroxide, a 0.13 μm line and space pattern 118 as shown in FIG. 2C was formed. .

【0274】レジストパターン118の断面形状を走査
型電子顕微鏡を用いて観察したところ、いずれの有機シ
リコン膜上でも、図2(c)に示されるように定在波に
よる波打ち形状は確認されなかった。これは、反射防止
膜として作用する有機シリコン膜116を形成したこと
により金属配線層115からの反射が抑えられたことに
起因する。
When the cross-sectional shape of the resist pattern 118 was observed using a scanning electron microscope, no wavy shape due to a standing wave was confirmed on any of the organic silicon films as shown in FIG. 2C. . This is because the reflection from the metal wiring layer 115 was suppressed by forming the organic silicon film 116 acting as an anti-reflection film.

【0275】レジストパターン118が形成された有機
シリコン膜116に対して、次のような手法により酸化
処理を施した。
The organic silicon film 116 on which the resist pattern 118 was formed was oxidized by the following method.

【0276】(S11)〜(S15)の方法で形成した
有機シリコン膜116に対しては、図3(a)に示すよ
うにArFエキシマレーザー119を窒素雰囲気中(酸
素濃度1,000ppm)でウェハー全面に照射した。
この際、照射量は有機シリコン膜の種類に応じて調節
し、下記表6に示す値で照射した。
As shown in FIG. 3A, an ArF excimer laser 119 was applied to the organic silicon film 116 formed by the methods (S11) to (S15) in a nitrogen atmosphere (oxygen concentration: 1,000 ppm). The whole surface was irradiated.
At this time, the irradiation amount was adjusted according to the type of the organic silicon film, and irradiation was performed at the values shown in Table 6 below.

【0277】また、(S16)の方法で形成した有機シ
リコン膜に対しては、大気中でホットプレートを用いて
140℃で3分間加熱することにより酸化処理を施し
た。
Further, the organic silicon film formed by the method (S16) was subjected to an oxidation treatment by heating at 140 ° C. for 3 minutes in the air using a hot plate.

【0278】酸化処理後には、有機シリコン膜の各領域
におけるケイ素に対する酸素の原子数比(O/Si=酸
素の原子数/ケイ素の原子数)、およびケイ素に対する
炭素の原子数比(C/Si=炭素の原子数/ケイ素の原
子数)を、X線光電子分光法を用いて測定した。なお、
有機シリコン膜の各領域とは、レジストパターン118
に被覆されている領域(被覆領域)116bと、レジス
トパター118に被覆されていない領域(露出領域)1
16aとの2つの領域である。
After the oxidation treatment, the atomic ratio of oxygen to silicon in each region of the organic silicon film (O / Si = the number of oxygen atoms / the number of silicon atoms) and the atomic ratio of carbon to silicon (C / Si = Number of carbon atoms / number of silicon atoms) was measured using X-ray photoelectron spectroscopy. In addition,
Each region of the organic silicon film is a resist pattern 118
(Covered area) 116b and the area not covered by the resist pattern 118 (exposed area) 1
16a.

【0279】各領域における原子数比を、酸化処理前で
の有機シリコン膜中の原子数比とともに下記表6にまと
める。
Table 6 below summarizes the atomic ratio in each region together with the atomic ratio in the organic silicon film before the oxidation treatment.

【0280】[0280]

【表6】 [Table 6]

【0281】表6に示されるように、有機シリコン膜の
露出部116a、および有機シリコン膜の被覆部116
bでは、ケイ素に対する酸素原子の割合(O/Si)が
増加し、ケイ素に対する炭素原子の割合(C/Si)が
減少しており、いずれの領域においても酸化が進行して
いることがわかる。有機シリコン膜の被覆部116bで
も酸化が進行しているのは、次のような理由によると考
えられる。すなわち、本実施例で用いたレジストは、波
長193nmにおける1μm当たりの透過率が85%程
度であり、露光波長に対する透過性が高いためである。
As shown in Table 6, the exposed portion 116a of the organic silicon film and the coating portion 116 of the organic silicon film
In b, the ratio of oxygen atoms to silicon (O / Si) increased, and the ratio of carbon atoms to silicon (C / Si) decreased, indicating that oxidation proceeded in any region. It is considered that the oxidation is progressing also in the organic silicon film covering portion 116b for the following reason. That is, the resist used in this example has a transmittance of about 85% per 1 μm at a wavelength of 193 nm, and has high transmittance with respect to an exposure wavelength.

【0282】また、酸化処理前後でのレジストパターン
118を走査型電子顕微鏡を用いて観察したところ、酸
化処理によるレジストパターンの膜減りや、パターンの
変形はみられなかった。
When the resist pattern 118 before and after the oxidation treatment was observed by using a scanning electron microscope, no reduction in the film thickness of the resist pattern due to the oxidation treatment and no deformation of the pattern were observed.

【0283】次に、マグネトロン型反応性イオンエッチ
ング装置を用いて、有機シリコン膜をエッチングして、
図3(b)に示すような有機シリコン膜パターン116
bを形成した。エッチング条件は、ソースガスソースガ
スC4 8 /CO/Ar=20/100/100SCC
M、真空度75mTorr、励起電力密度1.0W/c
2 、基板温度40℃とした。その結果、いずれの有機
シリコン膜でも、図3(b)に示すように異方性よく有
機シリコン膜116を加工することができた。
Next, the organic silicon film was etched using a magnetron-type reactive ion etching apparatus.
An organic silicon film pattern 116 as shown in FIG.
b was formed. The etching conditions are as follows: source gas source gas C 4 F 8 / CO / Ar = 20/100 / 100SCC
M, degree of vacuum 75 mTorr, excitation power density 1.0 W / c
m 2 , and the substrate temperature was 40 ° C. As a result, as shown in FIG. 3B, the organic silicon film 116 could be processed with any of the organic silicon films with good anisotropy.

【0284】次に、エッチングにより有機シリコン膜1
16に生じた寸法変換差を調べるために、有機シリコン
膜116上に形成したレジストパターン118の寸法
(図2(c)のX2 で定義)と、エッチング後の有機シ
リコン膜パターン116bの寸法(図3(b)のY2
定義)との差(=Y2 −X2 )を測定した。寸法変換差
の測定結果を前記表6にまとめた。
Next, the organic silicon film 1 is etched.
To investigate the pattern shift occurring in 16, the size of the resist pattern 118 formed on the organic silicon film 116 (defined by X 2 in FIG. 2 (c)), the dimensions of the organosilicon film pattern 116b after etching ( The difference (= Y 2 −X 2 ) from the value defined by Y 2 in FIG. 3B was measured. Table 6 summarizes the measurement results of the dimensional conversion differences.

【0285】表6に示されるように、いずれの場合も
6.5nmという許容範囲の寸法差(加工寸法の5%)
で、制御性よくレジストパターン118を有機シリコン
膜116に転写することができた。
As shown in Table 6, in each case, the dimensional difference within the allowable range of 6.5 nm (5% of the processing size).
Thus, the resist pattern 118 was transferred to the organic silicon film 116 with good controllability.

【0286】また、有機シリコン膜116の酸化部分の
エッチングを途中でやめて、レジストパターン118の
削れ量と、有機シリコン膜の酸化部分116aの削れ量
とを測定して対レジスト選択比(=有機シリコン膜のエ
ッチングレート/レジストパターンのエッチングレー
ト)を算出した。得られた結果を、前記表6にまとめ
た。表6に示されるように、いずれの場合も、3以上の
高選択比で有機シリコン膜116がエッチングされてい
る。その結果、寸法制御性よく異方的に有機シリコン膜
を加工して、有機シリコン膜パターン116bを得るこ
とができた。
Also, the etching of the oxidized portion of the organic silicon film 116 is stopped halfway, and the shaved amount of the resist pattern 118 and the shaved amount of the oxidized portion 116a of the organic silicon film are measured. (Etching rate of film / etching rate of resist pattern) was calculated. The results obtained are summarized in Table 6 above. As shown in Table 6, in each case, the organic silicon film 116 was etched at a high selectivity of 3 or more. As a result, the organic silicon film was anisotropically processed with good dimensional control, and the organic silicon film pattern 116b was obtained.

【0287】続いて、マグネトロン型反応性イオンエッ
チング装置を用いて、金属配線層115をエッチングし
て、図3(c)に示すように金属配線層パターン115
bを形成した。エッチング条件は、ソースガスBCl3
/Cl2 =100/200SCCM、真空度75mTo
rr、励起電力密度1.0W/cm2 、基板温度25℃
とした。その結果、いずれの組み合わせにおいても、図
3(c)に示すように異方性よく被加工膜である金属配
線層115を加工することができた。 (比較例II−1)有機樹脂を用いて下層膜を形成し、
0.13μmラインアンドスペースのレジストパターン
を下層膜に転写し、得られた下層膜パターンの形状を調
べた。
Subsequently, the metal wiring layer 115 is etched by using a magnetron-type reactive ion etching apparatus to form a metal wiring layer pattern 115 as shown in FIG.
b was formed. The etching conditions are source gas BCl 3
/ Cl 2 = 100/200 SCCM, vacuum degree 75mTo
rr, excitation power density 1.0 W / cm 2 , substrate temperature 25 ° C.
And As a result, in each of the combinations, as shown in FIG. 3C, the metal wiring layer 115, which was a film to be processed, could be processed with good anisotropy. (Comparative Example II-1) A lower layer film was formed using an organic resin,
A 0.13 μm line and space resist pattern was transferred to the lower film, and the shape of the obtained lower film pattern was examined.

【0288】まず、前述の実施例と同様に、シリコンウ
ェハー上にSiO2 膜111および被加工膜としての金
属配線層115をスパッター法により形成した。
First, as in the above-described embodiment, a SiO 2 film 111 and a metal wiring layer 115 as a film to be processed were formed on a silicon wafer by a sputtering method.

【0289】得られた金属配線層115上に、次のよう
にして下層膜を形成した。まず、ポリサルフォン10g
をシクロヘキサノン90gに溶解して、下層膜の溶液材
料を調製した。この溶液材料をスピンコーティング法を
用いて金属配線層115上に塗布した後、ホットプレー
トを用いて220℃で60秒間ベーキングを行って溶媒
を気化乾燥させることにより、下層膜116を形成し
た。
On the obtained metal wiring layer 115, a lower layer film was formed as follows. First, polysulfone 10g
Was dissolved in 90 g of cyclohexanone to prepare a solution material for the lower layer film. This solution material was applied on the metal wiring layer 115 by spin coating, and then baked at 220 ° C. for 60 seconds using a hot plate to evaporate and dry the solvent, thereby forming the lower film 116.

【0290】得られた下層膜116上には、前述の(実
施例II−1)と同様の手法により、0.13μmのライ
ンアンドスペースのレジストパターン118を形成し
た。
On the obtained lower layer film 116, a 0.13 μm line-and-space resist pattern 118 was formed in the same manner as in the above (Example II-1).

【0291】次に、マグネトロン型RIE装置を用いて
下層膜116のエッチングを行った。この際のエッチン
グ条件は、レジストパターン118と下層膜116との
エッチング選択比が最もとれる条件、つまりソースガス
CF4 =800SCCM、O 2 =8SCCM、Ar=1
60SCCM、真空度40mTorr、励起電力500
Wとした。その結果、下層膜116のエッチング終了後
には、レジストパターン118がほとんど削れてなくな
り、下層膜を垂直にエッチングすることができなかっ
た。下層膜のエッチングを途中で止めて下層膜の対レジ
スト選択比を求めたところ、1.20しかないことがわ
かった。
Next, using a magnetron type RIE apparatus,
The lower layer 116 was etched. Etchin in this case
The condition of the resist pattern 118 and the lower film 116 is
Conditions that maximize the etching selectivity, that is, source gas
CFFour= 800 SCCM, O Two= 8 SCCM, Ar = 1
60 SCCM, degree of vacuum 40 mTorr, excitation power 500
W. As a result, after the etching of the lower film 116 is completed.
The resist pattern 118 is almost not removed
And the underlying film cannot be etched vertically
Was. Stop etching of the lower layer film halfway and
When the strike selectivity was determined, it was found that there was only 1.20.
won.

【0292】下層膜116として本比較例のような有機
樹脂を用いた場合には、レジストパターン118とのエ
ッチング選択比がとれず、下層膜116を異方性よく加
工することができないことがわかる。 (比較例II−2)有機シリコン膜に対して酸化処理を施
さない以外は、前述の(実施例II−1)と同様の手法に
より有機シリコン膜のエッチングを試みた。なお、有機
シリコン膜は、(実施例II−1)の(S11)〜(S1
6)の手法によりそれぞれ形成した。
When the organic resin as in the present comparative example is used as the lower film 116, the etching selectivity with respect to the resist pattern 118 cannot be obtained, so that the lower film 116 cannot be processed with good anisotropy. . (Comparative Example II-2) An attempt was made to etch the organic silicon film by the same method as in the above (Example II-1) except that the oxidation treatment was not performed on the organic silicon film. Incidentally, the organic silicon film is formed by the steps (S11) to (S1) in (Example II-1).
Each was formed by the method of 6).

【0293】その結果、下層膜116のエッチングの途
中にレジストパターン118が全て削れてなくなり、被
加工膜を所望の寸法で加工することができなかった。下
層膜のエッチングを途中で止めて、下層膜の対レジスト
選択比を調べたところ、いずれの方法で形成した下層膜
でも、0.9〜1.1の範囲であり、選択比が大幅に低
下していることがわかった。
As a result, the resist pattern 118 was not completely removed during the etching of the lower layer film 116, and the film to be processed could not be processed to a desired size. When the etching of the lower layer film was stopped halfway and the selectivity ratio of the lower layer film to the resist was examined, the lower layer film formed by any method was in the range of 0.9 to 1.1, and the selectivity was significantly reduced. I knew I was doing it.

【0294】本比較例から、下層膜116の対レジスト
選択比を向上させるためには、下層膜の露出領域116
a(レジストパターンに被覆されていない領域)を酸化
しなければならないことがわかる。 (比較例II−3)まず、(実施例II−1)と同様にし
て、被加工膜115、有機シリコン膜116、およびレ
ジストパターン118をシリコンウェハー110上に順
次形成した。なお、有機シリコン膜は、前述の(S1
1)の手法により形成した。
From this comparative example, in order to improve the selectivity of the lower film 116 with respect to the resist, the exposed region 116 of the lower film was
It can be seen that a (a region not covered with the resist pattern) must be oxidized. (Comparative Example II-3) First, in the same manner as in (Example II-1), a film to be processed 115, an organic silicon film 116, and a resist pattern 118 were sequentially formed on a silicon wafer 110. Incidentally, the organic silicon film is formed by the above-mentioned (S1).
It was formed by the method of 1).

【0295】次に、プラズマアッシング装置を用いて、
有機シリコン膜116を酸素プラズマ処理した。ソース
ガス、励起電力密度、基板密度のパラメーターは、それ
ぞれO2 =1000SCCM、1.0W/cm2 、12
0℃で一定とした。真空度は、10、30、50、7
0、90mTorrの5水準で酸素プラズマ処理を行な
って、酸素プラズマ処理でアッシングされたレジストパ
ターン118の膜減り量を調べた。
Next, using a plasma ashing apparatus,
The organic silicon film 116 was subjected to oxygen plasma treatment. The parameters of the source gas, the excitation power density, and the substrate density were O 2 = 1000 SCCM, 1.0 W / cm 2 , 12
It was kept constant at 0 ° C. The degree of vacuum is 10, 30, 50, 7
Oxygen plasma processing was performed at five levels of 0 and 90 mTorr, and the amount of film reduction of the resist pattern 118 ashed by the oxygen plasma processing was examined.

【0296】さらに、(実施例II−1)と同様の方法で
ケイ素に対する酸素の原子数比(O/Si=酸素の原子
数/ケイ素の原子数)、およびケイ素に対する炭素の原
子数比(C/Si=炭素の原子数/ケイ素の原子数)を
測定した。
Further, in the same manner as in (Example II-1), the atomic ratio of oxygen to silicon (O / Si = the atomic number of oxygen / the atomic number of silicon) and the atomic ratio of carbon to silicon (C / Si = the number of carbon atoms / the number of silicon atoms).

【0297】また、有機シリコン膜116を(実施例II
−1)と同様の方法でエッチングして対レジスト選択比
を調べた。測定結果を表7に示す。
Also, the organic silicon film 116 was formed as described in Example II.
Etching was performed in the same manner as in -1), and the selectivity to resist was examined. Table 7 shows the measurement results.

【0298】[0298]

【表7】 [Table 7]

【0299】表7に示されるように、真空度を低下させ
ると酸素ラジカルの量が減少するのでレジストパターン
118がアッシングされにくくなるものの、有機シリコ
ン膜116も酸化されにくくなる。このため、対レジス
ト選択比がとれにくくなっている。一方、真空度を高め
ると酸素ラジカルの量が増加するため、有機シリコン膜
116が酸化され易くなって対レジスト選択比が向上す
るが、レジストパターン118がアッシングされやすく
なる。この場合には、レジストパターン118の膜減り
が顕著になる。
As shown in Table 7, when the degree of vacuum is reduced, the amount of oxygen radicals is reduced, so that the resist pattern 118 is hardly ashed, but the organic silicon film 116 is also hardly oxidized. For this reason, it is difficult to obtain a selective ratio with respect to the resist. On the other hand, when the degree of vacuum is increased, the amount of oxygen radicals is increased, so that the organic silicon film 116 is easily oxidized and the resist selectivity is improved, but the resist pattern 118 is easily ashed. In this case, the thickness of the resist pattern 118 is significantly reduced.

【0300】すなわち、有機シリコン膜116の酸化に
当たって酸素プラズマ処理を用いた場合には、レジスト
パターン118の膜減りを押さえ、かつ対レジスト選択
比を高めることができないことがわかる。 (参考例II−1)まず、(実施例II−1)と同様の手法
により、被加工膜115、有機シリコン膜116、およ
びレジストパターン118を、シリコンウェハー110
上に順次形成した。なお、有機シリコン膜116は、前
述の(S11)の手法で形成した。
That is, when oxygen plasma treatment is used to oxidize the organic silicon film 116, it is found that the reduction in the film thickness of the resist pattern 118 cannot be suppressed and the selectivity to resist cannot be increased. (Reference Example II-1) First, a film 115 to be processed, an organic silicon film 116, and a resist pattern 118 are formed on a silicon wafer 110 by the same method as in Example II-1.
Formed sequentially on top. Note that the organic silicon film 116 was formed by the above-described method (S11).

【0301】有機シリコン膜116の酸化に当たって
は、ArFエキシマレーザーを(実施例II−1)の場合
よりも低下させて、照射量9mJ/cm2 で照射した
後、有機シリコン膜の露光領域116aにおけるケイ
素、炭素、および酸素の原子数を調べた。その結果、有
機シリコン膜の露出領域116aにおけるケイ素に対す
る炭素の原子数比(C/Si)、およびケイ素に対する
酸素の原子数比(O/Si)は、それぞれ0.11およ
び8.03となり、(実施例II−1)と比べて酸化度が
低下した。
In oxidizing the organic silicon film 116, the ArF excimer laser was irradiated at a dose of 9 mJ / cm 2 at a lower dose than in the case of (Example II-1). The number of silicon, carbon, and oxygen atoms was determined. As a result, the atomic ratio of carbon to silicon (C / Si) and the atomic ratio of oxygen to silicon (O / Si) in the exposed region 116a of the organic silicon film are 0.11 and 8.03, respectively. The degree of oxidation was lower than that of Example II-1).

【0302】続いて、(実施例II−1)と同様にして有
機シリコン膜116をエッチングしたところ、有機シリ
コン膜の対レジスト選択比は1.52に低下し、有機シ
リコン膜116のエッチングで生じた寸法変換差も8n
mと、許容範囲の6.5nmを越すことがわかった。 (参考例II−2)まず、(実施例II−1)と同様の手法
により、被加工膜115、有機シリコン膜116、およ
びレジストパターン118を、シリコンウェハー110
上に順次形成した。なお、有機シリコン膜116は、前
述の(S15)の手法で形成した。
Subsequently, when the organic silicon film 116 was etched in the same manner as in (Example II-1), the selectivity of the organic silicon film to the resist was reduced to 1.52, and the organic silicon film 116 was etched. 8n
m, which exceeds the allowable range of 6.5 nm. (Reference Example II-2) First, a film 115 to be processed, an organic silicon film 116, and a resist pattern 118 are formed on a silicon wafer 110 by the same method as in Example II-1.
Formed sequentially on top. Note that the organic silicon film 116 was formed by the above-described method (S15).

【0303】有機シリコン膜116の酸化に当たって
は、ArFエキシマレーザーを(実施例II−1)の場合
よりも低下させて、照射量9mJ/cm2 で照射した
後、有機シリコン膜の露光領域116aにおけるケイ
素、炭素、および酸素の原子数を調べた。その結果、有
機シリコン膜の露出領域116aにおけるケイ素に対す
る炭素の原子数比(C/Si)、およびケイ素に対する
酸素の原子数比(O/Si)は、それぞれ0.12およ
び8.12となり、(実施例II−1)と比べて酸化度が
低下した。
In oxidizing the organic silicon film 116, the ArF excimer laser was irradiated at a dose of 9 mJ / cm 2 at a lower dose than in the case of (Example II-1). The number of silicon, carbon, and oxygen atoms was determined. As a result, the atomic ratio of carbon to silicon (C / Si) and the atomic ratio of oxygen to silicon (O / Si) in the exposed region 116a of the organic silicon film are 0.12 and 8.12, respectively. The degree of oxidation was lower than that of Example II-1).

【0304】続いて、(実施例II−1)と同様にして有
機シリコン膜116をエッチングしたところ、有機シリ
コン膜の対レジスト選択比は1.48に低下し、有機シ
リコン膜116のエッチングで生じた寸法変換差も7n
mと、許容範囲の6.5nmを越すことがわかった。
Subsequently, when the organic silicon film 116 was etched in the same manner as in (Example II-1), the selectivity of the organic silicon film with respect to the resist was reduced to 1.48. 7n
m, which exceeds the allowable range of 6.5 nm.

【0305】本参考例から、紫外線を照射後の有機シリ
コン膜におけるケイ素、炭素、および酸素の原子数が、
ケイ素に対する炭素の原子数比(C/Si)≧0.1、
およびケイ素に対する酸素の原子数比(O/Si)≦
8.0を満たすように紫外線を照射することが好ましい
ことがわかる。 (実施例II−2)図7および図8を参照して、第3のパ
ターン形成方法の他の例を説明する。
According to the present reference example, the number of silicon, carbon and oxygen atoms in the organic silicon film after irradiation with ultraviolet rays was
Atomic ratio of carbon to silicon (C / Si) ≧ 0.1,
And the atomic ratio of oxygen to silicon (O / Si) ≦
It can be seen that it is preferable to irradiate ultraviolet rays so as to satisfy 8.0. (Example II-2) Another example of the third pattern forming method will be described with reference to FIGS.

【0306】まず、シリコンウェハー120上に被加工
膜として膜厚500nmのTEOS酸化膜121をLP
CVD法により形成した。
First, a 500 nm-thick TEOS oxide film 121 is formed on a silicon wafer 120 as a film to be processed by LP.
It was formed by a CVD method.

【0307】この被加工膜であるTEOS酸化膜121
の上に、前述の(実施例II−1)における(S11)〜
(S15)と同様の手法により、図7(a)に示すよう
な有機シリコン膜122をそれぞれ形成した。この有機
シリコン膜122は、反射防止膜として作用する下層膜
と呼ぶことができる。
The TEOS oxide film 121 as the film to be processed is
Above (S11) to (S11) in the above (Example II-1).
An organic silicon film 122 as shown in FIG. 7A was formed by the same method as (S15). This organic silicon film 122 can be referred to as a lower layer film acting as an anti-reflection film.

【0308】各有機シリコン膜の膜厚は、いずれも20
0nmとした。
Each organic silicon film has a thickness of 20
It was set to 0 nm.

【0309】次に、各有機シリコン膜122上にレジス
ト溶液をスピンコーティング法により塗布し、ホットプ
レート上で110℃で90秒間のベーキングを行なっ
て、図8(b)に示すようなレジスト膜123を形成し
た。ここで用いたレジスト溶液は、重量平均分子量1
8,000のポリビニルフェノール5g、水酸基の50
%をターシャリブトキシカルボニル基で置換した重量平
均分子量17,000のポリビニルフェノール4.9
g、および酸発生剤としてのスルフォンイミド0.1g
を、乳酸エチル90gに溶解してなるポジ型化学増幅型
レジストである。
Next, a resist solution is applied on each organic silicon film 122 by a spin coating method, and baked on a hot plate at 110 ° C. for 90 seconds to form a resist film 123 as shown in FIG. Was formed. The resist solution used here had a weight average molecular weight of 1
5 g of 8,000 polyvinyl phenols, 50 hydroxyl groups
% Of which is substituted with a tertiary butoxycarbonyl group.
g, and 0.1 g of sulfonimide as an acid generator
Is dissolved in 90 g of ethyl lactate.

【0310】得られたレジスト膜123の膜厚は200
nmである。
The thickness of the obtained resist film 123 is 200
nm.

【0311】次に、KrFエキシマレーザーを光源とす
る縮小光学型ステッパーを用いてレジスト膜123に対
してパターン露光を行なった後、ホットプレートを用い
て110℃で90秒間のベーキングを施した。ベーキン
グ後のレジスト膜123は、0.21規定のテトラメチ
ルアンモニウムヒドロキシドを用いて現像処理を行なう
ことにより、図7(c)に示すような0.15μmのラ
インアンドスペースパターン124が形成された。
Next, the resist film 123 was subjected to pattern exposure using a reduction optical stepper using a KrF excimer laser as a light source, and then baked at 110 ° C. for 90 seconds using a hot plate. The resist film 123 after baking was developed using 0.21 N tetramethylammonium hydroxide to form a 0.15 μm line-and-space pattern 124 as shown in FIG. 7C. .

【0312】レジストパターン124の断面形状を走査
型電子顕微鏡を用いて観察したところ、いずれの有機シ
リコン膜上でも、図7(c)に示されるように定在波に
よる波打ち形状は確認されたなかった。これは、反射防
止膜として作用する有機シリコン膜122を形成したこ
とによりTEOS酸化膜121からの反射が抑えられた
ことに起因する。
When the cross-sectional shape of the resist pattern 124 was observed using a scanning electron microscope, no wavy shape due to a standing wave was confirmed on any of the organic silicon films as shown in FIG. 7C. Was. This is because the reflection from the TEOS oxide film 121 was suppressed by forming the organic silicon film 122 acting as an anti-reflection film.

【0313】レジストパターン124が形成された有機
シリコン膜122に対して、図8(a)に示すように紫
外線125を照射して酸化処理を施した。酸化処理に当
たっては、以下に示す(P11)〜(P13)のいずれ
かの方法を用いた。有機シリコン膜の種類と照射方法と
の組合せは、下記表8に示した通りとし、照射量は表8
に示す値とした。 (P11)窒素雰囲気下(酸素濃度1,000ppm)
でArFエキシマレーザーをウェハー上部からウェハー
全面に照射した。 (P12)窒素雰囲気下(酸素濃度1,000ppm)
で中心波長172nm、バンド幅20nmのエキシマラ
ンプをウェハー上部からウェハー全面に照射した。 (P13)窒素雰囲気下(酸素濃度1,000ppm)
でF2 レーザーをウェハー上部からウェハー全面に照射
した。
The oxidation treatment was performed on the organic silicon film 122 on which the resist pattern 124 was formed by irradiating it with ultraviolet rays 125 as shown in FIG. In the oxidation treatment, any of the following methods (P11) to (P13) was used. The combination of the type of the organic silicon film and the irradiation method is as shown in Table 8 below.
The values shown in the table were used. (P11) Under nitrogen atmosphere (oxygen concentration 1,000ppm)
Then, an ArF excimer laser was irradiated from above the wafer to the entire surface of the wafer. (P12) Under nitrogen atmosphere (oxygen concentration 1,000ppm)
Then, an excimer lamp having a center wavelength of 172 nm and a bandwidth of 20 nm was irradiated from above the wafer to the entire surface of the wafer. (P13) Under nitrogen atmosphere (oxygen concentration 1,000ppm)
In was irradiated with F 2 laser from the wafer top over the whole surface of the wafer.

【0314】酸化処理後には、有機シリコン膜の各領域
におけるケイ素に対する酸素の原子数比(O/Si=酸
素の原子数/ケイ素の原子数)、およびケイ素に対する
炭素の原子数比(C/Si=炭素の原子数/ケイ素の原
子数)を、X線光電子分光法を用いて測定した。なお、
有機シリコン膜の各領域とは、レジストパターン124
に被覆されている領域(被覆領域)122bと、レジス
トパター118に被覆されていない領域(露出領域)1
22aとの2つの領域である。
After the oxidation treatment, the atomic ratio of oxygen to silicon in each region of the organic silicon film (O / Si = the atomic number of oxygen / the atomic number of silicon) and the atomic ratio of carbon to silicon (C / Si = Number of carbon atoms / number of silicon atoms) was measured using X-ray photoelectron spectroscopy. In addition,
Each region of the organic silicon film is a resist pattern 124
(Covered area) 122b and the area (exposed area) 1 not covered with the resist pattern 118
22a.

【0315】各領域における原子数比を、酸化処理前で
の有機シリコン膜中の原子数比とともに下記表8にまと
める。
Table 8 summarizes the atomic ratio in each region together with the atomic ratio in the organic silicon film before the oxidation treatment.

【0316】[0316]

【表8】 [Table 8]

【0317】表8に示されるように、有機シリコン膜の
露出領域122aでは、酸素の量が増加し、炭素の量が
低下して酸化が進行している。一方、有機シリコン膜の
被覆領域122bでは、被覆されていない領域122a
と比べると酸素および炭素の含有量に変化はみられず酸
化が進行していない。このような選択的な酸化は、次の
ような理由によると考えられる。すなわち、本実施例で
用いたレジスト材料は、前述の(P11)〜(P13)
の波長範囲の紫外光を透過しないためである。
[0317] As shown in Table 8, in the exposed region 122a of the organic silicon film, the amount of oxygen increases, the amount of carbon decreases, and oxidation proceeds. On the other hand, in the organic silicon film covered region 122b, the uncoated region 122a
Compared with, the oxygen and carbon contents did not change and oxidation did not proceed. It is considered that such selective oxidation is due to the following reasons. That is, the resist material used in this embodiment is the same as the resist materials (P11) to (P13)
This is because ultraviolet light in the wavelength range described above is not transmitted.

【0318】また、酸化処理前後でのレジストパターン
124を走査型電子顕微鏡を用いて観察したところ、酸
化処理によるレジストパターンの膜減り、およびレジス
トパターンの変形はみられなかった。
When the resist pattern 124 before and after the oxidizing treatment was observed using a scanning electron microscope, no reduction in the resist pattern film due to the oxidizing treatment and no deformation of the resist pattern were observed.

【0319】次に、マグネトロン型反応性イオンエッチ
ング装置を用いて、有機シリコン膜の酸化部分122a
と、被加工膜であるTEOS酸化膜121とを一括して
エッチングした。エッチング条件は、ソースガスC4
8 /CO/Ar=20/100/100SCCM、真空
度75mTorr、励起電力密度1.0W/cm2 、基
板温度40℃とした。
Next, the oxidized portion 122a of the organic silicon film is formed using a magnetron type reactive ion etching apparatus.
And the TEOS oxide film 121, which is a film to be processed, were collectively etched. Etching conditions are source gas C 4 F
8 / CO / Ar = 20/100/100 SCCM, the degree of vacuum was 75 mTorr, the excitation power density was 1.0 W / cm 2 , and the substrate temperature was 40 ° C.

【0320】その結果、いずれの組み合わせにおいても
図8(b)に示すように、異方性よく被加工膜121を
加工することができた。
As a result, in any combination, as shown in FIG. 8B, the processed film 121 could be processed with good anisotropy.

【0321】次に、加工により生じた寸法変換差を調べ
るために、有機シリコン膜122上に形成したレジスト
パターン124の寸法(図7(c)のX2 で定義)と、
被加工膜パターン121bの寸法(図8(b)のZ2
定義)との差(=Z2 −X2)を測定した。寸法変換差
の測定結果を前記表8にまとめた。
Next, in order to examine the dimensional conversion difference caused by the processing, the dimensions of the resist pattern 124 formed on the organic silicon film 122 (defined by X 2 in FIG. 7C) and
The difference (= Z 2 −X 2 ) from the dimension (defined by Z 2 in FIG. 8B) of the film pattern 121b to be processed was measured. Table 8 summarizes the measurement results of the dimensional conversion difference.

【0322】表8に示されるように、いずれの場合も
7.5nm以下という許容範囲(加工寸法の5%)で、
制御性よくレジストパターン124を被加工膜121に
転写することができた。
As shown in Table 8, in each case, the tolerance was 7.5 nm or less (5% of the processing size).
The resist pattern 124 was transferred to the film 121 with good controllability.

【0323】また、有機シリコン膜122の酸化部分の
エッチングを途中で止めて、レジストパターン124の
削れ量と、有機シリコン膜の酸化部分122aの削れ量
を測定して、有機シリコン膜の対レジスト選択比を求め
た。得られた結果を、前記表8にまとめた。表8に示さ
れるように、いずれの場合も、前述の(実施例II−1)
の場合と同様に3以上の高選択比で下層膜がエッチング
されている。その結果、有機シリコン膜122のエッチ
ングでレジストパターン124の後退を抑制することが
でき、寸法制御性よく被加工膜121を加工することが
できた。 (実施例 III)本実施例においては、第4のパターン形
成方法について説明する。 (実施例 III−1)以下、図4および5を参照しつつ本
実施例を説明する。
Further, the etching of the oxidized portion of the organic silicon film 122 is stopped halfway, and the shaved amount of the resist pattern 124 and the shaved amount of the oxidized portion 122a of the organic silicon film are measured to select the resist for the organic silicon film with respect to the resist. The ratio was determined. The results obtained are summarized in Table 8 above. As shown in Table 8, in each case, the aforementioned (Example II-1)
The lower layer film is etched at a high selectivity of 3 or more as in the case of (1). As a result, the retreat of the resist pattern 124 due to the etching of the organic silicon film 122 could be suppressed, and the film 121 to be processed could be processed with good dimensional control. (Embodiment III) In this embodiment, a fourth pattern forming method will be described. (Embodiment III-1) This embodiment will be described below with reference to FIGS.

【0324】まず、有機シリコン化合物としての重量平
均分子量8,000の化合物[PSO−5](R61、R
62、R63=CH3 )10gを、メチルイソブチルケトン
90gに溶解して有機シリコン膜の溶液材料を調製し
た。この溶液材料をスピンコーティング法でシリコンウ
ェハー200上に塗布した後、ホットプレートで350
℃で30分間加熱して、図4(a)に示すような膜厚5
00nmの有機シリコン膜201を形成した。
First, a compound [PSO-5] (R 61 , R 61 ) having a weight average molecular weight of 8,000 as an organosilicon compound
62 , R 63 = CH 3 ) was dissolved in 90 g of methyl isobutyl ketone to prepare a solution material for the organic silicon film. After applying this solution material on the silicon wafer 200 by the spin coating method, 350
At 30 ° C. for 30 minutes to form a film having a film thickness of 5 as shown in FIG.
A 00 nm organic silicon film 201 was formed.

【0325】次に、ポリサルフォン10gをシクロヘキ
サノン90gに溶解して下層膜の溶液材料を調製した。
この溶液材料を、有機シリコン膜201上にスピンコー
ティング法で塗布した後、ホットプレートを用いて19
0℃で90秒間加熱して、図4(b)に示すような膜厚
40nmの下層膜202を形成した。
Next, 10 g of polysulfone was dissolved in 90 g of cyclohexanone to prepare a solution material for the lower layer film.
This solution material is applied onto the organic silicon film 201 by a spin coating method, and then applied to a hot plate using a hot plate.
By heating at 0 ° C. for 90 seconds, a lower layer 202 having a thickness of 40 nm as shown in FIG. 4B was formed.

【0326】得られた下層膜202上に、レジスト溶液
をスピンコーティング法により塗布し、ホットプレート
上で100℃で90秒間のベーキングを行なって、図4
(c)に示すようなレジスト膜203を形成した。ここ
で用いたレジスト溶液は、重量平均分子量12,000
のポリビニルフェノールの水酸基の40%をターシャリ
ブトキシカルボキシル基で保護した溶解抑止剤樹脂9.
9gと、酸発生剤としてのスルフォンイミド0.1gと
を乳酸エチル90gに溶解してなるポジ型化学増幅型レ
ジストである。
A resist solution was applied onto the obtained lower layer film 202 by spin coating, and baked on a hot plate at 100 ° C. for 90 seconds to obtain a resist solution shown in FIG.
A resist film 203 was formed as shown in FIG. The resist solution used here had a weight average molecular weight of 12,000.
8. A dissolution inhibitor resin in which 40% of the hydroxyl groups of the polyvinyl phenol are protected with tertiary butoxycarboxyl groups.
9 g and a positive chemically amplified resist obtained by dissolving 9 g of sulfonimide as an acid generator in 90 g of ethyl lactate.

【0327】形成されたレジスト膜203の膜厚は20
0nmである。
The thickness of the formed resist film 203 is 20
0 nm.

【0328】次に、KrFエキシマレーザーを光源とす
る縮小光学型ステッパーを用いてレジスト膜203に対
してパターン露光を行なった後、ホットプレートを用い
て100℃で90秒間のベーキングを施した。ベーキン
グ後のレジスト膜203に、0.21規定のテトラメチ
ルアンモニウムヒドロキシドを用いて現像処理を行なう
ことにより、図4(d)に示すような直径0.15μm
のコンタクトホールパターン204が形成された。
Next, the resist film 203 was subjected to pattern exposure using a reduction optical type stepper using a KrF excimer laser as a light source, and was baked at 100 ° C. for 90 seconds using a hot plate. By subjecting the baked resist film 203 to a development process using 0.21 N tetramethylammonium hydroxide, a diameter of 0.15 μm as shown in FIG.
The contact hole pattern 204 was formed.

【0329】得られたレジストパターン204をエッチ
ングマスクとして用いて、マグネトロン型反応性イオン
エッチング装置により下層膜202をエッチングし、図
5(a)に示すような下層膜パターン207を形成し
た。この際のエッチング条件は、ソースガスC4 8
CO/Ar=20/100/100SCCM、真空度7
5mTorr、励起電力密度1.0W/cm2 、基板温
度40℃とした。
Using the obtained resist pattern 204 as an etching mask, the lower film 202 was etched by a magnetron-type reactive ion etching apparatus to form a lower film pattern 207 as shown in FIG. The etching conditions at this time are as follows: source gas C 4 F 8 /
CO / Ar = 20/100/100 SCCM, vacuum degree 7
5 mTorr, an excitation power density of 1.0 W / cm 2 , and a substrate temperature of 40 ° C.

【0330】続いて、図5(b)に示すようにArFエ
キシマレーザー208を窒素雰囲気中(酸素濃度1,0
00ppm)で、ウェハー全面に照射することにより有
機シリコン膜201に対して酸化処理を施した。酸化処
理の前後におけるレジストパターン204を走査型電子
顕微鏡を用いて観察したところ、酸化処理によるレジス
トパターンの膜減り、あるいはパターンの変形はみられ
なかった。
Subsequently, as shown in FIG. 5B, the ArF excimer laser 208 was irradiated in a nitrogen atmosphere (oxygen concentration 1,0).
(00 ppm), the organic silicon film 201 was oxidized by irradiating the entire surface of the wafer. Observation of the resist pattern 204 before and after the oxidation treatment using a scanning electron microscope showed that the oxidation treatment did not reduce the thickness of the resist pattern or did not deform the pattern.

【0331】さらに、酸化処理後の有機シリコン膜の各
領域におけるケイ素原子に対する酸素の原子数比(O/
Si=酸素の原子数/ケイ素の原子数)、およびケイ素
に対する炭素の原子数比(C/Si=炭素の原子数/ケ
イ素の原子数)を、X線光電子分光法を用いて測定し
た。なお、有機シリコン膜の各領域とは、レジストパタ
ーン204等に被覆されている領域(被覆領域)201
bと、レジストパターン204等に被覆されていない領
域201a(露出領域)201aとの2つの領域であ
る。
Further, in each region of the organic silicon film after the oxidation treatment, the atomic ratio of oxygen to silicon (O / O
Si = number of oxygen atoms / number of silicon atoms) and the ratio of carbon to silicon atoms (C / Si = number of carbon atoms / number of silicon atoms) were measured using X-ray photoelectron spectroscopy. Each region of the organic silicon film is a region (covered region) 201 covered with the resist pattern 204 or the like.
b and an area 201a (exposed area) 201a not covered with the resist pattern 204 or the like.

【0332】各領域における原子数比を、酸化処理(A
rFエキシマレーザー照射)前での有機シリコン膜中の
原子数比とともに下記表9に示す。
The ratio of the number of atoms in each region was determined by the oxidation treatment (A
Table 9 below shows the atomic ratios in the organic silicon film before (irradiation with rF excimer laser).

【0333】[0333]

【表9】 [Table 9]

【0334】表9に示されるように、有機シリコン膜の
露出部201aでは、ArFエキシマレーザーの照射に
よりケイ素に対する酸素原子の割合(O/Si)が増加
し、ケイ素に対する炭素原子の割合(C/Si)が減少
しており、脱炭素化が進行していることがわかる。一
方、有機シリコン膜の被覆領域201bでは脱炭素化が
進行していない。これは、次のような理由によると考え
られる。すなわち、本実施例で形成されたレジスト膜2
03および下層膜202は、いずれも波長193nmに
おける1μm当たりの透過率がともに0.1%と低い。
このため、ArFエキシマレーザーを照射した際には、
レジストパターン204と下層膜パターン207とがフ
ォトマスクとして作用して、有機シリコン膜の被覆領域
201bではシリコンと有機基との切断反応が起こらな
かったためである。
As shown in Table 9, in the exposed portion 201a of the organic silicon film, the ratio of oxygen atoms to silicon (O / Si) was increased by irradiation with ArF excimer laser, and the ratio of carbon atoms to silicon (C / Si) has been reduced, indicating that decarbonization is in progress. On the other hand, decarbonization has not progressed in the organic silicon film covering region 201b. This is considered for the following reasons. That is, the resist film 2 formed in this embodiment is
03 and the lower film 202 both have a low transmittance of 0.1% per μm at a wavelength of 193 nm.
For this reason, when irradiating ArF excimer laser,
This is because the resist pattern 204 and the lower layer pattern 207 acted as a photomask, and no cutting reaction between silicon and organic groups occurred in the organic silicon film covering region 201b.

【0335】このようにして有機シリコン膜の被覆領域
201bを酸化させなかった場合には、誘電率を上昇さ
せることなく有機シリコン膜パターンを得ることが可能
となる。
When the covering region 201b of the organic silicon film is not oxidized in this way, an organic silicon film pattern can be obtained without increasing the dielectric constant.

【0336】次に、マグネトロン型反応性イオンエッチ
ング装置を用いて、有機シリコン膜をエッチングして、
図5(c)に示すような有機シリコン膜パターン201
bを形成した。エッチング条件は、ソースガスソースガ
スC4 8 /CO/Ar=20/100/100SCC
M、真空度75mTorr、励起電力密度1.0W/c
2 、基板温度40℃とした。その結果、いずれの有機
シリコン膜も、図5(c)に示すように異方性よく有機
シリコン膜201を加工することができた。
Next, the organic silicon film was etched using a magnetron-type reactive ion etching apparatus.
Organic silicon film pattern 201 as shown in FIG.
b was formed. The etching conditions are as follows: source gas source gas C 4 F 8 / CO / Ar = 20/100 / 100SCC
M, degree of vacuum 75 mTorr, excitation power density 1.0 W / c
m 2 , and the substrate temperature was 40 ° C. As a result, as shown in FIG. 5C, the organic silicon film 201 could be processed with good anisotropy in any of the organic silicon films.

【0337】次に、エッチングにより有機シリコン膜2
01に生じた寸法変換差を調べるために、有機シリコン
膜201上に形成したエッチング前のレジストパターン
204の開孔径(図4(d)のX3 で定義)と、有機シ
リコン膜パターン201bの開孔径(図5(c)のY3
で定義)の差(=Y3 −X3 )を測定した。寸法変換差
は、5nmで許容範囲(加工寸法の5%)の6.5nm
に収まっており、制御性よくレジストパターン204を
有機シリコン膜201に転写することができた。
Next, the organic silicon film 2 is etched.
To investigate the pattern shift occurring in 01, the opening diameter of the before etching resist pattern 204 formed on the organic silicon film 201 (defined by X 3 in FIG. 4 (d)), open an organic silicon film pattern 201b Hole diameter (Y 3 in FIG. 5 (c))
) (= Y 3 −X 3 ). Dimension conversion difference is 6.5 nm, which is an allowable range (5% of the processing size) at 5 nm.
The resist pattern 204 was transferred to the organic silicon film 201 with good controllability.

【0338】また、有機シリコン膜201の酸化部分の
エッチングを途中でやめて、レジストパターン204の
削れ量と、有機シリコン膜の酸化部分201aの削れ量
とを測定して対レジスト選択比(=有機シリコン膜のエ
ッチングレート/レジストパターンのエッチングレー
ト)を算出したところ、4.2と優れており、高選択比
でエッチングされていることがわかった。その結果、寸
法制御性よく異方的に有機シリコン膜を加工して、有機
シリコン膜パターン201bを得ることができた。 (比較例 III−1)有機シリコン膜に対して酸化処理を
施さない以外は、前述の(実施例 III−1)と同様の手
法によりシリコン膜のエッチングを試みた。
Also, the etching of the oxidized portion of the organic silicon film 201 is stopped halfway, and the shaved amount of the resist pattern 204 and the shaved amount of the oxidized portion 201a of the organic silicon film are measured to determine a resist selectivity (= organic silicon Calculation of (film etching rate / resist pattern etching rate) was as excellent as 4.2, indicating that etching was performed at a high selectivity. As a result, the organic silicon film was processed anisotropically with good dimensional control, and the organic silicon film pattern 201b was obtained. (Comparative Example III-1) Etching of a silicon film was attempted in the same manner as in (Example III-1) described above, except that the oxidation treatment was not performed on the organic silicon film.

【0339】まず、(実施例 III−1)と同様にして、
シリコンウェハー200上に有機シリコン膜201、下
層膜202、およびレジストパターン204を順次形成
した。
First, in the same manner as in (Example III-1),
An organic silicon film 201, a lower layer film 202, and a resist pattern 204 were sequentially formed on a silicon wafer 200.

【0340】次に、前述と同様のエッチング条件で有機
シリコン膜201のエッチングを試みたところ、有機シ
リコン膜のエッチング途中でレジストパターン204が
全て削れてなくなり、被加工膜である有機シリコン膜2
01を所望の寸法で加工することができなかった。有機
シリコン膜のエッチングを途中で止めて、有機シリコン
膜の対レジスト選択比を調べたところ、わずか0.7で
あった。
Next, when an attempt was made to etch the organic silicon film 201 under the same etching conditions as described above, the resist pattern 204 was not completely removed during the etching of the organic silicon film.
01 could not be processed to the desired dimensions. When the etching of the organic silicon film was stopped halfway and the selectivity of the organic silicon film to the resist was examined, it was only 0.7.

【0341】本比較例の結果から、有機シリコン膜20
1の対レジスト選択比を向上させるためには、有機シリ
コン膜201の露出領域(レジストパターン等に被覆さ
れている領域)に対して酸化処理を施す必要があること
がわかる。 (比較例 III−2)まず、(実施例 III−1)と同様に
して、被加工膜である有機シリコン膜201、下層膜2
02、およびレジストパターン204をシリコンウェハ
ー200上に順次形成した。
From the results of this comparative example, it can be seen that the organic silicon film 20
It can be seen that in order to improve the selectivity ratio of resist to 1, the exposed region of the organic silicon film 201 (the region covered with the resist pattern or the like) needs to be oxidized. (Comparative Example III-2) First, in the same manner as in (Example III-1), the organic silicon film 201 and the lower film 2 were processed.
02 and a resist pattern 204 were sequentially formed on the silicon wafer 200.

【0342】次に、プラズマアッシング装置を用いて、
有機シリコン膜201を酸素プラズマ処理した。ソース
ガス、励起電力密度、基板密度のパラメーターは、それ
ぞれO2 =1000SCCM、1.2W/cm2 、12
0℃で一定とした。真空度を10、30、50、70、
90mTorrの5とおり変化させた状態で酸素プラズ
マ処理を行なって、酸素プラズマによって膜減りしたレ
ジストパターン204の膜厚を調べた。
Next, using a plasma ashing apparatus,
The organic silicon film 201 was subjected to oxygen plasma treatment. The parameters of source gas, excitation power density and substrate density are O 2 = 1000 SCCM, 1.2 W / cm 2 , 12
It was kept constant at 0 ° C. The degree of vacuum is 10, 30, 50, 70,
Oxygen plasma treatment was performed with five changes at 90 mTorr, and the film thickness of the resist pattern 204 reduced by oxygen plasma was examined.

【0343】また、有機シリコン膜201を(実施例 I
II−1)と同様の方法でエッチングして対レジスト選択
比を調べた。測定結果を表10に示す。
In addition, the organic silicon film 201 was formed as in Example I.
Etching was performed in the same manner as in II-1), and the selectivity to resist was examined. Table 10 shows the measurement results.

【0344】[0344]

【表10】 [Table 10]

【0345】表10に示されるように、真空度を低下さ
せると酸素ラジカルの量が減少するのでレジストパター
ン204がアッシングされにくくなり、パターンの膜減
り量も減少する。しかしながら、有機シリコン膜201
が酸化されにくくなるので、対レジスト選択比がとれに
くくなる。一方、真空度を高めると酸素ラジカルの量が
増加するため、有機シリコン膜201が酸化され易くな
って対レジスト選択比が向上するが、レジストパターン
204がアッシングされやすくなる。この場合には、レ
ジストパターンの膜減りが顕著になる。
As shown in Table 10, when the degree of vacuum is reduced, the amount of oxygen radicals is reduced, so that the resist pattern 204 is less likely to be ashed, and the film thickness of the pattern is also reduced. However, the organic silicon film 201
Is hardly oxidized, so that it is difficult to obtain a selective ratio with respect to the resist. On the other hand, when the degree of vacuum is increased, the amount of oxygen radicals is increased, so that the organic silicon film 201 is easily oxidized and the resist selectivity is improved, but the resist pattern 204 is easily ashed. In this case, the thickness of the resist pattern is significantly reduced.

【0346】すなわち、有機シリコン膜201の酸化に
当たって酸素プラズマ処理を用いた場合には、レジスト
パターン204の膜減りを押さえ、かつ対レジスト選択
比を高めることができないことがわかる。 (実施例 III−2)まず、(実施例 III−1)と同様の
手法により、図4(b)に示すように有機シリコン膜2
01および下層膜202をシリコンウェハー200上に
形成した。
That is, when the oxygen plasma treatment is used to oxidize the organic silicon film 201, it is found that the reduction in the film thickness of the resist pattern 204 cannot be suppressed and the selectivity with respect to the resist cannot be increased. (Example III-2) First, by the same method as in (Example III-1), as shown in FIG.
01 and the lower film 202 were formed on the silicon wafer 200.

【0347】得られた下層膜202上にレジスト溶液を
スピンコーティング法によって塗布し、ホットプレート
上で140℃で90秒間のベーキングを行なって、図4
(c)に示すようなレジスト膜203を形成した。ここ
で用いたレジスト溶液は、重量平均分子量18,000
の溶解抑止剤樹脂としての化合物[P−1]9,9g
と、酸発生剤としての化合物[P−2]0.1gとを乳
酸エチル90gに溶解してなるポジ型化学増幅型レジス
トである。用いた化合物は、前述の(実施例II−1)に
おいてすでに説明したものと同様である。
A resist solution was applied on the obtained lower layer film 202 by spin coating, and baked on a hot plate at 140 ° C. for 90 seconds to obtain a resist solution shown in FIG.
A resist film 203 was formed as shown in FIG. The resist solution used here had a weight average molecular weight of 18,000.
[P-1] as dissolution inhibitor resin of 9.9 g
And 0.1 g of a compound [P-2] as an acid generator dissolved in 90 g of ethyl lactate. The compounds used are the same as those already described in the above (Example II-1).

【0348】得られたレジスト膜203の膜厚は200
nmである。
The thickness of the obtained resist film 203 is 200
nm.

【0349】次いで、ArFエキシマレーザーを光源と
する縮小光学型ステッパーを用いてレジスト膜203に
対してパターン露光を行なった後ホットプレートを用い
て140℃で90秒間のベーキングをを施した。ベーキ
ング後のレジスト膜203に、0.26規定のテトラメ
チルアンモニウムヒドロキシドを用いて現像処理を施す
ことにより、直径0.13μmのコンタクトホールパタ
ーン204が形成された。
Next, the resist film 203 was subjected to pattern exposure using a reduction optical stepper using an ArF excimer laser as a light source, and then baked at 140 ° C. for 90 seconds using a hot plate. By subjecting the baked resist film 203 to development processing using 0.26 N tetramethylammonium hydroxide, a contact hole pattern 204 having a diameter of 0.13 μm was formed.

【0350】次いで、(実施例 III−1)と同様の手法
により下層膜202をエッチングして、図5(a)に示
すような下層膜パターン207を形成し、図5(b)に
示すように紫外光208を照射して、有機シリコン膜の
露出領域201aに対して酸化処理を行なった。その結
果、(実施例 III−1)の場合と同様に、有機シリコン
膜の被覆領域(レジストパターン204等に被覆されて
いる領域)201bでは酸化は進行せず、有機シリコン
膜の露出部(レジストパターン204等に被覆されてい
ない領域)201bのみを選択的に酸化することができ
た。このような選択的な酸化は、次のような理由による
と考えられる。すなわち、本実施例で用いたレジスト材
料は、ArFエキシマレーザーの波長領域における1μ
m当たりの透過率が84.55%と高いものの、下層膜
の透過率が0.1%と低い。このため、ArFエキシマ
レーザーの照射時には、下層膜パターン207がフォト
レジストとして作用して、レジストパターン204に被
覆されていない領域のみを選択的に酸化することができ
た。
Next, the lower film 202 is etched by the same method as in (Example III-1) to form a lower film pattern 207 as shown in FIG. 5A, and as shown in FIG. Was irradiated with ultraviolet light 208 to oxidize the exposed region 201a of the organic silicon film. As a result, as in the case of (Example III-1), the oxidation does not progress in the organic silicon film covered region (the region covered with the resist pattern 204 and the like) 201b, and the exposed portion of the organic silicon film (the resist Only the region (not covered by the pattern 204) 201b) could be selectively oxidized. It is considered that such selective oxidation is due to the following reasons. That is, the resist material used in the present example is 1 μm in the wavelength region of the ArF excimer laser.
Although the transmittance per m is as high as 84.55%, the transmittance of the lower layer film is as low as 0.1%. For this reason, at the time of irradiation with the ArF excimer laser, the lower layer film pattern 207 acted as a photoresist, and it was possible to selectively oxidize only the area not covered with the resist pattern 204.

【0351】本実施例の結果から、有機シリコン膜の被
覆領域(レジストパターン204に被覆された領域)2
01bを酸化させないためには、レジストパターン20
4あるいは下層膜パターン207のいずれかが酸化時に
照射する紫外光のフォトマスクとして作用していればよ
いことがわかる。
From the results of this example, it can be seen that the organic silicon film covered region (the region covered with the resist pattern 204) 2
01b, the resist pattern 20
It can be seen that it is only necessary that any one of No. 4 and the lower film pattern 207 function as a photomask for ultraviolet light irradiated during oxidation.

【0352】次いで、有機シリコン膜のエッチングを行
ったところ、(実施例 III−1)と同様にレジストパタ
ーン204に対して高選択比で有機シリコン膜を加工す
ることができ、寸法制御性よく、異方的に有機シリコン
膜を加工することができた。
Next, when the organic silicon film was etched, it was possible to process the organic silicon film with a high selectivity to the resist pattern 204 in the same manner as in (Example III-1). The organic silicon film could be processed anisotropically.

【0353】[0353]

【発明の効果】以上詳述したように、本発明によれば、
下層膜をパターニングする方法において、その上層のレ
ジストパターンの膜減りを抑制するとともに、垂直な側
壁を有し、断面が矩形の良好な形状を有するパターンを
高い解像度、かつ高い寸法精度で形成し得るパターン形
成方法が提供される。
As described in detail above, according to the present invention,
In the method of patterning the lower layer film, it is possible to form a pattern having a good shape with a rectangular side wall and a rectangular cross section with high resolution and high dimensional accuracy, while suppressing reduction in the thickness of the resist pattern in the upper layer. A method for forming a pattern is provided.

【0354】また本発明によれば、レジストパターンに
対して速くエッチングすることができ、かつスピンコー
ティング法で成膜可能な反射防止膜としての有機シリコ
ン膜を用いたパターン形成方法が提供される。さらに本
発明によれば、レジストパターンをエッチングマスクと
して有機シリコン膜を加工する際に対レジスト選択比を
向上させ、寸法制御性よく有機シリコン膜を加工し得る
パターン形成方法が提供される。
Further, according to the present invention, there is provided a pattern forming method using an organosilicon film as an antireflection film which can be etched quickly with respect to a resist pattern and can be formed by a spin coating method. Further, according to the present invention, there is provided a pattern forming method capable of improving the selectivity to resist when processing an organic silicon film using a resist pattern as an etching mask and processing the organic silicon film with good dimensional control.

【0355】本発明は、半導体装置を製造するための微
細加工に極めて有効に用いられ、その工業的価値は絶大
である。
The present invention is extremely effectively used for microfabrication for manufacturing a semiconductor device, and its industrial value is enormous.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明のパターン形成方法の一例を表わす工程
断面図。
FIG. 1 is a process sectional view illustrating an example of a pattern forming method of the present invention.

【図2】本発明のパターン形成方法の他の例を表わす工
程断面図。
FIG. 2 is a process sectional view showing another example of the pattern forming method of the present invention.

【図3】本発明のパターン形成方法の他の例を表わす工
程断面図。
FIG. 3 is a process sectional view illustrating another example of the pattern forming method of the present invention.

【図4】本発明のパターン形成方法の他の例を表わす工
程断面図。
FIG. 4 is a process sectional view showing another example of the pattern forming method of the present invention.

【図5】本発明のパターン形成方法の他の例を表わす工
程断面図。
FIG. 5 is a process sectional view illustrating another example of the pattern forming method of the present invention.

【図6】本発明のパターン形成方法の他の例を表わす工
程断面図。
FIG. 6 is a process sectional view illustrating another example of the pattern forming method of the present invention.

【図7】本発明のパターン形成方法の他の例を表わす工
程断面図。
FIG. 7 is a process sectional view illustrating another example of the pattern forming method of the present invention.

【図8】本発明のパターン形成方法の他の例を表わす工
程断面図。
FIG. 8 is a process sectional view illustrating another example of the pattern forming method of the present invention.

【図9】従来のパターン形成方法で形成されたパターン
の断面形状を表わす概略図。
FIG. 9 is a schematic diagram showing a cross-sectional shape of a pattern formed by a conventional pattern forming method.

【図10】従来のパターン形成方法で形成されたパター
ンの断面形状を表わす概略図。
FIG. 10 is a schematic diagram showing a cross-sectional shape of a pattern formed by a conventional pattern forming method.

【符号の説明】 10,20,30,110,120,200…シリコン
ウェハー 11…被加工膜 12,116,122,201…有機シリコン膜 13,117,123,203…レジスト膜 13b,23,33,118,124…レジストパター
ン 14…露光光 15…酸素源 21,31…被加工膜 22…下層膜 24…裾引き 32,35…下層膜パターン 34…残留層 111…SiO2 膜 112…TiN膜 113…Ti膜 114…0.5%−Cu−Al膜 115…金属配線層 119,125…紫外光 121…TEOS酸化膜 202…下層膜 204…コンタクトホールパターン 207…下層膜パターン 208…ArFエキシマレーザー
[Description of Signs] 10, 20, 30, 110, 120, 200: Silicon wafer 11: Film to be processed 12, 116, 122, 201: Organic silicon film 13, 117, 123, 203: Resist film 13b, 23, 33 , 118, 124 ... resist pattern 14 ... exposure light 15 ... oxygen source 21, 31 ... workpiece film 22 ... underlayer film 24 ... footing 32, 35 ... underlayer film pattern 34 ... residual layer 111 ... SiO 2 film 112 ... TiN film 113 ... Ti film 114 ... 0.5% -Cu-Al film 115 ... Metal wiring layer 119,125 ... UV light 121 ... TEOS oxide film 202 ... Lower film 204 ... Contact hole pattern 207 ... Lower film pattern 208 ... ArF excimer laser

フロントページの続き Fターム(参考) 2H025 AA02 AA03 AB16 AC01 AC04 AC06 AC08 AD01 AD03 CB17 CB29 CB41 CC17 DA13 DA14 DA29 DA34 DA40 FA17 FA39 FA41 2H096 AA25 BA06 BA11 CA01 CA02 CA06 CA20 EA02 EA05 EA06 GA08 HA15 HA24 HA30 JA04 KA19 Continued on the front page F term (reference) 2H025 AA02 AA03 AB16 AC01 AC04 AC06 AC08 AD01 AD03 CB17 CB29 CB41 CC17 DA13 DA14 DA29 DA34 DA40 FA17 FA39 FA41 2H096 AA25 BA06 BA11 CA01 CA02 CA06 CA20 EA02 EA05 EA06 GA08 HA15 HA24 HA24

Claims (6)

【特許請求の範囲】[Claims] 【請求項1】 被加工膜上に、シリコンとシリコンとの
結合を主鎖に有する有機シリコン化合物を含有する有機
シリコン膜を形成する工程、 前記有機シリコン膜上に、レジストパターンを形成する
工程、 前記レジストパターンをマスクとして用いて、有機シリ
コン膜の露出部を選択的に酸化処理する工程、および前
記有機シリコン膜の酸化処理された部分を、フッ素を含
む雰囲気中で溶解除去する工程を具備するパターン形成
方法。
A step of forming an organic silicon film containing an organic silicon compound having a bond between silicon and silicon in a main chain on a film to be processed; a step of forming a resist pattern on the organic silicon film; A step of selectively oxidizing an exposed portion of the organic silicon film using the resist pattern as a mask, and a step of dissolving and removing the oxidized portion of the organic silicon film in an atmosphere containing fluorine. Pattern formation method.
【請求項2】 前記被加工膜上に有機シリコン膜を形成
する工程に先だって、フッ素に耐性のある薄膜を前記被
加工膜上に形成する工程を具備する請求項1に記載のパ
ターン形成方法。
2. The pattern forming method according to claim 1, further comprising, prior to the step of forming an organic silicon film on the film to be processed, a step of forming a fluorine-resistant thin film on the film to be processed.
【請求項3】 被加工膜上に、シリコンとシリコンとの
結合を主鎖に有する有機シリコン化合物を含有する有機
シリコン膜を形成する工程、 前記有機シリコン膜上に、レジストパターンを形成する
工程、 前記レジストパターンをマスクとして用いて、有機シリ
コン膜の露出部を選択的に酸化処理する工程、および前
記有機シリコン膜の酸化処理された部分を、アルカリ水
溶液で溶解除去する工程を具備するパターン形成方法。
A step of forming an organic silicon film containing an organic silicon compound having a bond between silicon and silicon in a main chain on the film to be processed; a step of forming a resist pattern on the organic silicon film; A pattern forming method comprising: selectively oxidizing an exposed portion of an organic silicon film using the resist pattern as a mask; and dissolving and removing an oxidized portion of the organic silicon film with an alkaline aqueous solution. .
【請求項4】 前記酸化処理は、エネルギービーム、酸
素ラジカル、またはオゾンを照射することによってなさ
れる請求項1ないし3のいずれか1項に記載のパターン
形成方法。
4. The pattern forming method according to claim 1, wherein the oxidation treatment is performed by irradiating an energy beam, oxygen radicals, or ozone.
【請求項5】 被加工膜上に、シリコンとシリコンとの
結合を主鎖に有する有機シリコン化合物を含有する有機
シリコン膜を形成する工程、 前記有機シリコン膜上に、レジストパターンを形成する
工程、 前記レジストパターンをマスクとして用いて、有機シリ
コン膜の露出部を紫外線照射および加熱の少なくとも一
方により酸化処理する工程、および前記有機シリコン膜
の酸化処理された部分を、フッ素系ガスを用いたドライ
エッチングにより除去する工程を具備するパターン形成
方法。
5. a step of forming an organic silicon film containing an organic silicon compound having a bond between silicon and silicon in a main chain on a film to be processed; a step of forming a resist pattern on the organic silicon film; Using the resist pattern as a mask, oxidizing the exposed portion of the organic silicon film by at least one of ultraviolet irradiation and heating, and dry-etching the oxidized portion of the organic silicon film using a fluorine-based gas. A pattern forming method comprising a step of removing by a method.
【請求項6】 被加工膜上に、シリコンと酸素との結合
を主鎖に有する有機シリコン化合物を含有する有機シリ
コン膜を形成する工程、 前記有機シリコン膜上にレジストパターンを形成する工
程、 前記レジストパターンをマスクとして用いて、有機シリ
コン膜の露出部を紫外線を照射することにより選択的に
脱炭素化処理する工程、および前記有機シリコン膜の脱
炭素化処理された部分を、フッ素系ガスを用いたドライ
エッチングにより除去する工程を具備するパターン形成
方法。
6. a step of forming an organic silicon film containing an organic silicon compound having a bond between silicon and oxygen in a main chain on a film to be processed; a step of forming a resist pattern on the organic silicon film; Using the resist pattern as a mask, a step of selectively decarbonizing the exposed portion of the organic silicon film by irradiating ultraviolet rays, and the decarbonized portion of the organic silicon film is treated with a fluorine-based gas. A pattern forming method comprising a step of removing by used dry etching.
JP758099A 1999-01-14 1999-01-14 Pattern forming method Pending JP2000206704A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP758099A JP2000206704A (en) 1999-01-14 1999-01-14 Pattern forming method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP758099A JP2000206704A (en) 1999-01-14 1999-01-14 Pattern forming method

Publications (1)

Publication Number Publication Date
JP2000206704A true JP2000206704A (en) 2000-07-28

Family

ID=11669762

Family Applications (1)

Application Number Title Priority Date Filing Date
JP758099A Pending JP2000206704A (en) 1999-01-14 1999-01-14 Pattern forming method

Country Status (1)

Country Link
JP (1) JP2000206704A (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004008515A1 (en) * 2002-07-17 2004-01-22 Zeon Corporation Method of dry etching, dry etching gas and process for producing perfluoro-2-pentyne
JP2006251369A (en) * 2005-03-10 2006-09-21 Rasa Ind Ltd Coating liquid for forming intermediate layer of multilayer resist and method for forming pattern using the same

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004008515A1 (en) * 2002-07-17 2004-01-22 Zeon Corporation Method of dry etching, dry etching gas and process for producing perfluoro-2-pentyne
CN100359644C (en) * 2002-07-17 2008-01-02 日本瑞翁株式会社 Method of dry etching, dry etching gas and process for producing perfluoro-2-pentyne
JP2006251369A (en) * 2005-03-10 2006-09-21 Rasa Ind Ltd Coating liquid for forming intermediate layer of multilayer resist and method for forming pattern using the same
JP4552132B2 (en) * 2005-03-10 2010-09-29 ラサ工業株式会社 Multilayer resist intermediate layer forming coating solution and pattern forming method using the same

Similar Documents

Publication Publication Date Title
JP3971088B2 (en) Pattern formation method
US7482280B2 (en) Method for forming a lithography pattern
KR100293401B1 (en) Fabrication method for high-capacitance storage node structures
US6054254A (en) Composition for underlying film and method of forming a pattern using the film
US6030541A (en) Process for defining a pattern using an anti-reflective coating and structure therefor
US7078351B2 (en) Photoresist intensive patterning and processing
US6391426B1 (en) High capacitance storage node structures
TWI387998B (en) A lithography method
US7109119B2 (en) Scum solution for chemically amplified resist patterning in cu/low k dual damascene
US6270948B1 (en) Method of forming pattern
JPH1160735A (en) Polysilane and formation of pattern
US6569595B1 (en) Method of forming a pattern
US7198886B2 (en) Method for forming pattern
JP3435318B2 (en) Pattern formation method
JP3504247B2 (en) Manufacturing method of semiconductor device
JP3872928B2 (en) Pattern formation method
US6806021B2 (en) Method for forming a pattern and method of manufacturing semiconductor device
EP0989460A1 (en) Pattern forming method
JP2769038B2 (en) Pattern formation method
JPH10268526A (en) Production of semiconductor device and pattern forming method
JP3998393B2 (en) Pattern formation method
JPH11204392A (en) Manufacture of semiconductor device using antireflection film
JP2002198283A (en) Resist pattern formation method
JPH11186243A (en) Method of etching silicon oxide silicon layer
US6673525B1 (en) Thin layer imaging process for microlithography using radiation at strongly attenuated wavelengths