JP2000200762A - Manufacture of semiconductor device and semiconductor manufacture device - Google Patents

Manufacture of semiconductor device and semiconductor manufacture device

Info

Publication number
JP2000200762A
JP2000200762A JP11236687A JP23668799A JP2000200762A JP 2000200762 A JP2000200762 A JP 2000200762A JP 11236687 A JP11236687 A JP 11236687A JP 23668799 A JP23668799 A JP 23668799A JP 2000200762 A JP2000200762 A JP 2000200762A
Authority
JP
Japan
Prior art keywords
film
gas
source gas
semiconductor device
tungsten
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP11236687A
Other languages
Japanese (ja)
Inventor
Hideaki Yamazaki
英亮 山崎
Satoshi Yonezawa
諭 米澤
Susumu Arima
進 有馬
Yumiko Kouno
有美子 河野
Mitsuhiro Tachibana
光博 立花
Keizo Hosoda
恵三 細田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP11236687A priority Critical patent/JP2000200762A/en
Priority to US09/425,971 priority patent/US6399484B1/en
Priority to TW088118483A priority patent/TW495801B/en
Priority to KR1019990046706A priority patent/KR100610416B1/en
Publication of JP2000200762A publication Critical patent/JP2000200762A/en
Withdrawn legal-status Critical Current

Links

Landscapes

  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

PROBLEM TO BE SOLVED: To form a nitride tungsten film whose adhesive strength with a base is high by bringing first raw material gas into contact with an object to be processed before the nitride tungsten film is formed. SOLUTION: For forming a nitride tungsten WNx film on a semiconductor wafer W by using first raw material gas containing a tungsten atom, WF6 gas, for example, and second raw material gas containing a nitrogen atom, NH3 gas, for example, WF6 gas is brought into contact with the surface of the semiconductor wafer W before the WNx film is formed. Thus, WF6 gas and Ar gas are individually supplied into a chamber 20 from a shower head 10 by a prescribed flow rate for prescribed time before the WNx film is formed in a state where the shower head 10 and the chamber 20 are set to a prescribed temperature. The processing pressure of the semiconductor wafer W is set to prescribed one, and the surface processing of a polysilicon film by WF6 gas is executed. Thus, adhesive strength of the WNx film to the semiconductor wafer W is improved and the WNx film is prevented from being peeled from the semiconductor wafer W in a thermal processing process after the film is formed.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、半導体装置の製造
方法及び半導体製造装置に関し、更に詳しくは種々の配
線、バリアメタルあるいは電極として用いられる窒化タ
ングステン(WNX)膜を形成する半導体装置の製造方法
及び半導体製造装置に関するものである。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method of manufacturing a semiconductor device and a semiconductor manufacturing apparatus, and more particularly to a method of manufacturing a semiconductor device for forming a tungsten nitride (WN x ) film used as various wirings, barrier metals or electrodes. The present invention relates to a method and a semiconductor manufacturing apparatus.

【0002】[0002]

【従来の技術】半導体装置の微細化に伴い、電界効果ト
ランジスタ等の半導体装置のゲートが高抵抗化してい
る。そこで、ゲート構造が微細化しても従来にも増して
抵抗を抑えることができる電極配線材料が求められてい
る。従来の導電性多結晶シリコン膜に代わってタングス
テンシリサイド等の高融点金属シリサイド膜が使用され
るようになったが、最近、更なる低抵抗化を目指し、例
えばタングステンシリサイド膜に比べて一桁抵抗値を下
げることができるタングステン膜が有望視されている。
2. Description of the Related Art Along with miniaturization of a semiconductor device, the gate of a semiconductor device such as a field-effect transistor has a high resistance. Therefore, there is a demand for an electrode wiring material capable of suppressing the resistance even more than before even if the gate structure is miniaturized. A high melting point metal silicide film such as tungsten silicide has been used in place of the conventional conductive polycrystalline silicon film. A tungsten film whose value can be reduced is considered promising.

【0003】電極としてタングステン膜を用いる場合に
は、ゲート酸化膜、ポリシリコン膜、タングステン膜が
順次積層された構造となるが、ポリシリコン膜上にタン
グステン膜が直接積層されていると、その後の熱処理
(850〜900℃)工程でポリシリコン膜とタングス
テン膜の界面で両者が反応し、タングステンシリサイド
(WSi)を形成し、電極の抵抗を上昇させる。界面での
反応が著しい場合には、更にタングステンが拡散してゲ
ート酸化膜を突き破ってシリコン基板と反応し、トラン
ジスタの漏洩電流を増大させたり、絶縁破壊電圧を低く
したりするなどの問題を生じさせる。
When a tungsten film is used as an electrode, a structure in which a gate oxide film, a polysilicon film, and a tungsten film are sequentially laminated is adopted. In the heat treatment (850 to 900 ° C.) step, the two react at the interface between the polysilicon film and the tungsten film to form tungsten silicide (WSi) and increase the resistance of the electrode. If the reaction at the interface is significant, tungsten diffuses further and breaks through the gate oxide film and reacts with the silicon substrate, causing problems such as increasing the leakage current of the transistor and lowering the breakdown voltage. Let it.

【0004】そこで、電極としてタングステン膜を用い
る場合には、タングステン膜とポリシリコン膜の間に窒
化タングステン、窒化チタン等の高融点金属窒化膜をバ
リア膜として設け、バリア膜によりタングステンとポリ
シリコンの反応を防止することが一般的である。このよ
うなゲート用バリア膜としての窒化タングステン膜と窒
化チタン膜を比較した場合、窒化タングステン膜は耐熱
温度が高く、柱状晶以外の結晶相を得ることができ、し
かも窒化タングステン膜上のタングステン膜の結晶粒を
大きく成長させ、低抵抗化することが可能であり、有望
である。これに対して窒化チタン膜は通常柱状晶しか得
られないため、タングステン膜の結晶粒の大粒径化には
限界がある。
Therefore, when a tungsten film is used as an electrode, a refractory metal nitride film such as tungsten nitride or titanium nitride is provided as a barrier film between the tungsten film and the polysilicon film, and the tungsten and polysilicon layers are formed by the barrier film. It is common to prevent the reaction. When a tungsten nitride film and a titanium nitride film as such a gate barrier film are compared, the tungsten nitride film has a high heat-resistant temperature, can obtain a crystal phase other than columnar crystals, and has a tungsten film on the tungsten nitride film. It is promising, because it is possible to grow the crystal grains of the crystal greatly and to lower the resistance. On the other hand, a titanium nitride film can usually only obtain columnar crystals, so there is a limit to increasing the crystal grain size of the tungsten film.

【0005】ところで、ゲート用バリア膜として有望な
窒化タングステン膜の製法としては、次の〜の技術
が知られている。 WF6ガスとNH3ガスを用いた熱CVD法 WF6ガスとNH3ガスを用いたプラズマCVD法(例え
ば、特開昭64−50515号公報) WF6ガス、N2ガス、H2ガスを用いたプラズマCVD法
(例えば、特開昭64−50515号公報) WF6ガスとNF3ガスを用いたプラズマCVD法(Suzuki
et.al, "Advanced Metalization and Innterconnect Sy
stems for ULSI Application in 1997"Mter.Res.Soc..1
998,49) 有機タングステンソースを用いた熱CVD法(Sun et.
al.,Proc. of 13th VMIC, 151,1996)
The following techniques are known as methods for producing a tungsten nitride film that is promising as a gate barrier film. WF 6 gas and NH 3 plasma CVD method using a thermal CVD method WF 6 gas and NH 3 gas using a gas (for example, JP 64-50515 JP) WF 6 gas, N 2 gas, H 2 gas Plasma CVD method used (for example, JP-A-64-50515) Plasma CVD method using WF 6 gas and NF 3 gas (Suzuki
et.al, "Advanced Metalization and Innterconnect Sy
stems for ULSI Application in 1997 "Mter.Res.Soc..1
998,49) Thermal CVD method using an organic tungsten source (Sun et.
al., Proc. of 13th VMIC, 151, 1996)

【0006】[0006]

【発明が解決しようとする課題】しかしながら、の熱
CVD法による成膜技術は、600℃を超える高い成膜
温度が必要であるため、トランジスタの拡散層を損なう
虞がある。この点〜の成膜技術は成膜温度が450
〜500℃での場合のような問題はないが、〜の
成膜技術はプラズマを用いるため、ゲート電極近傍で損
傷が生じ易く、しかもビアホール等におけるカバレッジ
性に劣り、成膜不良が生じ易く、しかも、プラズマを用
いるため装置コストが高いという問題もあった。の成
膜技術はプラズマを必要としない熱CVD法であるた
め、〜のプラズマCVD法のような問題はないが、
プラズマCVD法に比べて出来上がった窒化タングステ
ン膜の比抵抗が高いという問題があった。また、成膜後
にタングステンを熱CVDで成膜し、その後例えば80
0〜900℃で60秒間熱処理を行った場合には、熱処
理時にタングステン膜が窒化タングステン膜から剥離す
るという問題があった。
However, the film forming technique by the thermal CVD method requires a high film forming temperature exceeding 600 ° C., and thus may damage the diffusion layer of the transistor. In the film forming technique of this point, the film forming temperature is 450
Although there is no problem as in the case of 500500 ° C., since the film forming technique of プ ラ ズ マ uses plasma, it is liable to be damaged near the gate electrode, and is inferior in coverage properties in via holes and the like, and is liable to cause film forming defects. In addition, there is a problem that the apparatus cost is high because plasma is used. Is a thermal CVD method that does not require plasma, so there is no problem as in the plasma CVD method, but
There is a problem that the resulting tungsten nitride film has a higher specific resistance than the plasma CVD method. After the film formation, tungsten is formed by thermal CVD, and then, for example, 80
When the heat treatment is performed at 0 to 900 ° C. for 60 seconds, there is a problem that the tungsten film is separated from the tungsten nitride film during the heat treatment.

【0007】従って、ゲート用バリア膜として窒化タン
グステン膜を用いる場合には、窒化タングステンの成膜
法としては上述のようにWF6ガスとNH3ガスを用いたの
熱CVD法が好ましいが、この方法の場合には上述した
ように成膜後の熱処理工程においてタングステン膜が剥
離し易く、しかも窒化タングステン膜の比抵抗が高くな
るという課題があった。
Therefore, when a tungsten nitride film is used as the gate barrier film, the thermal CVD method using WF 6 gas and NH 3 gas is preferable as the tungsten nitride film formation method as described above. In the case of the method, as described above, there is a problem that the tungsten film is easily peeled off in the heat treatment step after the film formation, and the specific resistance of the tungsten nitride film is increased.

【0008】また、従来の成膜方法の場合には、成膜後
のWNX膜中に高濃度のフッ素が含まれることがあり、こ
のフッ素が半導体素子完成後の信頼性に悪影響を及ぼす
ため、膜中のフッ素濃度を低くする成膜条件が要求され
ている。
[0008] In the case of conventional film-forming methods, it may contain a high concentration of fluorine in the WN X film after the film formation, since the fluorine adversely affect the reliability after the semiconductor device completed In addition, film forming conditions for lowering the fluorine concentration in the film are required.

【0009】更に、成膜時には下地の表面にホールが形
成されていることも多く、このような場合にはホールの
底部(ボトム)にも平坦部と同等に膜が形成されるよう
に優れた被覆性(ボトムカバレッジ)が要求されてい
る。この際、被覆性の目安としてはボトムの膜厚と平坦
部の膜厚の比(ボトムの膜厚/平坦部の膜厚)が70%
以上であることが望ましい。
Further, holes are often formed on the surface of the base during film formation. In such a case, an excellent film is formed at the bottom of the hole as well as the flat portion. Coverability (bottom coverage) is required. At this time, the ratio of the thickness of the bottom to the thickness of the flat portion (thickness of the bottom / thickness of the flat portion) is 70% as a measure of the coverage.
It is desirable that this is the case.

【0010】また、窒化タングステン膜の成膜後には、
種々の熱負荷工程を経ることが多く、この際に膜剥がれ
が生じないように窒化タングステン膜と下地との充分な
密着性を有することが必要である。更に、窒化タングス
テン膜をキャパシタの電極部に用いる場合には、リー
ク電流が少ないこと、絶縁膜との間でショットキーバ
リアが形成されていることが要求される。更に、窒化タ
ングステン膜が異種材料間に介在してバリア層として用
いる場合には、それら材料の拡散を抑制するバリア性が
求められる。
After the formation of the tungsten nitride film,
In many cases, various heat-loading steps are performed. At this time, it is necessary to have sufficient adhesion between the tungsten nitride film and the base so that film peeling does not occur. Furthermore, when a tungsten nitride film is used for an electrode portion of a capacitor, it is required that the leakage current be small and that a Schottky barrier be formed between the film and the insulating film. Further, when a tungsten nitride film is used as a barrier layer interposed between different materials, a barrier property for suppressing the diffusion of those materials is required.

【0011】本発明は、上記課題を解決するためになさ
れたもので、上述の諸要求を満足する窒化タングステン
膜を成膜することができる半導体装置の製造方法及び半
導体製造装置を提供することを目的としている。
SUMMARY OF THE INVENTION The present invention has been made to solve the above-mentioned problems, and an object of the present invention is to provide a semiconductor device manufacturing method and a semiconductor manufacturing apparatus capable of forming a tungsten nitride film satisfying the above-mentioned various requirements. The purpose is.

【0012】[0012]

【課題を解決するための手段】本発明の請求項1に記載
の半導体装置の製造方法は、タングステン原子を含む第
1原料ガスと窒素原子を含む第2原料ガスを用いて被処
理体に窒化タングステン膜を成膜して半導体装置を製造
する方法において、上記窒化タングステン膜の成膜前に
第1原料ガスを上記被処理体に接触させることを特徴と
するものである。
According to a first aspect of the present invention, there is provided a method of manufacturing a semiconductor device, wherein a target material is nitrided by using a first source gas containing tungsten atoms and a second source gas containing nitrogen atoms. In a method for manufacturing a semiconductor device by forming a tungsten film, a first source gas is brought into contact with the object before forming the tungsten nitride film.

【0013】また、本発明の請求項2に記載の半導体装
置の製造方法は、請求項1に記載の発明において、成膜
前に第1原料ガスを上記被処理体に接触させる条件とし
て、上記被処理体の処理圧力を0.1〜20Torrに設定
すると共に上記被処理体の処理温度を300〜500℃
に設定し、且つ、第1原料ガスの流量を0.5〜10sc
cm、または第1原料ガスの分圧を5×10-4〜10Torr
に設定することを特徴とするものである。
According to a second aspect of the present invention, there is provided a method of manufacturing a semiconductor device according to the first aspect, wherein the first source gas is brought into contact with the object before film formation. The processing pressure of the object is set to 0.1 to 20 Torr, and the processing temperature of the object is set to 300 to 500 ° C.
And the flow rate of the first source gas is 0.5 to 10 sc
cm or the partial pressure of the first source gas is 5 × 10 −4 to 10 Torr
Is set.

【0014】また、本発明の請求項3に記載の半導体装
置の製造方法は、請求項1または請求項2に記載の発明
において、第1原料ガスと第2原料ガスを用いて上記窒
化タングステン膜を形成する条件として、上記被処理体
の処理圧力を0.1〜50Torrに設定すると共に上記被
処理体の温度を300〜650℃に設定し、且つ、第1
原料ガスの流量を0.5〜100sccm、または第1原料
ガスの分圧を5×10-4〜50Torrに設定すると共に第
2原料ガスの流量を20〜1000sccmに設定すること
を特徴とするものである。
According to a third aspect of the present invention, there is provided a method of manufacturing a semiconductor device according to the first or second aspect, wherein the tungsten nitride film is formed by using a first source gas and a second source gas. As a condition for forming, the processing pressure of the object to be processed is set to 0.1 to 50 Torr, the temperature of the object to be processed is set to 300 to 650 ° C., and the first
The flow rate of the source gas is set to 0.5 to 100 sccm, or the partial pressure of the first source gas is set to 5 × 10 −4 to 50 Torr, and the flow rate of the second source gas is set to 20 to 1000 sccm. It is.

【0015】また、本発明の請求項4に記載の半導体装
置の製造方法は、請求項1〜請求項3にいずれか1項に
記載の発明において、第1原料ガスとして六フッ化タン
グステンを用いると共に第2原料ガスとしてアンモニア
ガスを用いることを特徴とするものである。
According to a fourth aspect of the present invention, there is provided a method of manufacturing a semiconductor device according to any one of the first to third aspects, wherein tungsten hexafluoride is used as the first source gas. In addition, ammonia gas is used as the second source gas.

【0016】また、本発明の請求項5に記載の半導体製
造装置は、原料ガスを供給するガス供給機構と、このガ
ス供給機構に連設された成膜室と、この成膜室内に配設
され且つ上記被処理体を保持する温度調整可能な保持体
と、この保持体で保持された被処理体の成膜処理後のガ
スを排気する排気部とを備え、上記原料ガスとしてタン
グステン原子を含む第1原料ガスと窒素原子を含む第2
原料ガスを供給して上記成膜室内で上記被処理体に窒化
タングステン膜を形成する装置において、上記ガス供給
機構は、第1、第2原料ガスがそれぞれ個別に流入する
第1、第2流入口と、第1、第2流入口とそれぞれ連通
し第1、第2原料ガスが個別に流出する第1、第2流出
口とを有し、また、上記成膜室内の圧力を調整する圧力
調整機構を上記成膜室または上記排気部に設けたことを
特徴とするものである。
According to a fifth aspect of the present invention, there is provided a semiconductor manufacturing apparatus, comprising: a gas supply mechanism for supplying a source gas; a film formation chamber connected to the gas supply mechanism; And a temperature-adjustable holding body for holding the object to be processed, and an exhaust unit for exhausting a gas after film-forming processing of the object held by the holding body, wherein tungsten atoms are used as the source gas. Containing first source gas and second containing nitrogen atoms
In an apparatus for supplying a source gas and forming a tungsten nitride film on the object to be processed in the film formation chamber, the gas supply mechanism includes a first and a second flow through which a first and a second source gas respectively flow. An inlet, first and second outlets respectively communicating with the first and second inlets to allow the first and second source gases to flow out individually, and a pressure for adjusting the pressure in the film forming chamber. An adjusting mechanism is provided in the film forming chamber or the exhaust unit.

【0017】また、本発明の請求項6に記載の半導体装
置の製造方法は、タングステン原子を含む第1原料ガス
と窒素原子を含む第2原料ガスとを用いて被処理体に窒
化タングステン膜を形成して半導体装置を製造する方法
において、上記窒化タングステン膜の形成前に第1、第
2原料ガスのいずれか一方を含有するガスを上記被処理
体表面に接触させることを特徴とするものである。
According to a sixth aspect of the present invention, in a method of manufacturing a semiconductor device, a tungsten nitride film is formed on an object using a first source gas containing tungsten atoms and a second source gas containing nitrogen atoms. In the method of manufacturing a semiconductor device by forming, before forming the tungsten nitride film, a gas containing one of the first and second source gases is brought into contact with the surface of the object to be processed. is there.

【0018】また、本発明の請求項7に記載の半導体装
置の製造方法は、タングステン原子を含む第1原料ガス
と窒素原子を含む第2原料ガスとを用いて被処理体に窒
化タングステン膜を形成して半導体装置を製造する方法
において、上記窒化タングステン膜の形成時にシリコン
原子を含むガスを添加して上記窒化タングステン膜の形
成を行うことを特徴とするものである。
According to a seventh aspect of the present invention, in a method of manufacturing a semiconductor device, a tungsten nitride film is formed on a workpiece using a first source gas containing tungsten atoms and a second source gas containing nitrogen atoms. In the method for manufacturing a semiconductor device by forming a tungsten nitride film, a gas containing silicon atoms is added during the formation of the tungsten nitride film to form the tungsten nitride film.

【0019】また、本発明の請求項8に記載の半導体装
置の製造方法は、タングステン原子を含む第1原料ガス
と窒素原子を含む第2原料ガスとを用いて被処理体に窒
化タングステン膜を形成して半導体装置を製造する方法
において、上記窒化タングステン膜の形成後にシリコン
原子を含むガスを含有するガスを上記窒化タングステン
膜に接触させることを特徴とするものである。
Further, in the method of manufacturing a semiconductor device according to claim 8 of the present invention, a tungsten nitride film is formed on an object using a first source gas containing tungsten atoms and a second source gas containing nitrogen atoms. In the method for manufacturing a semiconductor device by forming a film, a gas containing a gas containing silicon atoms is brought into contact with the tungsten nitride film after the formation of the tungsten nitride film.

【0020】また、本発明の請求項9に記載の半導体装
置の製造方法は、請求項6〜8のいずれか1項に記載の
発明において、上記窒化タングステン膜の表面層の窒素
濃度を高める工程を含むことを特徴とするものである。
According to a ninth aspect of the present invention, there is provided a method of manufacturing a semiconductor device according to any one of the sixth to eighth aspects, wherein the nitrogen concentration in the surface layer of the tungsten nitride film is increased. It is characterized by including.

【0021】また、本発明の請求項10に記載の半導体
装置の製造方法は、請求項9に記載の発明において、上
記窒化タングステン膜の表面層の窒素濃度を高める工程
として、窒素原子を含むガス雰囲気中でのアニールまた
は窒素原子を含むガスのプラズマ照射を行うことを特徴
とするものである。
According to a tenth aspect of the present invention, in the method for manufacturing a semiconductor device according to the ninth aspect, the step of increasing the nitrogen concentration in the surface layer of the tungsten nitride film is performed by using a gas containing nitrogen atoms. It is characterized by performing annealing in an atmosphere or plasma irradiation of a gas containing a nitrogen atom.

【0022】また、本発明の請求項11に記載の半導体
装置の製造方法は、請求項7または請求項8に記載の発
明において、上記窒化タングステン膜の表面を酸化する
工程を含むことを特徴とするものである。
According to a eleventh aspect of the present invention, there is provided a method of manufacturing a semiconductor device according to the seventh or eighth aspect, further comprising a step of oxidizing a surface of the tungsten nitride film. Is what you do.

【0023】また、本発明の請求項12に記載の半導体
装置の製造方法は、請求11に記載の発明において、上
記窒化タングステン膜の表面を酸化する工程として、酸
素または酸素を含むガス雰囲気中でのアニールにより上
記窒化タングステン膜の表面にシリコン酸化物を形成す
ることを特徴とするものである。
According to a twelfth aspect of the present invention, in the method for manufacturing a semiconductor device according to the eleventh aspect, the step of oxidizing the surface of the tungsten nitride film is performed in an oxygen or gas atmosphere containing oxygen. Forming silicon oxide on the surface of the tungsten nitride film by annealing.

【0024】[0024]

【発明の実施の形態】以下、図1に示す実施形態に基づ
いて本発明を説明する。まず本発明の半導体装置の製造
方法に好適に使用される熱CVD成膜装置(以下、単に
「成膜装置」と称す。)について図1を参照しながら説
明する。本実施形態の成膜装置は、例えば図1に示すよ
うに、原料ガスを供給するガス供給機構(シャワーヘッ
ド)10と、このシャワーヘッド10に連設された略円
筒状で気密構造の成膜室(チャンバー)20と、このチ
ャンバー20内の中央に配設され且つ被処理体(例え
ば、半導体ウエハ)Wを水平に保持する温度調整可能な
保持体(サセプタ)30と、このサセプタ30で保持さ
れた半導体ウエハWの成膜処理後のガスを排気する排気
管40とを備えている。従って、シャワーヘッド10か
らチャンバー20内へ原料ガスとしてタングステン原子
を含む第1原料ガス(例えば、六フッ化タングステン
(WF6)ガス等)と窒素原子を含む第2原料ガス(例え
ば、アンモニア(NH3)ガス等)を供給すると、半導体
ウエハWに窒化タングステン膜を成膜することができ
る。そして、各原料ガスは図示しないマスフローコント
ローラで流量制御する。尚、図1において31はサセプ
タ30を支持する支持部材である。
DESCRIPTION OF THE PREFERRED EMBODIMENTS The present invention will be described below based on an embodiment shown in FIG. First, a thermal CVD film forming apparatus (hereinafter, simply referred to as a “film forming apparatus”) suitably used in the method of manufacturing a semiconductor device according to the present invention will be described with reference to FIG. As shown in FIG. 1, for example, the film forming apparatus according to the present embodiment includes a gas supply mechanism (shower head) 10 that supplies a raw material gas and a substantially cylindrical air-tight structure that is connected to the shower head 10. A chamber (chamber) 20, a temperature-adjustable holder (susceptor) 30 which is disposed at the center of the chamber 20 and horizontally holds an object (for example, a semiconductor wafer) W, and is held by the susceptor 30. And an exhaust pipe 40 for exhausting the gas after the film formation processing of the semiconductor wafer W. Therefore, the first source gas containing tungsten atoms (for example, tungsten hexafluoride (WF 6 ) gas or the like) and the second source gas containing nitrogen atoms (for example, ammonia (NH 3 ) When a gas or the like is supplied, a tungsten nitride film can be formed on the semiconductor wafer W. The flow rate of each source gas is controlled by a mass flow controller (not shown). In FIG. 1, reference numeral 31 denotes a support member for supporting the susceptor 30.

【0025】図1に示すようにシャワーヘッド10はチ
ャンバー20の上壁中央を貫通し、その下面がサセプタ
30と平行になっている。更に、シャワーヘッド10は
上下三段のブロック体10A、10B、10Cが一体化
して形成されている。上段ブロック体10A上面にはWF
6ガス及びNH3ガスそれぞれのガス供給源50、60にそ
れぞれの配管51、61を介して接続された第1、第2
ガス流入口11、12が形成されている。各ガス流入口
11、12はそれぞれ上段ブロック体10A内で分岐し
て第1、第2分岐ガス流路11A、12Aとして形成さ
れ、各分岐ガス流路11A、12Aは上段ブロック体1
0Aの下面全面で均等に分散して開口している。中段ブ
ロック体10B上面には第1、第2分岐ガス流路11
A、12Aそれぞれの開口部と連通する第1、第2中段
ガス流路11B、12Bが形成され、各中段ガス流路1
1B、12Bはそれぞれ中段ブロック体10Bを貫通し
中段ブロック体10Bの下面で開口している。下段ブロ
ック体10Cの上面には第1、第2中段ガス流路11
B、12Bそれぞれの開口部と連通する第1、第2下段
ガス流路11C、12Cが形成され、各下段ガス流路1
1C、12Cはそれぞれ下段ブロック体10Cの下面全
面で均等に分散して開口している。従って、ガス供給源
50、60からWF6ガス及びNH3ガスが供給すると、各ガ
スは第1、第2ガス流入口11、12からシャワーヘッ
ド10内へ流入した後、下段ガス流路11C、12Cか
ら個別に分散して流出し、チャンバー20内で初めて均
等に混合され、シャワーヘッド10内での各原料ガスの
反応を防止している。
As shown in FIG. 1, the shower head 10 passes through the center of the upper wall of the chamber 20, and the lower surface thereof is parallel to the susceptor 30. Further, the shower head 10 is formed by integrating upper and lower three-stage block bodies 10A, 10B, and 10C. WF is on the upper surface of the upper block body 10A.
The first and second gas supply sources 50 and 60 of the 6 gas and the NH 3 gas are connected via respective pipes 51 and 61, respectively.
Gas inlets 11 and 12 are formed. Each of the gas inlets 11 and 12 is branched in the upper block body 10A to form first and second branch gas passages 11A and 12A, respectively, and each of the branch gas passages 11A and 12A is connected to the upper block body 1A.
The openings are uniformly distributed over the entire lower surface of 0A. The first and second branch gas passages 11 are provided on the upper surface of the middle block body 10B.
A and 12A are formed with first and second middle gas passages 11B and 12B communicating with the respective openings.
1B and 12B respectively penetrate the middle block body 10B and open at the lower surface of the middle block body 10B. The first and second middle gas passages 11 are provided on the upper surface of the lower block body 10C.
B, 12B, the first and second lower gas passages 11C, 12C communicating with the respective openings are formed.
1C and 12C are uniformly distributed and opened on the entire lower surface of the lower block body 10C. Therefore, when the WF 6 gas and the NH 3 gas are supplied from the gas supply sources 50 and 60, the respective gases flow into the shower head 10 from the first and second gas inlets 11 and 12, and then the lower gas flow path 11C, 12C, they are separately dispersed and flow out, and are uniformly mixed for the first time in the chamber 20 to prevent the reaction of each raw material gas in the shower head 10.

【0026】また、上記シャワーヘッド10には三フッ
化塩素(ClF3)ガスの供給源70に配管71を介して接
続された第3ガス流入口13が第1、第2ガス流入口1
1、12と同様に形成され、第3ガス流入口13からシ
ャワーヘッド10内へ流入したClF3ガスは上段ガス流路
12Aに流入し下段ガス流路12Cからクリーニングガ
スとしてチャンバー20内へ供給するようにしてある。
このとき、クリーニングの効果を上げる観点から、ClF3
ガスを上段ガス流路12Aに流入させる代わりに第1分
岐ガス流路11Aに流入させ、下段ガス流路11Cから
チャンバ20内に供給するようにしても良いし、上段ガ
ス流路12Aと第1分岐ガス流路11Aの両方に流入さ
せ、12Cと11Cの両方からチャンバ20内へ供給す
るようにしても良い。但し、後者の場合、成膜時に、WF
6ガスとNH3ガスが直接混合されないように、逆止弁(図
示せず)等を必要とする。また、図示してないが、シャ
ワーヘッド10にはアルゴン(Ar)ガスや窒素ガス等の
不活性ガスを原料ガスの希釈用ガスとしてチャンバー2
0内へ供給するガス流入口が形成されている。
The showerhead 10 has a third gas inlet 13 connected to a chlorine trifluoride (ClF 3 ) gas supply source 70 via a pipe 71, and a first gas inlet 13 and a second gas inlet 1.
The ClF 3 gas formed in the same manner as 1 and 12 and flowing into the shower head 10 from the third gas inlet 13 flows into the upper gas flow path 12A and is supplied from the lower gas flow path 12C into the chamber 20 as a cleaning gas. It is like that.
At this time, from the viewpoint of improving the cleaning effect, ClF 3
Instead of flowing the gas into the upper gas flow path 12A, the gas may flow into the first branch gas flow path 11A and be supplied from the lower gas flow path 11C into the chamber 20, or the upper gas flow path 12A and the first The gas may flow into both of the branch gas flow paths 11A, and may be supplied into the chamber 20 from both of 12C and 11C. However, in the latter case, WF
A check valve (not shown) or the like is required so that the 6 gas and the NH 3 gas are not directly mixed. Although not shown, the shower head 10 uses an inert gas such as an argon (Ar) gas or a nitrogen gas as a gas for diluting the raw material gas.
A gas inlet for supplying the gas into the chamber is formed.

【0027】上記シャワーヘッド10の上面にはシャワ
ーヘッドヒータ14が取り付けられ、このヒータ14で
シャワーヘッド10の温度を制御し、もってシャワーヘ
ッド10内で原料ガス、クリーニングガス、希釈用ガス
の温度をぞれぞれ所定の温度に調整し、チャンバー20
内へ供給すると共に、チャンバー20内のシャワーヘッ
ド表面への反応副生成物の付着を防いでいる。また、チ
ャンバー20の周壁及び上下両壁それぞれの外面にはチ
ャンバーヒータ21が配設され、これらのヒータ21に
よってチャンバー20の内壁面を所定温度に制御して内
壁面への反応副生成物の付着を防止している。シャワー
ヘッドヒータ14とチャンバーヒータ21はそれぞれ図
示しないコントローラの制御下で個別に制御できるよう
にしてある。
A shower head heater 14 is mounted on the upper surface of the shower head 10, and controls the temperature of the shower head 10 with the heater 14, so that the temperatures of the raw material gas, the cleaning gas, and the dilution gas are controlled in the shower head 10. Each is adjusted to a predetermined temperature, and the chamber 20 is adjusted.
In addition, the reaction by-product is prevented from adhering to the surface of the shower head in the chamber 20. Further, a chamber heater 21 is disposed on the outer surface of each of the peripheral wall and the upper and lower walls of the chamber 20, and the heater 21 controls the inner wall surface of the chamber 20 to a predetermined temperature to adhere reaction by-products to the inner wall surface. Has been prevented. The shower head heater 14 and the chamber heater 21 can be individually controlled under the control of a controller (not shown).

【0028】上記チャンバー20内のサセプタ30の外
周縁部には図示しない搬送機構から搬入された半導体ウ
エハWをサセプタ30の中央へガイドするガイドリング
32が設けられ、サセプタ30内には半導体ウエハWの
温度を制御するステージヒータ80が埋設されている。
このステージヒータ80には電源81及びコントローラ
82が接続され、電源81はコントローラ82の制御下
でステージヒータ80へ給電するようにしてある。
A guide ring 32 for guiding a semiconductor wafer W loaded from a transfer mechanism (not shown) to the center of the susceptor 30 is provided at an outer peripheral edge of the susceptor 30 in the chamber 20. A stage heater 80 for controlling the temperature of the heater is embedded.
A power supply 81 and a controller 82 are connected to the stage heater 80, and the power supply 81 supplies power to the stage heater 80 under the control of the controller 82.

【0029】上記排気管40には真空ポンプ90が接続
され、更に、排気管40にはその流路の開度を調整する
バルブ91が開度調整機構として設けられ、このバルブ
91の開度を調整することによりチャンバー20内の圧
力を適宜調整するようにしてある。尚、排気側にはトラ
ップ機構としてポンプ90の入口・出口のいずれか一方
または両方にトラップを設置し、更にその捕集効率を高
めるにトラップを冷却すればより望ましい。また、排気
側のコンダクタンスを小さくするような構造をとっても
良い。以上の特徴を組み合わせた構造でも良い。
A vacuum pump 90 is connected to the exhaust pipe 40. Further, the exhaust pipe 40 is provided with a valve 91 for adjusting the degree of opening of its flow path as an opening adjustment mechanism. By adjusting the pressure, the pressure in the chamber 20 is appropriately adjusted. It is more desirable to install a trap as a trap mechanism on one or both of the inlet and the outlet of the pump 90 on the exhaust side, and to cool the trap to further enhance its collection efficiency. Further, a structure may be adopted in which the conductance on the exhaust side is reduced. A structure combining the above features may be used.

【0030】次に、図1に示す成膜装置を用いた本発明
の半導体装置の製造方法について説明する。本発明方法
ではタングステン原子を含む第1原料ガス(例えば、WF
6ガス)と窒素原子を含む第2原料ガス(例えば、NH3
ス)を用いて半導体ウエハWに窒化タングステン(W
NX)膜を形成して半導体装置を製造するに当たり、WNX
膜の成膜前にWF6ガスを半導体ウエハW表面に接触させ
る点に特徴がある。本発明方法により、WNX膜の半導体
ウエハWへの密着性を高め、成膜後の熱処理工程におけ
るWNX膜の半導体ウエハWからの剥離を防止することが
できる。
Next, a method for manufacturing a semiconductor device of the present invention using the film forming apparatus shown in FIG. 1 will be described. In the method of the present invention, the first source gas containing tungsten atoms (for example, WF
6 gas) and a second source gas containing a nitrogen atom (for example, NH 3 gas) is used to form tungsten nitride (W) on the semiconductor wafer W.
N X ) When manufacturing a semiconductor device by forming a film, WN X
It is characterized in that the WF 6 gas is brought into contact with the surface of the semiconductor wafer W before the film is formed. The present invention method to enhance the adhesion to the semiconductor wafer W WN X film, it is possible to prevent peeling from the semiconductor wafer W WN X film in the heat treatment process after deposition.

【0031】本発明の方法を実施するためにはまず、真
空ポンプ90を駆動し、バルブ91の開度を調整してチ
ャンバー20内を所定の真空度まで真空引きすると共に
シャワーヘッドヒータ14、チャンバーヒータ21及び
ステージヒータ80を介してシャワーヘッド10、チャ
ンバー20及びサセプタ30をそれぞれ所定の温度まで
加熱する。次いで、WNX膜の成膜処理に先だってチャン
バー20内の前処理を行う。それには半導体ウエハWが
存在しない状態でWF6ガス、Arガス及びNH3ガスをそれぞ
れ所定の流量でチャンバー20内へ供給し、チャンバー
20内のサセプタ及びその周辺にWNX膜をプリコートす
る。この前処理を行わないと、半導体ウエハWでの成膜
初期にサセプタ及びその周辺でWF6ガスあるいはNH3ガス
が消費され、肝心の成膜時にこれらのガスが半導体ウエ
ハに到達せず、所望のWNX膜を形成できないからであ
る。
In order to carry out the method of the present invention, first, the vacuum pump 90 is driven, the opening degree of the valve 91 is adjusted to evacuate the chamber 20 to a predetermined degree of vacuum, and the shower head heater 14 and the chamber The shower head 10, the chamber 20, and the susceptor 30 are heated to predetermined temperatures via the heater 21 and the stage heater 80, respectively. Then, preprocessing is performed in the chamber 20 prior to the film forming process WN X film. WF 6 gas in a state where the semiconductor wafer W is not present in it, each of the Ar gas and NH 3 gas is supplied into the chamber 20 at a predetermined flow rate, to precoat the WN X film susceptor and around the chamber 20. If this pretreatment is not performed, WF 6 gas or NH 3 gas is consumed in and around the susceptor at the initial stage of film formation on the semiconductor wafer W, and these gases do not reach the semiconductor wafer during the essential film formation. of it can not be formed a WN X film.

【0032】このチャンバー20内の前処理を行う場合
には、例えばガス供給源50、60等のWF6ガス、Arガ
ス及びNH3ガスをそれぞれの配管51、61等を介して
シャワーヘッド10の第1、第2ガス流入口11、12
等へ個別に供給する。WF6ガス、Arガス及びNH3ガスはそ
れぞれの第1、第2ガス分岐流路11A、12A及び中
段ガス流路11B、12Bを経由して下段ガス流路11
C、12Cへ達し、これらの開口部からチャンバー20
内へシャワー状に吐出し、チャンバー20内で初めて均
一に混合される。この際、WF6ガス、Arガス及びNH3ガス
それぞれの流量は例えば半導体ウエハWにWNX膜を形成
する場合と同一条件に設定する。この流量でこれらの原
料ガスをチャンバー20内に所定時間(例えば、20数
分程度)だけ個別に供給すると、サセプタ30及びその
周辺にWNX膜が形成される。
When performing pretreatment in the chamber 20, for example, WF 6 gas, Ar gas and NH 3 gas from the gas supply sources 50 and 60 are supplied to the shower head 10 through the respective pipes 51 and 61 and the like. First and second gas inlets 11 and 12
Etc. individually. The WF 6 gas, the Ar gas, and the NH 3 gas pass through the first and second gas branch passages 11A and 12A and the middle gas passages 11B and 12B, respectively, to the lower gas passage 11.
C, 12C and through these openings the chamber 20
It is discharged in a shower shape into the inside, and is uniformly mixed for the first time in the chamber 20. In this case, WF 6 gas, Ar gas and NH 3 gas respectively flow rate is set to the same conditions as the case of forming a WN X film on a semiconductor wafer W, for example. Predetermined time chamber 20 these material gases in the flow rate (e.g., 20 about several minutes) by supplying individually, WN X film is formed on the susceptor 30 and its periphery.

【0033】本実施形態ではチャンバー20内の前処理
を行った後、WNX膜の成膜前に半導体ウエハWに表面処
理を施す。即ち、半導体ウエハWとしては例えばゲート
酸化膜200オングストローム及びポリシリコン膜50
0オングストロームがそれぞれ下層から順次積層された
ものを用いる。この半導体ウエハWをチャンバー20内
へ搬入し、サセプタ30上で保持し、半導体ウエハWを
加熱して所定温度に設定する。引き続きシャワーヘッド
10及びチャンバー20を所定の温度に設定した状態で
半導体ウエハWへWNX膜を成膜する前に、WF6ガスとArガ
スを所定の流量でシャワーヘッド10からチャンバー2
0内へ所定時間(例えば、10秒程度)だけ個別に供給
し、チャンバー20内の半導体ウエハWの処理圧力を所
定の圧力に設定することにより、WF6ガスとポリシリコ
ンが反応し、ポリシリコン膜の表面処理が行われる。
[0033] In this embodiment after the pre-treatment in the chamber 20, subjected to a surface treatment on the semiconductor wafer W before forming the WN X film. That is, as the semiconductor wafer W, for example, the gate oxide film 200 Å and the polysilicon film 50
0 angstrom layers are sequentially stacked from the lower layer. The semiconductor wafer W is carried into the chamber 20, held on the susceptor 30, and heated to a predetermined temperature. Continuing before depositing the WN X film to the semiconductor wafer W showerhead 10 and the chamber 20 in a state of being set to a predetermined temperature, the chamber 2 the WF 6 gas and Ar gas from the shower head 10 at a predetermined flow rate
The WF 6 gas and polysilicon react by individually supplying the semiconductor wafer W into the inside of the chamber 20 for a predetermined time (for example, about 10 seconds) and setting the processing pressure of the semiconductor wafer W in the chamber 20 to a predetermined pressure. Surface treatment of the film is performed.

【0034】半導体ウエハWの所定温度は300〜50
0℃、より好ましくは450〜500℃に設定する。半
導体ウエハWの温度が300℃未満では密着層の形成速
度が許容範囲より遅くなる虞があり、500℃を超える
と密着層の形成速度が高すぎ、特に薄膜を得たい場合に
膜厚の制御が難しくなる等の問題があり、使用上の制限
ができて好ましくない。WF6ガスの所定流量は例えば
0.5〜10sccm、より好ましくは0.5〜2sccmに設
定する。WF6ガスの分圧で云えば5×10-4〜10Tor
r、より好ましくは5×10-4〜0.5Torrに設定す
る。WF6ガスの流量が0.5sccm未満ではWF6と半導体ウ
エハW表面のポリシリコンとの反応が不十分でその表面
を活性化することができず、密着層の形成速度が許容範
囲より遅くなり、WF6ガスを接触させる意義がなくなる
虞があり、10sccmを超えると密着層の形成速度が高す
ぎ、特に薄膜を得たい場合に膜厚の制御が難しくなる虞
がある。また、半導体ウエハWの所定の処理圧力は0.
1〜20Torr、より好ましくは0.3〜3Torrに設定す
る。0.1Torr未満では密着層の形成速度が許容範囲よ
り遅くなり、20Torrを超えると密着層の形成速度が高
すぎ、特に薄膜を得たい場合に膜厚の制御が難しくなる
虞がある。
The predetermined temperature of the semiconductor wafer W is 300 to 50
The temperature is set to 0 ° C, more preferably 450 to 500 ° C. If the temperature of the semiconductor wafer W is lower than 300 ° C., the formation speed of the adhesion layer may be lower than an allowable range. If the temperature exceeds 500 ° C., the formation speed of the adhesion layer is too high. However, there is a problem that it becomes difficult, and it is not preferable because the use can be restricted. Predetermined flow rate of the WF 6 gas is for example 0.5~10Sccm, more preferably set to 0.5~2Sccm. 5 × 10 -4 to 10 Torr in terms of partial pressure of WF 6 gas
r, more preferably 5 × 10 −4 to 0.5 Torr. When the flow rate of the WF 6 gas is less than 0.5 sccm, the reaction between the WF 6 and the polysilicon on the surface of the semiconductor wafer W is insufficient, so that the surface cannot be activated, and the formation speed of the adhesion layer becomes slower than an allowable range. , WF 6 there is a possibility that significance is eliminated contacting the gas formation rate is too high the adhesive layer exceeds 10 sccm, there is a possibility that control of the film thickness is difficult when it is desired in particular to obtain a thin film. Further, the predetermined processing pressure of the semiconductor wafer W is set to 0.1.
The pressure is set to 1 to 20 Torr, more preferably 0.3 to 3 Torr. If it is less than 0.1 Torr, the formation speed of the adhesion layer will be lower than the allowable range, and if it exceeds 20 Torr, the formation speed of the adhesion layer will be too high, and it may be difficult to control the film thickness particularly when it is desired to obtain a thin film.

【0035】この表面処理を行った後、バリア膜である
WNX膜の成膜処理を行う。それには、シャワーヘッド1
0からチャンバー20内へWF6ガス、Arガス及びNH3ガス
をそれぞれ所定の流量で所定時間(例えば、10秒程
度)供給し、サセプタ30上で所定の温度に設定された
半導体ウエハWのポリシリコン膜上にWNX膜を形成す
る。引き続き、通常のW−CVD法により1000オン
グストロームのW膜をWNX膜上に成膜する。その後、例え
ば層間絶縁膜のBPSGのリフロー工程がある場合に
は、従来公知のようにこの半導体ウエハWには例えば所
定温度(例えば、850〜900℃)の熱負荷が加わる
ことがある。
After performing this surface treatment, a barrier film is formed.
Perform a film forming process of the WN X film. To do that, shower head 1
0, WF 6 gas, Ar gas and NH 3 gas are supplied at predetermined flow rates for a predetermined time (for example, about 10 seconds) into the chamber 20, and the polycrystalline semiconductor wafer W set at a predetermined temperature on the susceptor 30. forming a WN X film on the silicon film. Continue, forming a W film of 1000 angstroms on the WN X film by a conventional W-CVD method. Thereafter, for example, when there is a BPSG reflow process of the interlayer insulating film, a heat load at a predetermined temperature (for example, 850 to 900 ° C.) may be applied to the semiconductor wafer W as conventionally known.

【0036】半導体ウエハWの所定温度は300〜65
0℃、より好ましくは450〜550℃に設定する。半
導体ウエハWの温度が300℃未満ではWNX膜がアモル
ファスになり易くRTN後の膜剥離が起き易くなり、6
50℃を超えると例えばCu配線のバリア膜に使用する
場合には、層間絶縁膜によっては耐熱温度を超える等の
問題があり、使用上の制限ができて好ましくない。WF6
ガスの所定流量は例えば0.5〜100sccm、より好ま
しく、1〜50sccmに設定する。WF6ガスの流量が0.
5sccm未満では成膜速度が許容範囲より遅くなる虞があ
り、100sccmを超えるとWNX膜がアモルファスになり
易くRTN後の膜剥離が起き易くなる虞がある。NH3
スの所定流量は例えば20〜1000sccm、より好まし
くは150〜500sccmに設定する。NH3ガスの流量が
20sccm未満ではWNX膜がアモルファスになり易くRT
N後の膜剥離が起き易くなる虞があり、1000sccmを
超えるとWF6ガスの分圧が低下し成膜速度が許容範囲を
下回る虞がある。Arガスの所定流量は例えば10〜30
00sccm、より好ましくは50〜2000sccmに設定す
る。Arガスの流量が10sccm未満ではWF6ガスがNH3ガス
の供給ラインへ拡散し、あるいはNH3ガスがWF6ガスの供
給ラインへ拡散し、著しい場合にはこれら両者が反応し
てパーティクルを生じ、パーティクルで配管が詰まる虞
があり、3000sccmを超えるとWF6ガスの分圧が低下
し成膜速度が許容範囲を下回る虞がある。また、WNX
の成膜工程における半導体ウエハWの処理圧力は0.1
〜50Torr、より好ましくは0.3〜3Torrに設定す
る。0.1Torr未満ではWNX膜の成膜速度が許容範囲よ
り遅くなり、50Torrを超えると成膜速度が速すぎ、特
に薄膜を得たい場合には膜厚の制御が難しくなることが
ある。
The predetermined temperature of the semiconductor wafer W is 300 to 65
The temperature is set to 0 ° C, more preferably 450 to 550 ° C. If the temperature of the semiconductor wafer W is lower than 300 ° C., the WN X film tends to be amorphous, and the film is easily peeled off after RTN.
If the temperature exceeds 50 ° C., for example, when the film is used as a barrier film for Cu wiring, there is a problem that the temperature exceeds a heat-resistant temperature depending on an interlayer insulating film, and the use is restricted, which is not preferable. WF 6
The predetermined flow rate of the gas is set to, for example, 0.5 to 100 sccm, more preferably, 1 to 50 sccm. The flow rate of WF 6 gas is 0.
Is less than 5sccm there is a possibility that the deposition rate is slower than the allowable range, there is a possibility that WN X film exceeds 100sccm is liable occur delamination after liable RTN become amorphous. The predetermined flow rate of the NH 3 gas is set, for example, to 20 to 1000 sccm, more preferably 150 to 500 sccm. NH 3 flow rate of the gas becomes WN X film amorphous is less than 20sccm liable RT
There is a possibility that the film peeling after N may easily occur, and if it exceeds 1000 sccm, the partial pressure of the WF 6 gas may decrease and the film forming speed may fall below an allowable range. The predetermined flow rate of the Ar gas is, for example, 10 to 30.
00 sccm, more preferably 50 to 2000 sccm. If the flow rate of the Ar gas is less than 10 sccm, the WF 6 gas diffuses into the NH 3 gas supply line, or the NH 3 gas diffuses into the WF 6 gas supply line. , there is a possibility that the piping is clogged with particles, deposition rate decreases the partial pressure of WF 6 gas exceeds 3000sccm there is a risk below the tolerance. The processing pressure of the semiconductor wafer W in the film forming process of the WN X film 0.1
5050 Torr, more preferably 0.3 to 3 Torr. Slower than the deposition rate tolerance of WN X film is less than 0.1 Torr, exceeds 50Torr too deposition rate fast, especially when it is desired to obtain a thin film is sometimes control of film thickness is difficult.

【0037】[0037]

【実施例】次に、具体的な実施例について説明する。 実施例1 本実施例では、チャンバー内の前処理を下記の条件で行
い、サセプタ及びその周辺にWNX膜をプリコートした。
プリコート膜の膜厚を把握するために、チャンバー内の
サセプタ上に半導体ウエハを載置した状態で、本前処理
と同一条件で半導体ウエハ表面にWNX膜を形成した。こ
の条件でサセプタ上に半導体ウエハを載置した場合には
半導体ウエハの表面温度をはサセプタより56℃低い温
度に相当する。また、サセプタ及びその周辺に形成され
たWNX膜の膜厚は少なくとも同じ条件で半導体ウエハに
形成されたWNX膜の膜厚以上になる。そこで、半導体ウ
エハに形成されたWNX膜の膜厚を測定した結果、その膜
厚は約1.5μmであった。従って、上記プリコート膜
の膜厚は少なくとも約1.5μm以上と考えられる。 [前処理の条件] (1)半導体製造装置の条件 チャンバー内の圧力 :0.3Torr チャンバーの内壁面の温度:170℃ シャワーヘッドの温度 :170℃ サセプタの温度 :506℃ (2)前処理時の原料ガスの条件 第1ステップ 原料ガス流量:WF6/NH3/Ar/N2=2/50/100/100(sccm) 処理時間 :60秒間 第2ステップ 原料ガス流量:WF6/NH3/Ar/N2=10/50/100/100(sccm) 処理時間 :1360秒間 また、前処理条件は次のように設定しても良い。 (1)'半導体製造装置の条件 チャンバー内の圧力 :1Torr チャンバーの内壁面の温度:130℃ シャワーヘッドの温度 :150℃ サセプタの温度 :506℃ (2)'前処理時の原料ガスの条件 第1ステップ 圧力 :1Torr 原料ガス流量:WF6/NH3/Ar/N2=1/500/500/500(sccm) 処理時間 :120秒間 第2ステップ 圧力 :1Torr 原料ガス流量:WF6/NH3/Ar/N2=50/500/500/500(sccm) 処理時間 :720秒間
Next, specific embodiments will be described. Example 1 In this example, performs a pretreatment chamber under the following conditions, was precoated with WN X film susceptor and its vicinity.
To understand the thickness of pre-coating film, while placing the semiconductor wafer on the susceptor in the chamber, thereby forming a WN X film on a semiconductor wafer surface in the pre-treatment under the same conditions. When the semiconductor wafer is mounted on the susceptor under these conditions, the surface temperature of the semiconductor wafer corresponds to a temperature 56 ° C. lower than the susceptor. The thickness of WN X film formed on the susceptor and its vicinity becomes more the thickness of the WN X film formed on a semiconductor wafer at least the same conditions. As a result of measuring the film thickness of the WN X film formed on a semiconductor wafer, the film thickness was about 1.5 [mu] m. Therefore, it is considered that the thickness of the precoat film is at least about 1.5 μm or more. [Conditions of pretreatment] (1) Conditions of semiconductor manufacturing equipment Pressure in chamber: 0.3 Torr Temperature of inner wall surface of chamber: 170 ° C Shower head temperature: 170 ° C Susceptor temperature: 506 ° C (2) During pretreatment Source gas conditions for the first step Source gas flow rate: WF 6 / NH 3 / Ar / N 2 = 2/50/100/100 (sccm) Processing time: 60 seconds Second step Source gas flow rate: WF 6 / NH 3 / Ar / N 2 = 10/50/100/100 (sccm) Processing time: 1360 seconds The preprocessing conditions may be set as follows. (1) 'Conditions of semiconductor manufacturing equipment Pressure in chamber: 1 Torr Temperature of inner wall surface of chamber: 130 ° C Shower head temperature: 150 ° C Susceptor temperature: 506 ° C (2)' Conditions of raw material gas at pretreatment No. One-step pressure: 1 Torr Source gas flow rate: WF 6 / NH 3 / Ar / N 2 = 1/500/500/500 (sccm) Processing time: 120 seconds Second step pressure: 1 Torr Source gas flow rate: WF 6 / NH 3 / Ar / N 2 = 50/500/500/500 (sccm) Processing time: 720 seconds

【0038】上記前処理を行った後、表面からポリシリ
コン膜(500オングストローム)/ゲート酸化膜(2
00オングストローム)の各膜を形成した半導体ウエハ
をチャンバー内のサセプタ上に載置した後、WF6ガスを
用いて下記の条件で半導体ウエハのポリシリコン膜の表
面処理を行った後、下記の条件で成膜処理を行ってポリ
シリコン膜上にWNX膜を形成した。 [表面処理] (1)半導体製造装置の条件 チャンバー内の圧力 :0.3Torr チャンバーの内壁面の温度:170℃ シャワーヘッドの温度 :170℃ サセプタの温度 :506℃ 半導体ウエハの表面温度 :450℃ (2)原料ガスの流量:WF6/NH3/Ar/N2=1.3/0/100/100(sc
cm) 処理時間:10秒間 [成膜処理] (1)半導体製造装置の条件:表面処理と同一条件 (2)原料ガス流量:WF6/NH3/Ar/N2=2/50/100/100(sccm) 処理時間:10秒間
After performing the above pretreatment, a polysilicon film (500 Å) / gate oxide film (2
After placing the semiconductor wafer on which the respective films (00 angstrom) were formed on the susceptor in the chamber, the surface treatment of the polysilicon film of the semiconductor wafer was performed using WF 6 gas under the following conditions. thereby forming a WN X film in the polysilicon film by performing a film forming process. [Surface treatment] (1) Conditions for semiconductor manufacturing equipment Pressure in chamber: 0.3 Torr Temperature of inner wall surface of chamber: 170 ° C Shower head temperature: 170 ° C Susceptor temperature: 506 ° C Surface temperature of semiconductor wafer: 450 ° C (2) Flow rate of source gas: WF 6 / NH 3 / Ar / N 2 = 1.3 / 0/100/100 (sc
(cm) Treatment time: 10 seconds [Film formation treatment] (1) Conditions for semiconductor manufacturing equipment: Same conditions as surface treatment (2) Source gas flow rate: WF 6 / NH 3 / Ar / N 2 = 2/50/100 / 100 (sccm) Processing time: 10 seconds

【0039】上記成膜処理を行った後、WNX膜のシート
抵抗を四探針法により測定したところ、185オーム/s
qであった。下地処理層を含む膜厚はSEM観察から2
50オングストロームであったので比抵抗値は462μ
Ωcmとなった。
[0039] After the above-mentioned film forming process, was measured by the four-probe method the sheet resistance of the WN X film, 185 ohm / s
q. From the SEM observation, the film thickness including the underlayer was 2
Since it was 50 Å, the specific resistance was 462 μm.
Ωcm.

【0040】次いで、通常のW−CVD法により成膜処
理を行ってWNX膜上に1000オングストロームのタン
グステン(W)膜を形成した。この時のW/WNX膜のシート
抵抗を四探針法により測定したところ、1.314オー
ム/sqという低いシート抵抗値が得られた。更に、膜剥
離の有無を知るために、W/WNX膜の耐熱性試験を行っ
た。即ち、半導体ウエハのW/WNX膜に対して900℃で
600秒間熱負荷を掛けて熱処理(rapid thermal anne
al:RTA)を行った。RTA後のシート抵抗値の上昇
の有無及びSIMS分析からバリア性を、また、RTA
後の膜剥がれの有無から密着性をそれぞれ評価した。R
TNによりWNX膜のバリア性が破壊されれば、Si原子がW
膜へ拡散し、その結果シート抵抗値が上昇し、SIMS
分析でもSi原子のW膜内への拡散あるいはW原子のポリシ
リコン膜への拡散が観測されるはずである。また、W/WN
X/ポリシリコン膜のいずれかの界面における密着性が不
十分であれば、RTAにより膜剥離が発生するはずであ
る。ところが、本実施例で得られた半導体ウエハを上述
のように評価した結果、RTA後のシート抵抗値は1.
111Ω/sqとなり、シート抵抗値が低下しており、背
面SIMSによるW原子のポリシリコン膜への拡散及び
膜剥離が認められなかった。シート抵抗値が低下したの
はSi原子への拡散がなく、しかもタングステンの結晶粒
が大粒径化したためと考えられる。
[0040] Then, to form a 1000 Å tungsten (W) film on WN X film by performing a film forming process by a conventional W-CVD method. The sheet resistance of this time the W / WN X film was measured by four-probe method, a low sheet resistance value of 1.314 ohms / sq was obtained. Furthermore, in order to know the presence or absence of film separation was subjected to a heat resistance test of W / WN X film. That is, a thermal load is applied to the W / WN X film of the semiconductor wafer at 900 ° C. for 600 seconds (rapid thermal anneal).
al: RTA) was performed. Barrier properties were determined from the presence or absence of an increase in sheet resistance after RTA and SIMS analysis.
The adhesion was evaluated from the presence or absence of later film peeling. R
If it is destroyed barrier of WN X film by TN, Si atoms W
Diffuses into the film, resulting in increased sheet resistance and SIMS
In the analysis, diffusion of Si atoms into the W film or diffusion of W atoms into the polysilicon film should be observed. Also, W / WN
If the adhesion at any interface of the X / polysilicon film is not sufficient, RTA will cause film peeling. However, as a result of evaluating the semiconductor wafer obtained in this example as described above, the sheet resistance after RTA was 1.
The sheet resistance was 111 Ω / sq, and the sheet resistance was low. Diffusion of W atoms into the polysilicon film and peeling of the film by the backside SIMS were not observed. It is considered that the reason why the sheet resistance was lowered was that there was no diffusion into Si atoms, and that the tungsten crystal grains had a large grain size.

【0041】このように本実施例によれば、W/WNX/ポリ
シリコン膜は、バリア性、密着性に問題がなく、しか
も、熱処理によりW膜でのタングステンの結晶構造が大
粒径化して更に低抵抗化しており、表面処理を施した場
合のW/WNX/ポリシリコン膜はゲート電極構造として非常
に優れた膜構造であることが判った。また、WF6ガスと
ポリシリコン膜の反応層の抵抗が低く、ポリシリコン膜
上に形成されるWNX膜が500オングストローム以下の
薄膜になると、この反応層が電流のパスとして寄与し、
WNX膜の見掛けの比抵抗が低くなることが判った。著し
い場合には成膜前にWF6ガスを接触させない場合の1/1
0にまで低減することがあり、成膜前にWF6ガスを接触
させる表面処理はゲート全体の抵抗を下げる上にも好適
であることが判った。
As described above, according to the present embodiment, the W / WN X / polysilicon film has no problem in the barrier properties and adhesion, and the heat treatment increases the crystal structure of tungsten in the W film. and further reducing the resistance of Te, W / WN X / polysilicon film when subjected to a surface treatment was found to be a very good film structure as the gate electrode structure. Further, WF 6 gas and the resistance of the reaction layer of the polysilicon film is low, when the WN X film formed on the polysilicon film is less thin 500 Å, the reaction layer contributes as a path of current,
The specific resistance of the apparent WN X film was found to be lower. In case of extreme, 1/1 of the case where WF 6 gas is not contacted before film formation
In some cases, the surface treatment of contacting WF 6 gas before film formation is suitable for lowering the resistance of the entire gate.

【0042】次いで、上記各条件で半導体ウエハを所定
枚数の成膜処理を行った後、サセプタの温度を300
℃、チャンバーの内壁面及びシャワーヘッドの温度を1
00℃に保持し、以下の条件でClF3ガス及びArガスをク
リーニングガスとして同時にチャンバー内へ供給し、Cl
F3ガスでチャンバー内のクリーニングを行った。クリー
ニング終了後、サセプタ、チャンバー及びシャワーヘッ
ドの加熱を止め、常温に戻した後、チャンバーを開放
し、内部を目視観察した結果、サセプタ、チャンバー及
びシャワーヘッドの全てが成膜前と同様に清浄な表面で
あることが観察され、チャンバー内がクリーニングガス
で十分に清浄になることが判った。 [クリーニング条件] (1)チャンバー内の圧力 :1Torr (2)クリーニングガスの流量:ClF3/Ar=500/50(sccm) (3)クリーニング時間 :600秒間
Then, after a predetermined number of semiconductor wafers are formed under the above conditions, the temperature of the susceptor is raised to 300 ° C.
℃, the temperature of the inner wall of the chamber and the showerhead
Hold at 00 ° C. and simultaneously supply ClF 3 gas and Ar gas as cleaning gas into the chamber under the following conditions,
Cleaning was carried out in the chamber in F 3 gas. After cleaning, heating of the susceptor, the chamber and the shower head was stopped, and after returning to room temperature, the chamber was opened and the inside was visually observed.As a result, all of the susceptor, the chamber and the shower head were as clean as before the film formation. The surface was observed, and it was found that the inside of the chamber was sufficiently cleaned with the cleaning gas. [Cleaning conditions] (1) Pressure in chamber: 1 Torr (2) Flow rate of cleaning gas: ClF 3 / Ar = 500/50 (sccm) (3) Cleaning time: 600 seconds

【0043】上記クリーニング条件としては次のように
設定しても良い。 (1)チャンバー内の圧力 :3Torr (2)クリーニングガスの流量:ClF3/Ar=300/50(sccm) (3)クリーニング時間 :900秒間
The cleaning conditions may be set as follows. (1) Pressure in chamber: 3 Torr (2) Flow rate of cleaning gas: ClF 3 / Ar = 300/50 (sccm) (3) Cleaning time: 900 seconds

【0044】実施例2 本実施例では、予めポリシリコン膜が形成された半導体
ウエハに対して実施例1と同一条件で成膜処理を施して
W/WNX/ポリシリコン膜を形成した。更に、連続して実施
例1と同一条件で表面処理及び成膜処理を施し、W膜上
にWNX膜を形成し、WNX/W/WNX/ポリシリコン膜を形成し
た。その後、アニール炉内で850℃、60分の熱処理
を半導体ウエハに施した結果、W膜上にWNX膜を形成する
ことにより耐酸化性が著しく上昇していることが判っ
た。即ち、入炉温度が500℃の場合には、最上層にWN
X膜のない、WNX/W/WNX/ポリシリコン膜はW膜の酸化が発
生したが、本実施例のWNX/W/WNX/ポリシリコン膜はWNX
膜が保護膜となってW膜の酸化を防止できることが判っ
た。従って、本実施例によれば、WNX膜をW膜の保護膜と
して利用することによりゲート電極の耐酸化性を高める
ことができるため、熱処理時の入炉温度の引き上げ、炉
内の酸素濃度管理を簡素化し、熱処理工程の効率を高め
ることができる。
Embodiment 2 In this embodiment, a semiconductor wafer on which a polysilicon film is formed in advance is subjected to a film forming process under the same conditions as in Embodiment 1.
W / WN X / polysilicon film was formed. Further, the surface treatment and the film forming process under the same conditions as in Example 1 continuously, the WN X film is formed on the W film was formed WN X / W / WN X / polysilicon film. Thereafter, 850 ° C. in an annealing furnace, as a result of heat-treated at 60 minutes to the semiconductor wafer, it was found that oxidation resistance is remarkably increased by forming a WN X film on the W film. That is, when the furnace temperature is 500 ° C, WN
No X film, WN X / W / WN X / polysilicon film oxide of W film occurs but, WN X / W / WN X / polysilicon film of this example WN X
It was found that the film could serve as a protective film to prevent oxidation of the W film. Therefore, according to the present embodiment, the oxidation resistance of the gate electrode can be increased by using the WN X film as a protective film of the W film. Management can be simplified and the efficiency of the heat treatment process can be increased.

【0045】実施例3 本実施例では下記の表1に示すようにサセプタの温度を
456℃、半導体ウエハの温度に換算すると400℃に
設定した以外は実施例1と同一条件でポリシリコン膜上
にWNX膜及びW膜を形成し、これを試料NO.1として表1
に示した。そして、公知の測定方法によりポリシリコン
膜に対するWNX膜の密着強度を測定し、その結果を表1
に示した。また、試料NO.1のRTA(900℃、60
秒)後、膜剥離の有無を観察し、その結果を表1に示し
た。
Embodiment 3 In this embodiment, as shown in Table 1 below, the temperature of the susceptor was set to 456 ° C. and the temperature of the semiconductor wafer was set to 400 ° C., except that the temperature of the susceptor was set to 400 ° C. the WN X film and the W film is formed, Table 1 as a sample NO.1
It was shown to. Then, the adhesion strength of the WN X film to a polysilicon film was measured by a known measuring method, Table 1 and the results
It was shown to. The RTA of sample No. 1 (900 ° C., 60
Seconds) later, the presence or absence of film peeling was observed, and the results are shown in Table 1.

【0046】比較例3−1 本比較例では、WF6による表面処理を省略した以外は実
施例3と同一条件でWNX膜及びW膜を形成し、これを試料
NO.2として表1に示した。そして、実施例3と同一の
試験及び観察を行い、それぞれの結果を表1に示した。
[0046] In Comparative Example 3-1 In this comparative example, except for omitting the surface treatment with WF 6 form a WN X film and the W film under the same conditions as in Example 3, this sample
This is shown in Table 1 as No. 2. Then, the same tests and observations as in Example 3 were performed, and the results are shown in Table 1.

【0047】[0047]

【表1】 [Table 1]

【0048】表1の結果からも明らかなように、WF6
よる表面処理を省略した比較例3−1の試料NO.2ではW
/WNX/ポリシリコン膜の密着強度が実施例3の試料NO.1
と比較して格段に低く、しかも、試料NO.2ではRTA
後の半導体ウエハで膜剥離が発生していることが判る。
As is clear from the results in Table 1, the sample No. 2 of Comparative Example 3-1 in which the surface treatment with WF 6 was omitted had a W
Sample No. 1 of Example 3 with adhesion strength of / WN X / polysilicon film
RTA is much lower than that of
It can be seen that film peeling has occurred in the later semiconductor wafer.

【0049】実施例4 本実施例ではWNX膜の成膜処理時間を20秒間とした以
外は実施例3と同一条件で半導体ウエハのポリシリコン
膜上に表面処理、WNX膜の成膜処理及びW膜の成膜処理を
行った後、膜剥離の有無を観察し、下記の表2に試料N
O.3として示した。
[0049] EXAMPLE surface treatment on the polysilicon film of a semiconductor wafer under the same conditions as in Example 3 except for using 20 seconds deposition processing time WN X film in four embodiments, the film forming process of the WN X film After performing the film-forming process of W and W films, the presence or absence of film peeling was observed.
O.3.

【0050】比較例4−1 本比較例では、半導体ウエハの温度及びWNX膜の成膜処
理時間を下記表2に示すように変えた以外は比較例3−
1と同一条件でポリシリコン膜上にWNX膜及びW膜を順次
形成し、次いで比較例3−1と同一の熱処理を行った
後、膜剥離の有無を観察し、これらの結果を表2に試料
NO.4〜試料NO.7として示した。
[0050] In Comparative Example 4-1 In this comparative example, except for changing the film formation processing time of temperature and WN X film of a semiconductor wafer as shown in the following Table 2 Comparative Example 3
Sequentially forming a WN X film and the W film on the polysilicon film 1 and the same condition, then after the same heat treatment as in Comparative Example 3-1, to observe the presence or absence of film peeling, Table 2 these results Sample
No. 4 to Sample No. 7 are shown.

【0051】[0051]

【表2】 [Table 2]

【0052】表2の結果からも明らかなように、WF6
よる表面処理を省略した比較例4−1の試料NO.4〜試
料NO.7では膜剥離が発生したが、実施例4の試料NO.3
では膜剥離が認められなかった。
As is clear from the results shown in Table 2, film peeling occurred in Samples No. 4 to No. 7 of Comparative Example 4-1 in which the surface treatment with WF 6 was omitted. NO.3
No film peeling was observed.

【0053】実施例5 本実施例では、含有フッ素濃度の低い、ポリメタルゲー
ト電極用WNX層の成膜条件を検証するため、実施例5−
1〜実施例5−4のように条件を変えてWNX膜を形成し
た。
[0053] Example 5 In this example, to validate low-containing fluorine concentration, the conditions for forming the poly-metal gate electrode WN X layer, Example 5
Thereby forming a WN X film under different conditions as in 1 to Example 5-4.

【0054】実施例5−1 本実施例では、表面にゲート酸化膜(50オングストロ
ーム)、ポリシリコン膜(500オングストローム)の
各膜を順次形成した半導体ウエハをチャンバ内のサセプ
タ上に載置した後、下記条件で成膜処理を行ってポリシ
リコン膜上に約200オングストローム膜厚のWNX膜を
形成した。このWNX膜に含まれるフッ素の量をSIMS
にて深さ方向に分析した結果、界面の影響の少ない、厚
み中央付近で、2.0×1019atoms/cm3という低い
値を得た。尚、以下の実施例、比較例でのサンプルの濃
度測定も本実施例の場合と同様に厚み中央付近で行っ
た。 [成膜処理] (1)半導体製造装置の条件 チャンバー内の圧力 :3Torr 半導体ウエハの表面温度 :600℃ (2)原料ガスの流量:WF6/NH3/Ar/N2=0.5/500/2000/200
0(sccm) WF6ガスの濃度:0.01vol% 但し、Arガス、N2ガスはそれぞれWF6ガスライン、NH3
スラインのキャリアガスとして用いた
Embodiment 5-1 In this embodiment, a semiconductor wafer having a gate oxide film (50 angstroms) and a polysilicon film (500 angstroms) sequentially formed on a surface is placed on a susceptor in a chamber. , a film forming process to form an approximately 200 angstrom film thickness of the WN X film on the polysilicon film by performing the following conditions. SIMS the amount of fluorine contained in the WN X film
As a result of analysis in the depth direction, a value as low as 2.0 × 10 19 atoms / cm 3 was obtained near the center of the thickness with little influence of the interface. The measurement of the concentration of the samples in the following Examples and Comparative Examples was also performed near the center of the thickness in the same manner as in the present Example. [Film formation process] (1) Conditions for semiconductor manufacturing equipment Pressure in chamber: 3 Torr Surface temperature of semiconductor wafer: 600 ° C. (2) Flow rate of source gas: WF 6 / NH 3 / Ar / N 2 = 0.5 / 500 / 2000/200
0 (sccm) Concentration of WF 6 gas: 0.01 vol% However, Ar gas and N 2 gas were used as carrier gas of WF 6 gas line and NH 3 gas line, respectively.

【0055】実施例5−2 本実施例では、実施例5−1と同様の条件でポリシリコ
ン膜上に100オングストローム膜厚のWNX膜を形成
し、引き続き1000オングストロームのW膜を形成し
てポリメタルゲート構造の積層膜を形成した。成膜直後
(as deposition、 asdepo と略称)のシート抵抗値は
1.34ohm/sqであった。また、900℃、600秒間、N2
ガス雰囲気中でのRTAを行うことによりシート抵抗値
は更に低下して1.03ohm/sqとなった。いずれの場合もゲ
ート電極として必要な低い抵抗値を十分満たした。ま
た、このRTA後の密着性、バリア性も、実施例1の場
合と同様の方法で評価を行った結果、実施例1の場合と
比べて遜色ないWNX膜が得られた。
[0055] In Example 5-2 In this example, Example 5-1 and forming a 100 Å film thickness of the WN X film on the polysilicon film under the same conditions, subsequently forming a 1000 Å W film A laminated film having a polymetal gate structure was formed. The sheet resistance immediately after film formation (as deposition, abbreviated as asdepo) is
1.34 ohm / sq. N 2 at 900 ° C. for 600 seconds.
By performing the RTA in the gas atmosphere, the sheet resistance further decreased to 1.03 ohm / sq. In each case, the low resistance required for the gate electrode was sufficiently satisfied. The adhesion after the RTA, also barrier properties, results of evaluation in the same manner as in Example 1, not inferior WN X film as compared with the case of Example 1 were obtained.

【0056】実施例5−3 本実施例では、実施例5−1に対して成膜処理時のWF6
の流量を少なくして0.1sccmに設定したところ、得ら
れたWNX膜のフッ素濃度は1.8×1019atoms/cm
3と、更に低くなった。尚、成膜時のWF6の濃度は0.0
02vol.%であった。
Embodiment 5-3 This embodiment is different from the embodiment 5-1 in that the WF 6 in the film forming process is different from that of the embodiment 5-1.
Where the flow rate is set to a smaller to 0.1 sccm, the fluorine concentration of the WN X film obtained is 1.8 × 10 19 atoms / cm
It was 3 and lower. The concentration of WF 6 at the time of film formation was 0.0
02 vol.%.

【0057】実施例5−4 本実施例では、実施例5−1に対して成膜処理時の半導
体ウエハの温度を高くして650℃に設定したところ、
得られたWNX膜のフッ素濃度は、1.5×1019atoms/
cm3と、更に低くなった。尚、成膜時のWF6の濃度は
0.01vol.%であった。
Embodiment 5-4 In this embodiment, the temperature of the semiconductor wafer during the film forming process is set to be 650 ° C. higher than that in Embodiment 5-1.
Fluorine concentration of the resulting WN X film, 1.5 × 10 19 atoms /
cm 3 . The concentration of WF 6 during the film formation was 0.01 vol.%.

【0058】比較例5−1 本比較例では、実施例5−1に対して成膜処理時の半導
体ウエハの温度を低くして450℃に設定したところ、
得られたWNX膜のフッ素濃度は8.0×1020atoms/c
3と、実施例5−1に比べて1桁以上も高くなった。
Comparative Example 5-1 In this comparative example, the temperature of the semiconductor wafer during the film forming process was set lower than that of Example 5-1 at 450 ° C.
Fluorine concentration of the resulting WN X film 8.0 × 10 20 atoms / c
m 3, which was higher by one digit or more than that of Example 5-1.

【0059】比較例5−2 本比較例では、実施例5−1に対して成膜処理時のAr/N
2の流量を共に少なくして100sccmにした場合、WF6
インのキャリアであるArのみを少なくして100sccmに
した場合、及びNH3ラインのキャリアであるN2ガスのみ
を少なくして100sccmにした場合、それぞれの場合に
得られたWNX膜のフッ素濃度は順に、3.7×1019ato
ms/cm3、2.8×1019atoms/cm3、3.4×10
19atoms/cm3と、実施例5−1に比べて高くなった。
この成膜時のWF6の濃度は0.02〜0.07vol.%で
あった。
Comparative Example 5-2 In this comparative example, the Ar / N ratio during the film forming process was different from that of Example 5-1.
When the flow rate of both was reduced to 100 sccm, when only Ar, which is the carrier of the WF 6 line, was reduced to 100 sccm, and when only the N 2 gas, which was the carrier of the NH 3 line, was reduced to 100 sccm, the flow was increased to 100 sccm. If, the fluorine concentration of the WN X film obtained in each case in turn, 3.7 × 10 19 ato
ms / cm 3 , 2.8 × 10 19 atoms / cm 3 , 3.4 × 10
19 atoms / cm 3 , which was higher than that in Example 5-1.
Concentration of WF 6 at the time of film formation was 0.02~0.07vol.%.

【0060】比較例5−3 本比較例では、実施例5−1に対して成膜処理時のWF6
の流量を多くして2sccmに設定したところ、得られたWN
X膜のフッ素濃度は3.0×1019atoms/cm3と、実施
例5−1に比べて高くなった。この成膜時のWF6の濃度
は0.04vol.%であった。
Comparative Example 5-3 In this comparative example, the WF 6 during the film forming process was different from that of Example 5-1.
Was increased to 2 sccm and the obtained WN
The fluorine concentration of the X film was 3.0 × 10 19 atoms / cm 3 , which was higher than that of Example 5-1. Concentration of WF 6 at the time of film formation was 0.04vol.%.

【0061】比較例5−4 本比較例では、実施例5−1に対して成膜処理時の圧力
を低くして0.3Torrに設定したところ、得られたWNX
膜のフッ素濃度は1.1×1020atoms/cm3と、実施
例5−1に比べて高くなった。
[0061] In Comparative Example 5-4 In this comparative example, was set to 0.3Torr by lowering the pressure in the film forming process with respect to Examples 5-1, the obtained WN X
The fluorine concentration of the film was 1.1 × 10 20 atoms / cm 3 , which was higher than that in Example 5-1.

【0062】以上の本実施例及び比較例による検証から
フッ素濃度の低いWNX膜を得るための、好ましい成膜条
件は、温度が600〜650℃、圧力が約3Torrである
ことが判った。この条件であれば、成膜時のWF6の濃度
に関して広範囲でフッ素濃度の低いWNX膜が得られる。
また、WF6の全ガスに対する流量比は0.002〜0.
07vol.%の範囲が適当と考えられ、好ましくは、0.
002〜0.01vol.%の範囲であることが判った。
[0062] to obtain a more low WN X film having a fluorine concentration of verification by the Examples and Comparative Examples, the preferred deposition conditions are a temperature of 600 to 650 ° C., it was found that a pressure of about 3 Torr. If this condition, a low fluorine concentration WN X film is obtained in a wide range with respect to the concentration of WF 6 at the time of film formation.
The flow ratio of WF 6 to all gases is 0.002 to 0.5.
A range of 0.7 vol.% Is considered appropriate,
It was found to be in the range of 002 to 0.01 vol.%.

【0063】実施例6 本実施例では、被覆性と密着性を両立した、金属層/絶
縁層/金属層構造のキャパシタの下部電極用WNX層の成膜
条件を検証するため、実施例6−1〜実施例6−4のよ
うに条件を変えてWNX膜を形成した。
[0063] EXAMPLE 6 In this example, has both the adhesiveness and coatability, to verify the film formation conditions of the metal layer / insulating layer / metal layer lower electrode WN X layer of the capacitor structure, Example 6 thereby forming a WN X film under different conditions as in 1 through example 6-4.

【0064】実施例6−1 酸化膜を用いて直径0.25μm、深さ0.7μmのホ
ール形状を表面に形成した半導体ウエハをチャンバ内の
サセプタ上に載置した後、下記条件で、ホール間の平坦
部膜厚が約300オングストロームになるよう成膜処理
を行い、WNX膜の被覆性(カバレージ)と、密着性を評
価した。また、予め、PVD法で形成したTiN/Ti/低抵
抗Si構造を有する半導体ウエハを同じくチャンバ内のサ
セプタ上に載置した後、下記条件で約300オングスト
ロームのWNX膜の成膜処理を行って下部電極層とし、そ
の後、容量絶縁膜として9〜10nmのTa2O5膜をCV
D法で形成し、更に上部電極層として、PVD−TiN膜
を成膜し、上部電極層のみを電極構造にパターン加工し
てウエハ裏面との間でキャパシタ構造を形成し、上部電
極に正バイアス、負バイアスを印加してキャパシタ容量
及びリーク量をそれぞれ測定した。このWNX膜の被覆性
をSEMを用いて評価したところ、ボトムカバレッジ
(ホールボトム膜厚/平坦部膜厚)として約70%を得
た。また、成膜後及びRTA(650℃のN2ガス雰囲気
中で60秒間)後にテープ試験によりそれぞれの密着性
の評価を行ったところ、いずれの場合にも剥れは発生し
なかった。また、電気的測定による酸化膜換算実効膜厚
Teffが1.2nmで、リーク電流密度が1×10-8
(アンペア)/cm2となる電圧として±0.7Vを得
た。 [成膜処理] (1)半導体製造装置の条件 チャンバー内の圧力 :1Torr 半導体ウエハの表面温度 :450℃ (2)原料ガス流量:WF6/NH3/Ar/N2=20/500/500/500(scc
m) NH3ガスとWF6ガスの流量比(NH3/WF6)=25 WF6ガスの濃度:1.3vol% 但し、Arガス、N2ガスはそれぞれWF6ガスライン、NH3
ガスラインのキャリアガスとして用いた。
Example 6-1 A semiconductor wafer having a hole having a diameter of 0.25 μm and a depth of 0.7 μm formed on the surface thereof using an oxide film was placed on a susceptor in a chamber, and then the hole was formed under the following conditions. perform a film forming process so that the flat portion thickness of about 300 angstroms between the coating of the WN X film and (coverage) to evaluate the adhesion. Also, performed in advance, after mounting the same on the susceptor in a chamber of a semiconductor wafer having the formed TiN / Ti / low resistance Si structure PVD method, a film forming process of the WN X film of about 300 angstroms by the following conditions 9 to 10 nm Ta 2 O 5 film as a capacitance insulating film
D method, furthermore, a PVD-TiN film is formed as an upper electrode layer, only the upper electrode layer is patterned into an electrode structure to form a capacitor structure with the back surface of the wafer, and a positive bias is applied to the upper electrode. , And a negative bias was applied to measure the capacitance of the capacitor and the amount of leakage, respectively. Evaluation of the coverage of the WN X film using the SEM, give about 70% as a bottom coverage (Hall bottom thickness / flat portion thickness). Further, the adhesion was evaluated by a tape test after film formation and after RTA (in an N 2 gas atmosphere at 650 ° C. for 60 seconds), and no peeling occurred in any case. Further, the oxide film equivalent effective film thickness Teff by electric measurement is 1.2 nm, and the leak current density is 1 × 10 −8 A
± 0.7 V was obtained as a voltage that resulted in (Amperes) / cm 2 . [Deposition process] (1) Conditions of semiconductor manufacturing equipment Pressure in chamber: 1 Torr Surface temperature of semiconductor wafer: 450 ° C (2) Flow rate of source gas: WF 6 / NH 3 / Ar / N 2 = 20/500/500 / 500 (scc
m) Flow rate ratio of NH 3 gas and WF 6 gas (NH 3 / WF 6 ) = 25 Concentration of WF 6 gas: 1.3 vol% However, Ar gas and N 2 gas are WF 6 gas line and NH 3 gas, respectively.
Used as carrier gas for gas line.

【0065】実施例6−2 本実施例では、実施例6−1に対して成膜処理時のWF6
の流量を多くして50sccmに設定したところ、ボトムカ
バレッジが実施例6−1の場合より向上して略100%
になった。密着性及び電気的特性は、実施例6−1と同
等に良好であった。この時NH3/WF6流量比は10、成膜
時のWF6の濃度は約3.2vol.%であった。
[0065] In Example 6-2 In this example, during the deposition process with respect to Example 6-1 WF 6
When the flow rate was increased to 50 sccm, the bottom coverage was improved to about 100% compared to the case of Example 6-1.
Became. Adhesion and electrical properties were as good as Example 6-1. At this time, the flow ratio of NH 3 / WF 6 was 10, and the concentration of WF 6 during film formation was about 3.2 vol.%.

【0066】実施例6−3 本実施例では、実施例6−1に対して成膜時の条件を以
下のように変更し、実施例6−1の場合と同様に、約3
00オングストローム膜厚のWNX膜の成膜処理を行って
下部電極層とし、被覆性、密着性、電気的特性の評価を
行った。このWNX膜の被覆性をSEMを用いて評価した
ところ、略100%のボトムカバレッジ(ボトム膜厚/
平坦部膜厚)を得た。また、成膜後及びRTA(750
℃のN2ガス雰囲気下で60秒間)の後にテープ試験によ
り密着性の評価を行ったところ、いずれの場合にもWNX
膜の剥れは発生しなかった。また、電気的測定により、
酸化膜換算実効膜厚Teffが1.3nmで、リーク電流
が1×10-8A(アンペア)/cm2となる電圧として±
0.7Vを得た。 [成膜処理] (1)半導体製造装置の条件 チャンバー内の圧力 :3Torr 半導体ウエハの表面温度 :400℃ (2)原料ガス流量:WF6/NH3/Ar/N2=100/50/100/100(scc
m) NH3/WF6=0.5 WF6ガスの濃度:28.6vol% 但し、Arガス、N2ガスはそれぞれWF6ガスライン、NH3
スラインのキャリアガスとして用いた。
Embodiment 6-3 In this embodiment, the conditions at the time of film formation are changed as follows from the embodiment 6-1 and, as in the case of the embodiment 6-1;
A lower electrode layer by performing a 00 Angstrom film forming process of the WN X film thickness, coverage, adhesion, evaluation of electrical characteristics was performed. Evaluation of the coverage of the WN X film by SEM, 100% Abbreviation bottom coverage (bottom thickness /
The thickness of the flat portion was obtained. Also, after film formation and RTA (750
(In a N 2 gas atmosphere at 60 ° C for 60 seconds), and the adhesion was evaluated by a tape test. In each case, WN X
No peeling of the film occurred. Also, by electrical measurement,
The voltage at which the effective oxide film equivalent thickness Teff is 1.3 nm and the leak current is 1 × 10 −8 A (ampere) / cm 2 is ±
0.7 V was obtained. [Film formation process] (1) Conditions for semiconductor manufacturing equipment Pressure in chamber: 3 Torr Surface temperature of semiconductor wafer: 400 ° C. (2) Flow rate of source gas: WF 6 / NH 3 / Ar / N 2 = 100/50/100 / 100 (scc
m) NH 3 / WF 6 = 0.5 Concentration of WF 6 gas: 28.6 vol% However, Ar gas and N 2 gas were used as carrier gas of WF 6 gas line and NH 3 gas line, respectively.

【0067】実施例6−4 本実施例では、実施例6−1に対してウエハの温度を3
00℃、チャンバー内の圧力を0.5Torr、 膜厚を約
500オングストロームとし、他の条件は実施例6−1
の場合と同様に成膜処理を行った。そして、このWNX
の被覆性をSEMを用いて評価したところ、略100%
のボトムカバレッジ(ボトム膜厚/平坦部膜厚)を得
た。また、成膜後及びRTA(650℃のN2ガス雰囲気
下で60秒間)の後にテープ試験により密着性の評価を
行ったところ、いずれの場合にもWNX膜の剥れは発生し
なかった。また、電気的測定による酸化膜換算実効膜厚
Teffが1.3nmで、リーク電流密度が1×10-8
(アンペア)/cm2となる電圧として±0.7Vを得
た。
Embodiment 6-4 In this embodiment, the temperature of the wafer is set to 3 as compared with the embodiment 6-1.
The temperature was set to 00 ° C., the pressure in the chamber was set to 0.5 Torr, and the film thickness was set to about 500 Å.
The film forming process was performed in the same manner as in the above case. Then, it was evaluated coverage of the WN X film by SEM, substantially 100%
Bottom coverage (bottom film thickness / flat portion film thickness) was obtained. Also it was evaluated in the adhesion by tape test after the film formation and after RTA (60 seconds under N 2 gas atmosphere at 650 ° C.), the peeling of the WN X film in each case did not occur . Further, the oxide film-equivalent effective film thickness Teff by electric measurement is 1.3 nm, and the leak current density is 1 × 10 −8 A.
± 0.7 V was obtained as a voltage that resulted in (Amperes) / cm 2 .

【0068】比較例6−1 本比較例では、実施例6−1に対して成膜時のWF6の流
量を少なくして2sccmに設定して、NH3/WF6流量比を2
50と大きく設定したところ、WNX膜のボトムカバレッ
ジが約15%に低下した。この場合、成膜時のWF6の濃
度は0.13vol.%であった。
Comparative Example 6-1 In this comparative example, the flow rate of WF 6 during film formation was set to 2 sccm, and the NH 3 / WF 6 flow rate ratio was set to 2 sccm.
Was set as large as 50, the bottom coverage of the WN X film was reduced to approximately 15%. In this case, the concentration of WF 6 during the film formation was 0.13vol.%.

【0069】比較例6−2 本比較例では、実施例6−3に対してWNX膜厚を厚くし
て3000オングストローム膜厚になるように成膜した
ところ、WNX膜の被覆性は実施例6−3の場合と同等で
あったが、成膜後のテープ試験ではWNX膜の剥れが発生
した。この場合、成膜時のWF6の濃度は28.6vol.%
である。NH3/WF6流量比は0.5であった。
[0069] In Comparative Example 6-2 In this comparative example, was deposited to 3000 Å thickness by increasing the WN X film thickness for Examples 6-3, the coverage of the WN X film carried It was comparable to that of example 6-3, but peeling of the WN X film occurred in the tape test after the film formation. In this case, the concentration of WF 6 at the time of film formation 28.6vol.%
It is. The NH 3 / WF 6 flow ratio was 0.5.

【0070】比較例6−3 本比較例では、実施例6−1に対して成膜時の半導体ウ
エハの温度を高くして600℃に設定したところ、ボト
ムカバレッジが約20%に低下した。この場合、成膜時
のWF6の濃度は1.3vol.%である。NH3/WF6流量比は2
5であった。
Comparative Example 6-3 In this comparative example, when the temperature of the semiconductor wafer during film formation was set higher than that of Example 6-1 and set at 600 ° C., the bottom coverage was reduced to about 20%. In this case, the concentration of WF 6 at the time of film formation was 1.3vol.%. NH 3 / WF 6 flow ratio is 2
It was 5.

【0071】比較例6−4 本比較例では、実施例6−1に対して成膜時のチャンバ
内の圧力を高くして10Torrに設定したところ、ボトム
カバレッジが約40%に低下した。この場合、成膜時の
WF6の濃度は1.3vol.%である。NH3/WF6流量比は25
であった。
Comparative Example 6-4 In this comparative example, when the pressure in the chamber at the time of film formation was set higher than that in Example 6-1 to 10 Torr, the bottom coverage was reduced to about 40%. In this case,
The concentration of WF 6 is 1.3 vol.%. NH 3 / WF 6 flow ratio is 25
Met.

【0072】以上の本実施例及び比較例による検証から
被覆性および密着性の観点から好ましい成膜条件は、圧
力が0.5Torr〜3Torr、基板温度が300〜450℃
であり、ガス組成としては、WF6の全ガスに対する流量
比が約1〜30vol.%、またはNH3/WF6流量比が0.5
〜25であることが判る。また、WNX膜厚は約500オ
ングストローム以下であることが好ましい。
From the above-mentioned verifications of the present example and comparative example, preferable film forming conditions from the viewpoints of coverage and adhesion are as follows.
, And the as the gas composition, flow rate ratio of about for all gases WF 6 1~30vol.%, Or NH 3 / WF 6 flow rate ratio is 0.5
-25. Further, it is preferable WN X film thickness is less than about 500 Angstroms.

【0073】ここで、被覆性及び密着性に優れたキャパ
シタの下部電極を成膜する条件について以下で更に考察
する。そのために、実際に0.35μm径で1.34μ
m深さのホールにいくつかの条件を設定して成膜した
後、その成膜状態をSEMで観察を行い、それぞれの結
果を図2に示した。同図から被覆性の良好な成膜は以下
の条件を満たす時に達成されることが判った。 成膜温度が低い(図2の△▲と□■を比較) NH3の流量が小さい WF6とNH3の流量比(NH3/WF6)が小さい 成膜圧力が低い(図2の0.3Torr、0.5Torrと3
Torrを比較) Arガス及びN2ガスの流量が少ない(図2の■のAr、N2
=100sccm、500sccm、1000sccmを比較)
Here, the conditions for forming the lower electrode of the capacitor having excellent coverage and adhesion will be further discussed below. Therefore, it is actually 1.34 μm with 0.35 μm diameter.
After forming a film in a hole having a depth of m under some conditions, the film formation state was observed with an SEM, and the results are shown in FIG. From the figure, it was found that film formation with good coverage was achieved when the following conditions were satisfied. Low deposition temperature (compare ▲ and □ in FIG. 2) Low NH 3 flow rate Low WF 6 and NH 3 flow rate ratio (NH 3 / WF 6 ) Low deposition pressure (0 in FIG. 2) .3 Torr, 0.5 Torr and 3
Torr) The flow rates of Ar gas and N 2 gas are small (Ar, N 2
= Compare 100sccm, 500sccm, 1000sccm)

【0074】更に、上記〜の被覆性の条件について
はアレニウスプロット(図3の(a)、(b)及び図4
の(a)、(b))及びサチュレーションカーブ(図5
の(a)〜(c))からも考察することができる。更
に、密着性についてはテープを用いた剥がれの有無によ
って考察することができる。
Further, regarding the conditions of the above-mentioned (1), Arrhenius plots ((a) and (b) in FIG. 3 and FIG.
(A) and (b)) and a saturation curve (FIG. 5)
(A) to (c)) can be considered. Further, the adhesion can be considered by the presence or absence of peeling using a tape.

【0075】即ち、アレニウスプロット及びサチュレー
ションカーブでは成膜特性を知ることができる。アレニ
ウスプロットからは成膜条件が原料ガスの供給律速の範
囲にあるものか原料ガスの反応律速の範囲にあるものか
が判る。一般的には反応律速の範囲にある方が被覆性に
優れていると云われている。また、サチュレーションカ
ーブからは原料ガス例えばWF6ガスと成膜速度の関係を
示すもので、ホールの中で底部に近づくほどWF6ガスの
存在量が少なくなると仮定すれば、サチュレーションカ
ーブにおいてWF6ガスの流量が変化しても成膜速度は変
化しない範囲で良好な被覆性が得られる。
That is, the film formation characteristics can be known from the Arrhenius plot and the saturation curve. From the Arrhenius plot, it can be determined whether the film forming conditions are in the range of the source gas supply rate-determining range or the source gas reaction-limiting range. In general, it is said that the better the coating is, the better the reaction rate is. Also, shows the relationship between the raw material gas, such as WF 6 gas and the deposition rate is from saturation curves, assuming the presence of about WF 6 gas closer to the bottom in the hole is reduced, WF 6 gas in the saturation curve Good coverage is obtained in a range where the film forming rate does not change even if the flow rate of the film changes.

【0076】被覆性と成膜温度の関係について 本発明方法において、NH3の流量、WF6とNH3の流量比(N
H3/WF6)、成膜圧力をサブパラメータとして変化させて
得られた図3の(a)、(b)及び図4の(a)、
(b)に示すアレニウスプロットではいずれの場合にお
いても成膜温度が高くなるとプロットの傾きが小さくな
り、供給律速に移行していることが判る。このことから
成膜温度が低い範囲で良好な被覆性が得られることが判
る。
[0076] In the present invention a method the relationship between coverage and deposition temperature, the flow rate of NH 3, the flow ratio of WF 6 and NH 3 (N
H 3 / WF 6 ), (a) and (b) of FIG. 3 and (a) of FIG.
In any of the Arrhenius plots shown in (b), it can be seen that the slope of the plot becomes smaller as the film formation temperature increases, and the supply rate is controlled. This indicates that good coating properties can be obtained in a range where the film formation temperature is low.

【0077】被覆性とNH3ガスの流量の関係について NH3ガスの流量の影響を比較した図3の(a)に示すア
レニウスプロットによれば、NH3ガスの流量が多くなる
とプロットの傾きが小さくなり、供給律速に移行してい
ることが判る。換言すれば、NH3ガスの流量が低い範囲
で良好な被覆性が得られることが判る。また、図5の
(a)に示すサチュレーションカーブによれば、特にWF
6ガスの少ない領域を用いるならばNH3ガスの流量が低い
ほどWF6ガスの変化に対する成膜速度の変化率が小さい
ことも良好な被覆性を示す傍証になっていることが判
る。
[0077] According to the Arrhenius plot shown in FIG. 3 (a) comparing the effect of the flow rate of NH 3 gas relationship of the flow rate of coverage and NH 3 gas, the inclination of the plot when the flow rate of the NH 3 gas is increased It can be seen that it has become smaller and has shifted to supply-limiting. In other words, it can be seen that good coverage is obtained in a range where the flow rate of the NH 3 gas is low. In addition, according to the saturation curve shown in FIG.
If a region with a small amount of 6 gases is used, it can be seen that the lower the flow rate of the NH 3 gas, the smaller the rate of change of the film forming rate with respect to the change of the WF 6 gas, which is a proof that good coverage is obtained.

【0078】被覆性とWF6とNH3の流量比(NH3/WF6
の関係について 流量比NH3/WF6の影響を比較した図3の(b)に示すア
レニウスプロットによれば、流量比が大きくなるとプロ
ットの傾きが小さくなり、より低温から供給律速に移行
していることが判る。このことから流量比の小さい範囲
で良好な被覆性を得られることが判る。
Covering property and flow ratio of WF 6 to NH 3 (NH 3 / WF 6 )
According to the Arrhenius plot shown in FIG. 3B in which the effect of the flow ratio NH 3 / WF 6 is compared, the slope of the plot becomes smaller as the flow ratio becomes larger, and the transition from lower temperature to supply rate-limiting occurs. It turns out that there is. This indicates that good coverage can be obtained in a range where the flow rate ratio is small.

【0079】被覆性と圧力の関係について 成膜圧力の影響を比較した図4の(a)、(b)によれ
ば、成膜圧力が高いほどプロットの傾きが小さいか、よ
り低温から供給律速に移行することが判る。このことか
ら成膜圧力が低い範囲で良好な被覆性が得られることが
判る。また、図5の(b)に示すサチュレーションカー
ブによれば、特にWF6ガスの少ない領域を用いるならば
成膜圧力が低い方ほどWF6ガスの変化に対する成膜速度
の変化率が小さいことも良好な被覆性を示す傍証になっ
ていることが判る。
Relationship between Covering Property and Pressure According to FIGS. 4A and 4B in which the influence of the film forming pressure is compared, the higher the film forming pressure, the smaller the slope of the plot, or the lower the supply rate from the lower temperature. It turns out that it shifts to. This indicates that good coverage can be obtained in a range where the film formation pressure is low. According to the saturation curve shown in FIG. 5B, the rate of change of the film forming rate with respect to the change of the WF 6 gas is smaller as the film forming pressure is lower, especially when a region with a small amount of the WF 6 gas is used. It can be seen that the testimony indicates good coverage.

【0080】被覆性とキャリアガスであるArガス及び
N2ガスの流量の関係について この関係はアレニウスプロットでは判らず、図5の
(c)に示すサチュレーションカーブに頼らざるを得な
いが、同図に示すサチュレーションカーブによれば、Ar
ガス及びN2ガスの流量が低い方が、カーブ全体に渡って
WF6ガスの変化に対する成膜速度の変化率が小さくなっ
ている。このことからArガス及びN2ガスの流量が低い範
囲で良好な被覆性を示すことが判る。
Ar gas which is a coating gas and a carrier gas and
Regarding the relationship between the flow rates of N 2 gas This relationship cannot be understood from the Arrhenius plot, and it is necessary to rely on the saturation curve shown in FIG. 5C.
Flow rate of the gas and N 2 gas having the lower, throughout the curve
The rate of change of the deposition rate with respect to the change of the WF 6 gas is small. From this, it can be seen that good coverage is exhibited in a range where the flow rates of the Ar gas and the N 2 gas are low.

【0081】また、下部電極の密着性を調べるテープ試
験の結果は下記表3、表4に示した。表3は成膜後の結
果を示し、表4はアニール後の結果を示している。表3
及び表4に示す結果によれば、密着性の良好な成膜条件
は、成膜温度が高い、NH3/WF6比が大きい、 NH3
が多い、成膜圧力が高い、及びArガス及びN2ガスが多
い、ことであることが判った。尚、表3のウエハ温度の
欄において、Pは膜の剥がれ有り、NPは膜の剥がれ無
しを意味し、また、数値は膜厚(単位:オングストロー
ム)を意味する。
The results of a tape test for examining the adhesion of the lower electrode are shown in Tables 3 and 4 below. Table 3 shows the results after film formation, and Table 4 shows the results after annealing. Table 3
And according to the results shown in Table 4, good film formation condition of the adhesion, film-forming temperature is high, a large NH 3 / WF 6 ratio, NH 3
, The film formation pressure was high, and the amount of Ar gas and N 2 gas was large. In the column of wafer temperature in Table 3, P means that the film has peeled off, NP means that the film has not peeled off, and the numerical value means the film thickness (unit: angstrom).

【0082】[0082]

【表3】 [Table 3]

【0083】[0083]

【表4】 [Table 4]

【0084】更に、密着性の良好な理由は結晶性と窒素
原子とタングステン原子の組成比(N/W)から説明する
ことができる。即ち、図6に示すようにアニールにより
WNX膜のW2N(111)面の半価幅FWHMが増大し、XPS測
定される膜の組成位比N/Wが減少している。その変化の
度合いは、WNX膜の成膜温度が低いほど大きい。またWNX
膜の成膜温度が低いほどas depoのFWHMも大きい。これ
ら一連の事実からアニールのメカニズムとして、アニー
ルによりWNX膜から窒素が放出されてWNX膜の結晶性が劣
化し更に劣化が進行すれば剥がれに至ること、また、こ
の劣化は低温で成膜するなどした元々結晶性の悪い膜に
おいてより顕著であることが推察できる。
Further, the reason why the adhesion is good can be explained by the crystallinity and the composition ratio (N / W) of nitrogen atoms and tungsten atoms. That is, as shown in FIG.
Half width FWHM of W2N (111) plane of the WN X film is increased, the composition position ratio N / W of a film XPS measurement is reduced. The degree of change is greater as the deposition temperature of the WN X film is low. Also WN X
The lower the film formation temperature, the greater the FWHM of the as depo. From these series of facts, as the annealing mechanism, nitrogen is released from the WN X film by annealing, and the crystallinity of the WN X film deteriorates, and if the deterioration further progresses, it will be peeled off. It can be guessed that it is more remarkable in a film originally having poor crystallinity.

【0085】実施例7 本実施例では、リーク量の少ない、金属層/絶縁層/金属
層構造のキャパシタの下部電極用のWNX層の成膜条件を
検証するため、実施例7−1〜実施例7−8のように条
件を変えてWNX膜を形成した。
[0085] In Example 7 This example, small leakage amount, to verify the film formation conditions of the WN X layer for the bottom electrode of the capacitor of the metal layer / insulating layer / metal layer structure, examples 7-1 thereby forming a WN X film under different conditions as in example 7-8.

【0086】実施例7−1 本実施例では、実施例6−2に対してWNX膜成膜中にSiH
4ガスを20sccmの流量で合わせて流通させ、更に、成
膜後のポストフローとして、Ar/N2/SiH4=100/100/20sc
cmの流量比で60秒間流通させたところ、被覆性、密着
性、及び電気的測定により求めた酸化膜換算実効膜厚は
いずれも実施例6−2の場合と同等であったが、リーク
量は改善され、リーク電流密度が1×10-8A(アンペ
ア)/cm2となる電圧として±0.9Vを得た。リーク
量測定時の電流電圧曲線(図示せず:以下同様)を比較
すると、実施例6−2に比べて、電圧を上げてもリーク
量が増加しない領域が広く、Ta2O5/WNX界面にショット
キーバリアが十分形成されていることが示された。電界
強度も5.38MV/cmから5.94MV/cm(@
1×10-8A(アンペア)/cm2)に改善された。
[0086] In Examples 7-1 This example, SiH in WN X film formation for Examples 6-2
4 gases were flowed together at a flow rate of 20 sccm, and further, as a post-flow after film formation, Ar / N 2 / SiH 4 = 100/100/20 sc
After flowing for 60 seconds at a flow rate ratio of cm, the coatability, adhesion, and effective oxide film thickness determined by electrical measurement were all the same as in Example 6-2, but the leakage amount was Was improved, and ± 0.9 V was obtained as a voltage at which the leakage current density became 1 × 10 −8 A (ampere) / cm 2 . Comparing the current-voltage curves (not shown; the same applies hereinafter) at the time of measuring the amount of leakage, the region where the amount of leakage does not increase even when the voltage is increased is wider than that of Example 6-2, and Ta 2 O 5 / WN X It was shown that the Schottky barrier was sufficiently formed at the interface. The electric field strength also ranges from 5.38 MV / cm to 5.94 MV / cm (@
1 × 10 −8 A (ampere) / cm 2 ).

【0087】実施例7−2 本実施例では、実施例6−2に対してWNX膜成膜後に、N
H3ガスが500sccmの流量で、プラズマパワー400W
(ワット)のNH3プラズマを120秒間照射し、Ta205
膜前のWNX表面を窒化させたところ、被覆性、密着性、
及び電気的測定により求めた酸化膜換算実効膜厚はいず
れも実施例6−2の場合と同等であったが、リーク量は
改善され、リーク電流密度が1×10-8A(アンペア)
/cm2となる電圧として±0.9Vを得た。リーク量測
定時の電流電圧曲線を比較すると、実施例6−2に比べ
て電圧を上げてもリーク量が増加しない領域が広く、Ta
2O5/WNX界面にショットキーバリアが十分形成されてい
ることが示された。
[0087] In Example 7-2 In this example, after WN X film formation relative to Example 6-2, N
H 3 gas with a flow rate of 500 sccm and plasma power of 400 W
When the NH 3 plasma (watts) irradiation for 120 seconds, was nitrided Ta 2 0 5 deposition before the WN X surface, coverage, adhesion,
And the equivalent oxide film thickness obtained by electrical measurement was the same as that of Example 6-2, but the leakage amount was improved and the leak current density was 1 × 10 −8 A (ampere).
± 0.9 V was obtained as a voltage to be / cm 2 . Comparing the current-voltage curves at the time of measuring the amount of leakage, the region where the amount of leakage does not increase even when the voltage is increased is wider than that of Example 6-2.
It has been shown that 2 O 5 / WN X interface Schottky barrier is sufficiently formed.

【0088】実施例7−3 本実施例では、実施例6−2に対してWNX膜成膜後にNH3
ガス雰囲気で、800℃、60秒間のRTN(rapid th
ermal nitridation)を行い、Ta2O5成膜前のWNX表面層
の窒素濃度を高めたところ、被覆性、密着性、及び電気
的測定により求めた酸化膜換算実効膜厚はいずれも実施
例6−2の場合と同等であったが、リーク量は改善さ
れ、リーク電流密度が1×10-8A(アンペア)/cm2
となる電圧として±0.9Vを得た。リーク量測定時の
電流電圧曲線を比較すると、実施例6−2に比べて電圧
を上げてもリーク量が増加しない領域が広く、Ta2O5/WN
X界面にショットキーバリアが十分形成されていること
が示された。
[0088] In Example 7-3 This example, NH 3 after WN X film formation for Examples 6-2
RTN (rapid th
thermal nitridation) to increase the nitrogen concentration of the WN X surface layer before Ta 2 O 5 film formation. 6-2, but the leakage amount was improved and the leakage current density was 1 × 10 −8 A (ampere) / cm 2
± 0.9 V was obtained. Comparing the current-voltage curves at the time of measuring the leak amount, the region where the leak amount does not increase even when the voltage is increased is wider than that of Example 6-2, and Ta 2 O 5 / WN
It was shown that the Schottky barrier was sufficiently formed at the X interface.

【0089】実施例7−4 本実施例では、実施例7−1に対してWNX膜成膜後に酸
素濃度5%のN2ガス雰囲気で520℃、60秒間のRT
O(rapid thermal oxidation)を行い、Ta2O5成膜前の
WNX表面に薄いSiO層を形成させたところ、被覆性、密
着性は実施例7−1と同等で酸化膜換算実効膜厚に多少
の増加は見られるものの、リーク量は改善され、リーク
電流密度が1×10-8A(アンペア)/cm2となる電圧
として±0.9Vを得た。リーク量測定時の電流電圧曲
線を比較すると、実施例6−2に比べて電圧を上げても
リーク量が増加しない領域が広く、Ta2O5/WNX界面 にシ
ョットキーバリアが十分形成されていることが示され
た。電界強度も5.87MV/cm(@1×10-8
(アンペア)/cm2)に改善された。
[0089] In Example 7-4 This example, WN X film formation after 520 ° C. in an oxygen concentration of 5% N 2 gas atmosphere for Examples 7-1, 60 seconds RT
O (rapid thermal oxidation) before Ta 2 O 5 film formation
Was to form a thin SiO 2 layer on the WN X surface, coverage, adhesion although somewhat increase seen in equivalent oxide effective film thickness equivalent to the Examples 7-1, the amount of leakage is improved, leakage ± 0.9 V was obtained as a voltage at which the current density became 1 × 10 −8 A (ampere) / cm 2 . Comparing the current-voltage curves at the time of measuring the amount of leakage, the region where the amount of leakage does not increase even when the voltage is increased is wider than that of Example 6-2, and the Schottky barrier is sufficiently formed at the Ta 2 O 5 / WN X interface. It was shown that. The electric field strength is also 5.87 MV / cm (@ 1 × 10 -8 A)
(Ampere) / cm 2 ).

【0090】実施例7−5 本実施例では、実施例6−2に対してWNX膜成膜後にNH3
/Ar/N2/SiH4をそれそれぞれ500/100/100/20sccmの流量
比で60秒間ポストフローとして流通させたところ、被
覆性、密着性、及び電気的測定により求めた酸化膜換算
実効膜厚はいずれも実施例6−2の場合と同等であった
が、リーク量は改善され、リーク電流密度が1×10-8
A(アンペア)/cm2となる電圧として±0.9Vを得
た。リーク量測定時の電流電圧曲線を比較すると、実施
例6−2に比べて電圧を上げてもリーク量が増加しない
領域が広く、Ta2O5/WNX界面にショットキーバリアが十
分形成されていることが示された。
[0090] In Example 7-5 This example, NH 3 after WN X film formation for Examples 6-2
/ Ar / N 2 / SiH 4 was flowed as a post flow at a flow rate of 500/100/100 / 20sccm for 60 seconds, respectively, and the coating film, the adhesion, and the oxide film equivalent effective film obtained by electrical measurement The thickness was the same as that of Example 6-2, but the leakage amount was improved and the leakage current density was 1 × 10 −8.
A voltage of ± 0.9 V was obtained as A (ampere) / cm 2 . Comparing the current-voltage curve during leak rate measurement, wide area even by raising the voltage does not increase the amount of leakage as compared with Examples 6-2, Ta 2 O 5 / WN X interface Schottky barrier is fully formed It was shown that.

【0091】実施例7−6 本実施例では、実施例6−2に対してWNX膜成膜後にWF6
/Ar/N2/SiH4をポストフローするためにそれぞれ1/100/1
00/20sccmの流量比で60秒間流通させたところ、被覆
性、密着性、及び電気的測定により求めた酸化膜換算実
効膜厚はいずれも実施例6−2の場合と同等であった
が、リーク量は改善され、リーク電流密度が1×10-8
A(アンペア)/cm2となる電圧として±0.9Vを得
た。リーク量測定時の電流電圧曲線を比較すると、実施
例6−2に比べて電圧を上げてもリーク量が増加しない
領域が広く、Ta2O5/WNX界面にショットキーバリアが十
分形成されていることが示された。
[0091] In Example 7-6 This example, WF after WN X film formation relative to Example 6-2 6
1/100/1 to postflow / Ar / N 2 / SiH 4 respectively
After flowing for 60 seconds at a flow rate of 00/20 sccm, the coatability, adhesion, and oxide film equivalent effective thickness determined by electrical measurement were all the same as in Example 6-2, The leakage amount is improved and the leakage current density is 1 × 10 -8
A voltage of ± 0.9 V was obtained as A (ampere) / cm 2 . Comparing the current-voltage curve during leak rate measurement, wide area even by raising the voltage does not increase the amount of leakage as compared with Examples 6-2, Ta 2 O 5 / WN X interface Schottky barrier is fully formed It was shown that.

【0092】実施例7−7 本実施例では、実施例7−6に対して成膜後に酸素濃度
5%のN2ガス雰囲気で、520℃、60秒間のRTOを
行い、Ta2O5成膜前のSiを含むWNXの極表面を酸化したと
ころ、被覆性、密着性は実施例7−6の場合と同等で酸
化膜換算実効膜厚は多少の増加くは見られたものの、リ
ーク量は改善され、リーク電流密度が1×10-8A(ア
ンペア)/cm2となる電圧として±0.9Vを得た。リ
ーク量測定時の電流電圧曲線を比較すると、実施例6−
2に比べて電圧を上げてもリーク量が増加しない領域が
広く、Ta2O5/WNX界面にショットキーバリアが十分形成
されていることが示された。電界強度も5.88MV/
cm(@1×10-8A(アンペア)/cm2)に改善さ
れた。
Example 7-7 In this example, after forming the film of Example 7-6, RTO was performed at 520 ° C. for 60 seconds in an N 2 gas atmosphere having an oxygen concentration of 5% to form a Ta 2 O 5 layer. was oxidized electrode surface of the WN X containing film prior to Si, coverage, adhesion although equivalent oxide film equivalent effective film thickness in example 7-6 was observed rather slight increase, leakage The amount was improved, and ± 0.9 V was obtained as a voltage at which the leak current density was 1 × 10 −8 A (ampere) / cm 2 . Comparing the current-voltage curves at the time of measuring the amount of leakage,
2 wider region also does not increase the leakage amount to increase the voltage in comparison with, it was shown that Ta 2 O 5 / WN X interface Schottky barrier is sufficiently formed. Electric field strength is 5.88MV /
cm (@ 1 × 10 −8 A (ampere) / cm 2 ).

【0093】実施例7−8 本実施例では、実施例7−6に対して成膜後にNH3ガス
が500sccmの流量で、プラズマパワー400W(ワッ
ト)のNH3プラズマを120秒間照射し、Ta2O5成膜前の
WNX表面を窒化させたところ、被覆性、密着性、及び電
気的測定により求めた酸化膜換算実効膜厚はいずれも実
施例7−6の場合と同等であったが、リーク量は改善さ
れ、リーク電流密度が1×10-8A(アンペア)/cm2
となる電圧として±1.0Vを得た。リーク量測定時の
電流電圧曲線を比較すると、実施例6−2に比べて電圧
を上げてもリーク量が増加しない領域が広く、Ta2O5/WN
X界面にショットキーバリアが十分形成されていること
が示された。
[0093] In Example 7-8 In this example, at a flow rate of NH 3 gas after deposition for Examples 7-6 500 sccm, the NH 3 plasma plasma power 400W (watts) irradiation for 120 seconds, Ta 2 O 5 before film formation
Was the WN X surface by nitriding, coating, adhesion, and none of the oxide film equivalent effective film thickness determined by electrical measurement was equivalent to the case of Example 7-6, the amount of leakage is improved And the leak current density is 1 × 10 −8 A (ampere) / cm 2
± 1.0 V was obtained. Comparing the current-voltage curves at the time of measuring the leak amount, the region where the leak amount does not increase even when the voltage is increased is wider than that of Example 6-2, and Ta 2 O 5 / WN
It was shown that the Schottky barrier was sufficiently formed at the X interface.

【0094】以上の本実施例による検証から、リーク電
流密度が所定値以下となる電圧範囲を広げ、ショットキ
ーバリアを良好にするためには、例えば実施例6−2の
条件でWNX膜を成膜する際または成膜後に次の処理をす
れば良いことが判った。 成膜時にSiH4ガスを添加するか、あるいは成膜後にSi
H4ガスを含むガスでポストフローを行う。SiH4ガスを含
むガスとしては例えばSiH4ガスとWF6ガスの混合ガスやS
iH4とNH3ガスの混合ガス等がある。 成膜後、WNX膜表面を窒化する 成膜時にSiH4ガスを添加するか、あるいは成膜後にSi
H4ガスを含むガスでポストフローを行うと共に、その後
に表面を酸化する。 成膜時にSiH4ガスを添加するかあるいは成膜後にSiH4
ガスを含むガスでポストフローを行うと共に、その後に
表面を窒化する。 尚、パーティクル低減の観点から、SiH4ガス及びNH3
スを供給する時には、最初にNH3ガスを流し、次にSiH4
ガスを流す。ガスの供給を止める時は、まずSiH4ガスの
供給を停止し、その後NH3ガスでSiH4ガスを十分にパー
ジすると良い。
[0094] From the verification by the above-described embodiment, expanding the range of voltages the leakage current density is equal to or less than a predetermined value, in order to improve a Schottky barrier, a WN X film, for example under the conditions of Example 6-2 It has been found that the following treatment may be performed when forming the film or after forming the film. Add SiH 4 gas during film formation, or
Performing post-flow gas containing H 4 gas. As the gas containing SiH 4 gas, for example, a mixed gas of SiH 4 gas and WF 6 gas or S
There is a mixed gas of iH 4 and NH 3 gas. After the film formation, or the addition of SiH 4 gas during deposition nitriding the WN X film surface, or after the film is formed Si
Post-flow is performed with a gas containing H 4 gas, and then the surface is oxidized. SiH after or deposited adding SiH 4 gas during deposition 4
Post flow is performed with a gas containing gas, and then the surface is nitrided. From the viewpoint of particle reduction, when supplying the SiH 4 gas and NH 3 gas, flowing first NH 3 gas, then SiH 4
Let the gas flow. When stopping the supply of gas is to first stop the supply of the SiH 4 gas, may then NH 3 sufficiently purge the SiH 4 gas in the gas.

【0095】更に、ショットキーバリアを良好に形成す
る効果を上げるために、WNX層上に他の層を重ねた積層
構造にすることも有効であることが判った。 (1)WNX層上にタングステンシリサイド(WSi)層を重
ねたWSi/WNX膜。WNXの表面をWSi膜で覆うと、後のアニ
ール等の高温処理においてもWNX中の窒素が膜外へ逃げ
なくなり、WNXの体積減少が生じず、膜ストレスや剥が
れの問題が起きない。また、この積層膜は次の処理によ
って膜質を向上させることができる。 NH3中でのアニールまたはN2やNH3のプラズマ処理など
によりその表面を窒化する、または 酸化雰囲気中でのアニールまたは酸化性ガスのプラズ
マ処理などにより、その極表面を酸化する。その理由と
しては、これら、の処理によりWNX膜の表面に形成
された窒素濃度の高い層または表面酸化層が、Ta2O5
の間に良好なショットキーバリアを形成するのに役立
ち、また、後の熱負荷工程において、WNX膜の耐熱性、
耐酸化性を向上させるためである。 (2)WNX層上にシリコンナイトライド(SiN)層を重ね
たSiN/WNX膜。WNXの表面をSiN膜で覆うと、後のアニー
ルなどの高温処理においてもWNX中の窒素が膜外へ逃げ
なくなり、WNXの体積減少が生じず、膜ストレスや剥が
れの問題が起きない。このような特徴を有するWNX膜ま
たは他の膜との積層膜は、キャパシタの上部、下部電極
層だけでなく他の配線部位にも適用可能である。
[0095] Further, in order to increase the effect of satisfactorily forming a Schottky barrier, it has been found that the layered structure of repeated another layer on the WN X layer is also effective. (1) WN X layer of tungsten silicide (WSi) layer WSi / WN X film overlaid on. When the surface of the WN X covered with WSi film, nitrogen in WN X even in a high temperature processing such as annealing after ceases escape outside film causes no reduction in volume WN X, it does not occur membrane stress and peeling problems . Further, the film quality of this laminated film can be improved by the following processing. The surface is nitrided by annealing in NH 3 or plasma treatment of N 2 or NH 3 , or the extreme surface is oxidized by annealing in an oxidizing atmosphere or plasma treatment of an oxidizing gas. The reason is that help them, processed by WN X film surface with high forming nitrogen concentration layer or the surface oxide layer of the form a good Schottky barrier between the Ta 2 O 5, in the heat load process after the heat resistance of the WN X film,
This is for improving the oxidation resistance. (2) WN X silicon nitride on the layer (SiN) layer superimposed SiN / WN X film. When the surface of the WN X covered with the SiN film, the nitrogen in the WN X even in a high temperature processing such as annealing after ceases escape outside film causes no reduction in volume WN X, it does not occur membrane stress and peeling problems . A laminated film of a WN X film or other film having such characteristics, the top of the capacitor, it is also applicable to other wiring portions as well as the lower electrode layer.

【0096】実施例8 本実施例では、金属層/絶縁層/金属層構造のキャパシタ
または金属層/絶縁層/半導体層構造の上部電極用のWNX
層の成膜条件を検証するため、実施例8−1及び実施例
8−2のように条件を変えてWNX膜を形成した。
Embodiment 8 In this embodiment, a WN X for a capacitor having a metal layer / insulating layer / metal layer structure or an upper electrode having a metal layer / insulating layer / semiconductor layer structure is used.
To verify the film formation conditions of the layer, to form a WN X film under different conditions as in Example 8-1 and Example 8-2.

【0097】実施例8−1 本実施例では、容量絶縁膜として膜厚100オングスト
ロームのTa2O5膜を用いた、直径0.3μm、深さ0.
6μmのキャパシタセルの上部電極として下記条件で平
坦部膜厚が約200オングストロームになるようWNX
の成膜処理を行い、被覆性、電気的特性及び密着性の評
価を行った。被覆性、電気的特性は、それぞれ断面SE
M観察、酸化膜換算実効膜厚及びリーク量により評価を
行った。また、WNX膜と下地との密着性は、それぞれWNX
成膜後のas depo状態及び耐熱試験用熱処理(650℃
のN2ガス雰囲気中で60秒間のRTA)後のテープ試験
により評価を行った。その結果、被覆性として略100
%のボトムカバレッジ(ボトム膜厚/平坦部膜厚)を得
た。密着性については、as depo状態、熱処理後共に剥
れを発生しなかった。また、電気的特性として酸化膜換
算実効膜厚Teffが1.2nm、リーク電流密度が1×
10-8A(アンペア)/cm2となる電圧として±0.9
Vを得た。 [表面処理] (1)半導体製造装置の条件 チャンバー内の圧力 :1Torr 半導体ウエハの表面温度 :400℃ (2)原料ガスの流量:WF6/NH3/Ar/N2=50/0/500/500(scc
m) 但し、Arガス、N2ガスはそれぞれWF6ガスライン、NH3
スラインのキャリアガスとして用いた。 処理時間:60秒間 [成膜処理] (1)半導体製造装置の条件:表面処理と同一条件 (2)原料ガス流量:WF6/NH3/Ar/N2=50/500/500/500(scc
m)
Embodiment 8-1 In this embodiment, a Ta 2 O 5 film having a thickness of 100 Å is used as a capacitive insulating film, and has a diameter of 0.3 μm and a depth of 0.1 μm.
Perform a film forming process of the WN X film to the flat portion thickness under the following conditions as the upper electrode of 6μm of the capacitor cells is approximately 200 angstroms, coverage, the evaluation of electrical characteristics and adhesion was carried out. The covering properties and the electrical properties are each SE
Evaluation was performed based on M observation, an effective oxide film equivalent film thickness, and a leak amount. In addition, the adhesion between the WN X film and the base is WN X
As depo state after film formation and heat treatment for heat resistance test (650 ℃
Was evaluated by a tape test after RTA for 60 seconds in an N 2 gas atmosphere. As a result, the coverage is about 100.
% Bottom coverage (bottom film thickness / flat portion film thickness) was obtained. Regarding the adhesion, no peeling occurred in both the as depo state and the heat treatment. Further, as the electrical characteristics, the oxide film equivalent effective film thickness Teff is 1.2 nm and the leak current density is 1 ×
± 0.9 as a voltage to be 10 −8 A (ampere) / cm 2
V was obtained. [Surface treatment] (1) Conditions for semiconductor manufacturing equipment Pressure in chamber: 1 Torr Surface temperature of semiconductor wafer: 400 ° C. (2) Flow rate of source gas: WF 6 / NH 3 / Ar / N 2 = 50/0/500 / 500 (scc
m) However, Ar gas and N 2 gas were used as carrier gases for the WF 6 gas line and the NH 3 gas line, respectively. Processing time: 60 seconds [Film formation processing] (1) Conditions for semiconductor manufacturing equipment: Same conditions as surface treatment (2) Source gas flow rate: WF 6 / NH 3 / Ar / N 2 = 50/500/500/500 ( scc
m)

【0098】実施例8−2 本実施例では、実施例8−1に対してWNX膜の表面処理
を行わず、成膜時の条件を次のように変更し、実施例8
−1の場合と同様に約200オングストロームのWNX
を形成した後、被覆性、密着性、電気的特性評価を実施
例8−1の場合と同様に行った。その結果、被覆性とし
て略100%のボトムカバレッジを得た。密着性につい
ては、as depo状態、熱処理後共に剥れを発生しなかっ
た。また、電気的特性として酸化膜換算実効膜厚Teff
が1.2nm、リーク電流密度が1×10-8A(アンペ
ア)/cm2となる電圧として±0.85Vを得た。 [成膜処理] (1)半導体製造装置の条件 チャンバー内の圧力 :1Torr 半導体ウエハの表面温度 :450℃ (2)原料ガス流量: 第1ステップ:WF6/NH3/Ar/N2=0/500/500/500(sccm) 処理時間:60秒間 第2ステップ:WF6/NH3/Ar/N2=50/500/500/500(scc
m) 但し、Arガス、N2ガスはそれぞれWF6ガスライン、NH3
スラインの キャリアガスとして用いた。
[0098] In Example 8-2 In this example, without surface treatment of the WN X film for Examples 8-1, by changing the condition during the film formation, as follows: Example 8
After forming the WN X film of about 200 Å as in the case of -1, coverage, adhesion was carried out electrical characterization in the same manner as in Example 8-1. As a result, a bottom coverage of about 100% was obtained as the covering property. Regarding the adhesion, no peeling occurred in both the as depo state and the heat treatment. In addition, as an electrical characteristic, the oxide film equivalent effective film thickness Teff
± 1.25 V as a voltage at which the leakage current density was 1.2 nm and the leak current density was 1 × 10 −8 A (ampere) / cm 2 . [Deposition Process] (1) Conditions of Semiconductor Manufacturing Apparatus Pressure in chamber: 1 Torr Surface temperature of semiconductor wafer: 450 ° C. (2) Source gas flow rate: First step: WF 6 / NH 3 / Ar / N 2 = 0 / 500/500/500 (sccm) Processing time: 60 seconds Second step: WF 6 / NH 3 / Ar / N 2 = 50/500/500/500 (scc
m) However, Ar gas and N 2 gas were used as carrier gases for the WF 6 gas line and NH 3 gas line, respectively.

【0099】比較例8−1 本比較例では、実施例8−2に対して成膜時のウエハ温
度を高くして550℃に設定したところ、密着性は実施
例8−2の場合と同等であったが、ボトムカバレッジは
約30%に低下し、−0.7Vにおけるリーク電流密度
が1×10-5A/cm2に増大した。
Comparative Example 8-1 In this comparative example, when the wafer temperature during film formation was set to 550 ° C. higher than that in Example 8-2, the adhesion was the same as in Example 8-2. However, the bottom coverage was reduced to about 30%, and the leak current density at −0.7 V was increased to 1 × 10 −5 A / cm 2 .

【0100】比較例8−2 本比較例では、実施例8−2に対して成膜時のNH3流量
を多くして1000sccmに設定したところ、密着性は実
施例8−2の場合と同等であったが、ボトムカバレッジ
は約60%で、−0.7Vにおけるリーク電流密度が1
×10-6A/cm2に増大した。
Comparative Example 8-2 In this comparative example, when the flow rate of NH 3 at the time of film formation was increased to 1000 sccm with respect to Example 8-2, the adhesion was the same as in Example 8-2. However, the bottom coverage was about 60%, and the leakage current density at -0.7 V was 1%.
It increased to × 10 −6 A / cm 2 .

【0101】以上の本実施例及び比較例による検証か
ら、WNX膜をキャパシタの上部電極として用いる場合、
被覆性、密着性、電気的特性の観点から成膜条件は次の
〜を満たしていることが好ましい。 半導体ウエハの温度は550℃より低いことが好まし
く、より好ましくは400〜450℃である。 NH3/WF6の流量比は約20より小さいことが好まし
く、より好ましくは約2〜10である。 全ガスに対するWF6の濃度は約1.0vol.%より大き
いことが好ましく、より好ましくは約2〜20vol.%で
ある。
[0102] From the verification by the above-described Examples and Comparative Examples, when using a WN X film as an upper electrode of the capacitor,
It is preferable that the film forming conditions satisfy the following conditions from the viewpoints of coverage, adhesion, and electrical characteristics. The temperature of the semiconductor wafer is preferably lower than 550 ° C, more preferably 400 to 450 ° C. It is preferable flow rate ratio of NH 3 / WF 6 is less than about 20, more preferably about 2-10. Concentration of WF 6 to total gas is preferably about 1.0 vol. Greater than%, and more preferably about 2~20vol.%.

【0102】また、WNX膜は適切に高温でアニールする
ことにより成膜時よりも密着性及び電気的特性が向上す
ることが判った。即ち、 WNX膜を低抵抗化する。 WNX膜の膜質が安定化し、後の高温工程でも変形及び
剥がれが生じ難い。 WNX膜のフッ素濃度をより低減する。 の低抵抗化については、約700オングストロームの
厚さのWNX膜において、成膜直後にはその比抵抗が40
00μΩcmであったが、650℃のN2ガス雰囲気中で
60秒間のアニールを行った後にはその比抵抗が170
0μΩcmに低下し、900℃のN2ガス雰囲気中で60
0秒間のアニールを行った後には15μΩcmにまで低
下した。の安定化については、アニールによりWNX
ら窒素原子が脱離し、ある程度変形することは避けられ
ないため、高温工程における変形のダメージを予め熱処
理することにより和らげることが目的である。例えば、
イ)高温工程の前にその80%以下の温度で熱処理す
る、ロ)長時間の高温工程の前にその50%以下の時間
で熱処理する、ハ)タングステン等の応力の大きな膜を
積層する場合は、タングステン等を積層する前にWNX
膜でタングステン等を成膜した後にくる高温工程と同じ
温度で熱処理する、ニ)Ta2O5膜等の脆性の高い膜を積
層する場合はTa2O5膜等を積層する前にWNX単膜でTa2O5
膜等を成膜した後にくる高温工程と同じ温度から同じ温
度より50℃程度高い温度で熱処理し、実際の高温工程
の際に変形しないようにする、等の方法により膜質の安
定化を実現することができる。
[0102] Further, WN X film adhesion and electrical properties than the time of film formation was found to be improved by properly annealed at high temperatures. That is, to reduce the resistance of the WN X film. WN X film quality of stabilized, hardly occurs even variations and peeling at a high temperature step after. To further reduce the fluorine concentration of the WN X film. For the resistance reduction in the thickness of the WN X film of about 700 angstroms, immediately after the film formation is specific resistance 40
After annealing in an N 2 gas atmosphere at 650 ° C. for 60 seconds, the specific resistance was 170 μΩcm.
0 μΩcm, and in an N 2 gas atmosphere at 900 ° C.
After annealing for 0 seconds, it decreased to 15 μΩcm. For stabilization, isolated nitrogen atoms removed from the WN X by annealing, to a certain extent since the deformation is inevitable that an object be mitigated by pre-heat treatment of the damage of the deformation in the high temperature process. For example,
A) heat treatment at a temperature of 80% or less before the high temperature process; b) heat treatment at a time of 50% or less before the long time high temperature process; c) lamination of a film with a large stress such as tungsten. Is to heat-treat at the same temperature as the high-temperature process after forming tungsten or the like with a WN X single film before laminating tungsten or the like. D) When laminating a highly brittle film such as Ta 2 O 5 film, Ta 2 O 5 in WN X unilamellar before laminating 2 O 5 film, etc.
The film quality is stabilized by performing a heat treatment at the same temperature as the high temperature process after forming the film or the like at a temperature about 50 ° C. higher than the same temperature so as not to deform during the actual high temperature process. be able to.

【0103】キャパシタの上部電極またはその一部とし
てWNXを成膜する場合においても、実施例7における下
部電極への適用時と同様に、WNX膜を成膜する際または
成膜後に次の処理をすればより良好な膜が得られること
が判った。 成膜時にシラン(SiH4)ガスを添加するか、成膜後に
SiH4ガスを含むガスを用いてポストフローを行う。 成膜後、WNX膜表面を窒化する。 成膜時にSiH4ガスを添加するかあるいは成膜後にSiH4
ガスを含むガスでポストフローを行うと共に、その後、
表面を酸化する。 成膜時にSiH4ガスを添加するかあるいは成膜後にSiH4
ガスを含むガスでポストフローを行うとともに、その
後、表面を窒化する。 尚、本実施例では、シリコン原子を含むガスとしてシラ
ン(SiH4)を用いて説明したが、他にジシラン(Si
2H6)、ジクロロシラン(SiH2Cl2)等も使用することが
できる。
In the case where WN X is formed as the upper electrode of the capacitor or a part thereof, as in the case of application to the lower electrode in the seventh embodiment, when the WN X film is formed or after the formation, It was found that a better film could be obtained by the treatment. Add silane (SiH 4 ) gas during film formation or after film formation
Post flow is performed using a gas containing SiH 4 gas. After the film formation, nitriding the WN X film surface. SiH after or deposited adding SiH 4 gas during deposition 4
Post flow with gas containing gas, and then
Oxidizes the surface. SiH after or deposited adding SiH 4 gas during deposition 4
Post flow is performed with a gas containing gas, and then the surface is nitrided. In the present embodiment, silane (SiH 4 ) was used as the gas containing silicon atoms. However, disilane (Si
2 H 6), it may also be used, such as dichlorosilane (SiH 2 Cl 2).

【0104】実施例9 本実施例では、銅拡散バリア用としてのWNX膜層の成膜
条件を検証するため、実施例9−1〜実施例9−4のよ
うに条件を変えてWNX膜を形成した。
[0104] In Example 9 This example, in order to verify the conditions for forming the WN X film layer serving as a copper diffusion barrier, WN X under different conditions as in Example 9-1 to Example 9-4 A film was formed.

【0105】実施例9−1 酸化膜を用いて直径0.25μm、深さ2μmのホール
形状を表面に形成した半導体ウエハをチャンバ内のサセ
プタ上に載置した後、下記条件で平坦部膜厚が約200
オングストロームとなるようにWNX膜の成膜処理を行
い、断面SEM観察を行って被覆性を評価した。また、
層間絶縁膜としてプラズマTEOS膜を形成した半導体
ウエハをチャンバ内のサセプタ上に載置した後、下記条
件で膜厚が約200オングストロームとなるようにWNX
膜の成膜処理を行い、その後CVD−Cu(膜厚約10
00オングストローム)を成膜処理を行った後、テープ
試験を行い、Cu/WNX間の密着性を評価した。更に、表
面酸化してないシリコンウエハをチャンバ内のサセプタ
上に載置した後、下記条件で膜厚が約200オングスト
ロームとなるようにWNX膜の成膜処理を行い、その後C
VD−Cu(膜厚約1000オングストローム)の成膜
処理を行った後、600℃、60分間、N2ガス雰囲気下
でアニールを行い、WNX/Si界面のSiピットや反応物の有
無をSEM観察とCuのSIMSプロファイルの測定か
ら、WNXのバリア性を評価した。その結果、被覆性とし
て略80%のボトムカバレッジ(ボトム膜厚/平坦部膜
厚)を得た。密着性に関しては膜の剥れの発生が無く、
十分な密着性が得られた。バリア性に関してはピットが
観察されず、ウエハ裏面からのCuのSIMSのプロフ
ァイルからシリコン中へのCuの拡散はみられず、十分
なバリア性が得られた。 [成膜処理] (1)半導体製造装置の条件 チャンバー内の圧力:3Torr ウエハの温度 :450℃ (2)原料ガス流量: 第1ステップ:WF6/NH3/SiH4/Ar/N2=2/200/5/100/10
0(sccm) 第2ステップ:SiH4/Ar/N2=5/100/100(sccm)(ポス
トフロー) 処理時間:60秒間 但し、Ar、N2はそれぞれWF6ライン、NH3ラインのキャリ
アガスとして用いた。
Example 9-1 A semiconductor wafer having a hole shape having a diameter of 0.25 μm and a depth of 2 μm formed on the surface thereof using an oxide film was placed on a susceptor in a chamber, and then a flat portion film was formed under the following conditions. Is about 200
Å become so perform a film forming process of the WN X film was evaluated coverage performing sectional SEM observation. Also,
After placing a semiconductor wafer on which a plasma TEOS film is formed as an interlayer insulating film on a susceptor in a chamber, under the following conditions, WN X is adjusted to a thickness of about 200 angstroms.
A film is formed, and then CVD-Cu (about 10 mm thick) is formed.
00 Å) after the film forming process and then subjected to a tape test was to evaluate the adhesion between Cu / WN X. Furthermore, after placing the silicon wafer which is not oxidized surface on a susceptor in the chamber, it performs a film forming process of the WN X film so that the film thickness under the following conditions becomes about 200 angstroms, then C
After the film forming process VD-Cu (thickness: about 1000 Å), 600 ° C., 60 min, an annealing under N 2 gas atmosphere, SEM the presence of Si pits and reactant WN X / Si interface from measurements of SIMS profiles of observation and Cu, were evaluated barrier of WN X. As a result, a bottom coverage (bottom film thickness / flat portion film thickness) of approximately 80% was obtained as the covering property. Regarding adhesion, there is no occurrence of film peeling,
Sufficient adhesion was obtained. No pits were observed in the barrier property, and no diffusion of Cu into silicon was observed from the SIMS profile of Cu from the back surface of the wafer, and sufficient barrier property was obtained. [Film formation process] (1) Conditions for semiconductor manufacturing equipment Pressure in chamber: 3 Torr Wafer temperature: 450 ° C. (2) Flow rate of source gas: First step: WF 6 / NH 3 / SiH 4 / Ar / N 2 = 2/200/5/100/10
0 (sccm) Second step: SiH 4 / Ar / N 2 = 5/100/100 (sccm) (post flow) Processing time: 60 seconds However, Ar and N 2 are carriers of WF 6 line and NH 3 line, respectively. Used as gas.

【0106】実施例9−2 本実施例では、実施例9−1に対してWNX膜の成膜時の
条件を以下のように変更し、実施例9−1同様に、被覆
性、密着性、バリア性評価を行った。その結果、被覆性
として略80%のボトムカバレッジを得た。密着性に関
しては剥れの発生が無く、十分な密着性が得られた。バ
リア性に関してはピットが観察されず、ウエハ裏面から
のCuのSIMSプロファイルからシリコン中へのCu
の拡散はみられず、十分なバリア性が得られた。 [成膜処理] (1)半導体製造装置の条件 チャンバー内の圧力:3Torr ウエハの温度 :450℃ (2)原料ガス流量: 第1ステップ:WF6/NH3/SiH4/Ar/N2=2/200/5/100/10
0(sccm) 膜厚:約200オングストローム 第2ステップ(ポストフロー):NH3/Ar/N2=200/100
/100(sccm) 処理時間:60秒間 但し、Ar、N2はそれぞれWF6ライン、NH3ラインのキャリ
アガスとして用いた。
[0106] In Example 9-2 In this example, the conditions during formation of the WN X film modified as follows for Examples 9-1, Example 9-1 Similarly, coverage, adhesion Properties and barrier properties were evaluated. As a result, a bottom coverage of about 80% was obtained as the covering property. Regarding the adhesion, no peeling occurred, and sufficient adhesion was obtained. No pits were observed for the barrier properties, and the Cu SIMS profile
No diffusion was observed, and sufficient barrier properties were obtained. [Film formation process] (1) Conditions for semiconductor manufacturing equipment Pressure in chamber: 3 Torr Wafer temperature: 450 ° C. (2) Flow rate of source gas: First step: WF 6 / NH 3 / SiH 4 / Ar / N 2 = 2/200/5/100/10
0 (sccm) Film thickness: about 200 angstroms Second step (post flow): NH 3 / Ar / N 2 = 200/100
/ 100 (sccm) Processing time: 60 seconds However, Ar and N 2 were used as carrier gas for WF 6 line and NH 3 line, respectively.

【0107】実施例9−3 本実施例では、実施例9−1に対してWNX膜の成膜時の
第1、第2ステップのSiH 4流量を共に1sccmに変更し、
実施例9−1の場合と同様に被覆性、密着性、バリア性
の評価を行ったところ、被覆性、密着性、バリア性共に
実施例9−1と同等であった。
Embodiment 9-3 In this embodiment, WN is different from that of Embodiment 9-1.XAt the time of film formation
First and second steps of SiH FourChange both the flow rate to 1sccm,
Covering properties, adhesion properties, and barrier properties as in Example 9-1
Was evaluated, the coverage, adhesion, and barrier properties
It was equivalent to Example 9-1.

【0108】実施例9−4 本実施例では、実施例9−1に対してWNX膜の成膜時の
条件を以下のように変更し、実施例9−1の場合と同様
に被覆性、密着性、バリア性の評価を行った。その結
果、被覆性として略70%のボトムカバレッジを得た。
密着性、バリア性共に実施例9−1と同等で、しかも、
WNX層部分の膜厚として320オングストローム、比抵
抗として550μohm/cm(マイクロオームセンチメート
ル)を得た。この比抵抗は実施例9−1より約1桁低い
値である。第2ステップで形成された、タングステンリ
ッチの層がこの低比抵抗に貢献していると考えられる。 [成膜処理] (1)半導体製造装置の条件 チャンバー内の圧力:3Torr ウエハの温度 :450℃ (2)原料ガス流量: 第1ステップ:WF6/NH3/Ar/N2/H2/SiH4=1/500/2000/
2000/0/0(sccm) 処理時間:15秒間 第2ステップ:WF6/NH3/Ar/N2/H2/SiH4=15/0/200/0/
400/4(sccm) 処理時間:30秒間 第3ステップ(ポストフロー):SiH4/Ar/N2=4/200/
200(sccm) 処理時間:60秒間
[0108] In Example 9-4 In this example, the conditions during formation of the WN X film for Examples 9-1 was changed as follows, as in the case coverage of Examples 9-1 , Adhesion and barrier properties were evaluated. As a result, a bottom coverage of about 70% was obtained as the covering property.
Adhesion and barrier properties are the same as in Example 9-1, and
The thickness of the WN X layer was 320 Å, and the specific resistance was 550 μohm / cm (micro ohm centimeter). This specific resistance is about one digit lower than that of Example 9-1. It is considered that the tungsten-rich layer formed in the second step contributes to this low resistivity. [Film formation process] (1) Conditions of semiconductor manufacturing apparatus Pressure in chamber: 3 Torr Wafer temperature: 450 ° C. (2) Source gas flow rate: First step: WF 6 / NH 3 / Ar / N 2 / H 2 / SiH 4 = 1/500/2000 /
2000/0/0 (sccm) Processing time: 15 seconds Second step: WF 6 / NH 3 / Ar / N 2 / H 2 / SiH 4 = 15/0/200/0 /
400/4 (sccm) Processing time: 30 seconds Third step (post flow): SiH 4 / Ar / N 2 = 4/200 /
200 (sccm) Processing time: 60 seconds

【0109】比較例9−1 本比較例では、実施例9−1に対して成膜後のWNX膜を
大気に暴露した後にCu−CVDの成膜処理を行った以
外は実施例9−1の場合と同様の処理を行い、WN X膜の
被覆性、密着性、バリア性の評価を実施例9−1の場合
と同様に行った。その結果、被覆性は同等だが、密着性
の評価においてas depo状態のテープ試験で剥れが発生
し、その後の熱処理工程へ進めないことが判った。
Comparative Example 9-1 In this comparative example, the WN after film formation was different from that of Example 9-1.XMembrane
After performing the film-forming process of Cu-CVD after exposure to air
Other than that, the same processing as in the case of the embodiment 9-1 is performed. XMembrane
Evaluation of covering property, adhesion property, and barrier property in the case of Example 9-1
The same was done. As a result, the coverage is the same, but the adhesion is
Occurred in tape test in as depo condition in evaluation of
However, it was found that it was not possible to proceed to the subsequent heat treatment step.

【0110】比較例9−2 本比較例では、実施例9−1に対してWNX成膜処理時の
第1ステップでSiH4の流量を0sccmに設定し、膜中には
SiH4を添加せず、第2ステップのポストフロー時のみSi
H4を加えた以外は実施例9−1の場合と同様の処理を行
い、WNX膜の被覆性、密着性、バリア性の評価を実施例
9−1の場合と同様に行った。その結果、被覆性は同等
だが、密着性の評価においてas depo状態のテープ試験
で剥れが発生し、バリア性の評価においてピットが観察
され、この2つの特性に関しては実施例9−1を大幅に
下回っていることが判った。
[0110] In Comparative Example 9-2 In this comparative example, to set the flow rate of SiH 4 in a first step at the time WN X film forming process for Examples 9-1 to 0 sccm, the in the film
No SiH 4 added, only during the second step post flow
Except plus H 4 performs the same processing as in Example 9-1 was carried out coverage of the WN X film, adhesion, evaluation of barrier property in the same manner as in Example 9-1. As a result, although the coatability was the same, peeling occurred in the tape test in the as depo state in the evaluation of adhesion, and pits were observed in the evaluation of barrier properties. It turned out that it was below.

【0111】比較例9−3 本比較例では、実施例9−1に対してWNX膜の成膜時の
第1、第2ステップのSiH 4の流量を10sccmに変更した
以外は実施例9−1の場合と同様の処理を行い、WNX
の被覆性、密着性、バリア性の評価を行った。その結
果、被覆性、密着性は実施例9−1の場合と同等であっ
たが、バリア性においてはWNX膜の破れ及び反応物の生
成が観察された。
Comparative Example 9-3 In this comparative example, the WNXAt the time of film formation
First and second steps of SiH FourWas changed to 10 sccm
Except for the above, the same processing as in the case of the embodiment 9-1 is performed.Xfilm
Was evaluated for covering properties, adhesion properties and barrier properties. The result
As a result, the coatability and adhesion were the same as in Example 9-1.
However, in terms of barrier properties, WNXMembrane breakage and reactant production
Formation was observed.

【0112】比較例9−4 本比較例では、実施例9−1に対してWNX膜の成膜時の
チャンバ内の圧力を0.3Torrに変更した以外は実施例
9−1の場合と同様の処理を行い、WNX膜の被覆性、密
着性、バリア性の評価を行った。その結果、被覆性とas
depoの密着性は実施例9−1の場合と同等であった
が、バリア性評価においてはWNX膜の破れ及び反応物の
生成が観察され、熱処理後の密着性劣化も見られた。
[0112] In Comparative Example 9-4 In this comparative example, except for changing the pressure in the chamber during the deposition of the WN X film for Examples 9-1 to 0.3Torr as in Example 9-1 the same process, the coating of the WN X film, adhesion, evaluation of barrier property was performed. As a result, coverage and as
While adhesion depo was comparable to that of Example 9-1, the generation of broken and the reaction product of WN X film in barrier property evaluation was observed, was seen adhesiveness deterioration after the heat treatment.

【0113】比較例9−5 本比較例では、実施例9−1に対してWNX膜の成膜時の
条件を次のように変更してWNX膜を成膜し、実施例9−
1の場合と同様に被覆性、密着性、バリア性の評価を行
った。その結果、被覆性としては約40%のボトムカバ
レッジ(ボトム膜厚/平坦部膜厚)を得た。as depo状態
の密着性は、実施例9−1と同等であった。しかし、密
着性及びバリア性においては評価のための熱処理により
Cuの凝集が生じ、その後の評価を行えなかった。 [成膜処理] (1)半導体製造装置の条件 チャンバー内の圧力:3Torr ウエハの温度 :400℃ (2)原料ガス流量: 第1ステップ:WF6/NH3/SiH4/Ar/N2=1/500/5/500/50
0(sccm) 膜厚:約200オングストローム 第2ステップ(ポストフロー):SiH4/Ar/N2=5/500/
500(sccm) 処理時間:60秒間
[0113] In Comparative Example 9-5 In this comparative example, by forming a WN X film was changed to conditions that: at the time of film formation of the WN X film for Examples 9-1, Example 9
As in the case of No. 1, evaluation of covering property, adhesion property and barrier property was performed. As a result, a bottom coverage (bottom film thickness / flat portion film thickness) of about 40% was obtained as the covering property. The adhesion in the as depo state was equivalent to that of Example 9-1. However, in the adhesion and barrier properties, heat treatment for evaluation caused aggregation of Cu, and subsequent evaluation could not be performed. [Deposition Process] (1) Conditions of Semiconductor Manufacturing Apparatus Pressure in chamber: 3 Torr Wafer temperature: 400 ° C. (2) Source gas flow rate: First step: WF 6 / NH 3 / SiH 4 / Ar / N 2 = 1/500/5/500/50
0 (sccm) Film thickness: about 200 angstroms Second step (post flow): SiH 4 / Ar / N 2 = 5/500 /
500 (sccm) Processing time: 60 seconds

【0114】比較例9−6 本比較例では、実施例9−1に対して成膜時の条件を次
のように変更してWNX膜を成膜し、実施例9−1の場合
と同様に被覆性、密着性、バリア性の評価を行った。そ
の結果、本比較例で得られたWNX膜の場合には、被覆性
として100%のボトムカバレッジ(ボトム膜厚/平坦
部膜厚)を得た。as depo状態の密着性は、実施例9−
1と同等であったが、バリア性の評価においてはWNX
の破れ及び反応物の生成が観察され、熱処理後の密着性
劣化も見られた。 (1)半導体製造装置の条件 チャンバー内の圧力:3Torr ウエハの温度 :450℃ (2)原料ガス流量: 第1ステップ:WF6/NH3/SiH4/Ar/N2=80/200/1/100/1
00(sccm) 膜厚:約200オングストローム 第2ステップ(ポストフロー):SiH4/Ar/N2=1/100/
100(sccm) 処理時間:60秒間 但し、Arガス、N2ガスはそれぞれWF6ガスライン、NH3
スラインのキャリアガスとして用いた。
[0114] In Comparative Example 9-6 In this comparative example, by changing the condition at the time of film formation as follows forming a WN X film for Examples 9-1, in the case of Example 9-1 In the same manner, evaluation of covering property, adhesion property and barrier property was performed. As a result, when the WN X film obtained in this Comparative Example was obtained 100% bottom coverage as coverage (bottom thickness / flat portion thickness). The adhesion in the as depo state was determined in Example 9-
1 was the same, the generation of broken and the reaction product of WN X film in the evaluation of barrier properties was observed, was seen adhesiveness deterioration after the heat treatment. (1) Conditions for semiconductor manufacturing equipment Pressure in chamber: 3 Torr Wafer temperature: 450 ° C. (2) Source gas flow rate: First step: WF 6 / NH 3 / SiH 4 / Ar / N 2 = 80/200/1 / 100/1
00 (sccm) Film thickness: about 200 angstroms Second step (post flow): SiH 4 / Ar / N 2 = 1/100 /
100 (sccm) Processing time: 60 seconds However, Ar gas and N 2 gas were used as carrier gas of WF 6 gas line and NH 3 gas line, respectively.

【0115】比較例9−7 本比較例では、実施例9−1に対して成膜時の条件を次
のように変更してWNX膜を成膜し、実施例9−1の場合
と同様に密着性、バリア性の評価を行った。その結果、
本比較例で得られたWNX膜の場合には、被覆性として5
7%のボトムカバレッジを得た。as depo状態の密着性
は実施例9−1の場合と同等であった。しかし、密着性
及びバリア性の評価のための熱処理によりCuの凝集が
生じ、その後の評価を行えなかった。 (1)半導体製造装置の条件 チャンバー内の圧力:0.5Torr ウエハの温度 :450℃ (2)原料ガス流量: 第1ステップ:WF6/NH3/SiH4/Ar/N2=1/500/5/500/50
0(sccm) 膜厚:約200オングストローム 第2ステップ(ポストフロー):SiH4/Ar/N2=5/500/
500(sccm) 処理時間:60秒間 但し、Arガス、N2ガスはそれぞれWF6ガスライン、NH3
スラインのキャリアガスとして用いた。
[0115] In Comparative Example 9-7 In this comparative example, by changing the condition at the time of film formation as follows forming a WN X film for Examples 9-1, in the case of Example 9-1 Similarly, evaluation of adhesion and barrier properties was performed. as a result,
When the WN X film obtained in this Comparative Example, 5 as coverage
7% bottom coverage was obtained. The adhesion in the as depo state was equivalent to that of Example 9-1. However, heat treatment for evaluation of adhesion and barrier properties caused aggregation of Cu, and subsequent evaluation could not be performed. (1) Conditions of semiconductor manufacturing equipment Pressure in chamber: 0.5 Torr Wafer temperature: 450 ° C. (2) Source gas flow rate: First step: WF 6 / NH 3 / SiH 4 / Ar / N 2 = 1/500 / 5/500/50
0 (sccm) Film thickness: about 200 angstroms Second step (post flow): SiH 4 / Ar / N 2 = 5/500 /
500 (sccm) Processing time: 60 seconds However, Ar gas and N 2 gas were used as carrier gas for WF 6 gas line and NH 3 gas line, respectively.

【0116】以上の本実施例及び比較例による検証か
ら、銅層と他の金属または半導体とのバリア層としてWN
X膜を用いる場合には、カバレージ、密着性、電気的特
性の観点から次の処理が有効であることが判った。 成膜工程でシリコン原子を含むガス(例えばSiH4)を
添加する。または、 成膜工程後にその表面をシリコ
ン原子を含むガス(例えばSiH4)に曝すポストフ ロー処理を行う。また、WNX膜の好ましい成膜条件とし
て次のことが判った。 温度が約300℃より高く、好ましくは約350〜4
50℃であること。 圧力が約0.3Torrよりも高く、好ましくは約1〜3
Torrである。
From the above verification by the present embodiment and the comparative example, WN was used as a barrier layer between the copper layer and another metal or semiconductor.
When the X film was used, the following treatment was found to be effective from the viewpoints of coverage, adhesion, and electrical characteristics. In the film forming step, a gas containing silicon atoms (for example, SiH 4 ) is added. Alternatively, post-flow treatment in which the surface is exposed to a gas containing silicon atoms (for example, SiH 4 ) after the film formation step is performed. Also, the following was found as the preferred conditions for forming the WN X film. The temperature is above about 300 ° C., preferably about 350-4
It must be 50 ° C. The pressure is higher than about 0.3 Torr, preferably about 1-3
Torr.

【0117】また、本発明者等は、WNX膜を形成する下
地の種類と、成膜前の表面処理の好ましい条件について
次のような知見を得ている。ここで、WF6を含み、NH3
含まないガスで処理するモードをAモード、WF6を含ま
ず、NH3を含むガスで処理するモードをBモードと呼ぶ
ことにする。 表面処理条件 ポリシリコン、タングステン、銅、SiO、Ta2O5をはじ
めとする多種類の下地膜に関し、密着性の向上の観点か
らはA、Bいずれのモードとも有効であることが判っ
た。また、下地がポリシリコンである適用例としてトラ
ンジスタのゲート電極をW/WNX/ポリ構造のポリメタルゲ
ートとする場合が典型的であるが、この場合にはトラン
ジスタの電気特性の安定性の観点から膜中のフッ素濃度
を極めて低くする必要がある。このような場合には表面
処理条件としてはBモードがより好ましい。但し、下地
がポリシリコンである場合にも500℃以下の低温で成
膜することが必要でしかもその後の熱工程でも剥がれな
い密着性を得たい場合には、表面処理条件としてAモー
ドが望ましい。ポリシリコンに対するWNX膜の密着性はW
NXの成膜温度が高い時には表面処理がBモードでも問題
ないが、WNXの成膜温度が低い時にはAモードの表面処
理で密着層を予め形成しておかないとその後の熱処理に
耐えられない。下地がSiO膜の場合にはBモードがよ
り好ましい。理由としては、密着性がより向上し、成膜
されたWNX膜の平滑度もより高いからである。キャパシ
タの誘電膜としてのTa2O5膜の上に成膜する時の表面処
理としては、Aモードがより好ましい。理由としては、
リーク電流がより小さくなるからである。
[0117] Further, the present inventors have the type of base to form the WN X film, and obtained the following knowledge for the preferred conditions of the surface treatment prior to deposition. Here, it comprises WF 6, mode A mode for processing a gas containing no NH 3, free of WF 6, will be called a mode for processing a gas containing NH 3 and B-mode. Surface treatment conditions With respect to various types of base films including polysilicon, tungsten, copper, SiO 2 and Ta 2 O 5 , it was found that both modes A and B were effective from the viewpoint of improving adhesion. . In addition, as an application example in which the base is made of polysilicon, it is typical that the gate electrode of the transistor is a polymetal gate having a W / WN X / poly structure. Therefore, it is necessary to extremely reduce the fluorine concentration in the film. In such a case, the B mode is more preferable as the surface treatment condition. However, in the case where it is necessary to form a film at a low temperature of 500 ° C. or less even when the underlying layer is made of polysilicon, and if it is desired to obtain adhesiveness which does not peel off even in a subsequent heating step, the A mode is desirable as a surface treatment condition. Adhesion WN X film to polysilicon W
Although the surface treatment when the deposition temperature of the N X is high no problem in the B-mode, withstand subsequent heat treatment Failure to advance an adhesion layer on the surface treatment of the A mode when the deposition temperature of the WN X is low Absent. When the underlayer is a SiO 2 film, the B mode is more preferable. The reason, adhesion is further improved, smoothness of the deposited WN X film also because higher. As a surface treatment when forming a film on a Ta 2 O 5 film as a dielectric film of a capacitor, A mode is more preferable. The reason is
This is because the leak current becomes smaller.

【0118】尚、本発明は、上記各実施例に何等制限さ
れるものではなく、例えば成膜時及び/または成膜前後
の表面処理の条件(温度、圧力、ガス流量比等)に関し
ては窒化タングステン膜の適用部位に応じて適宜最適な
条件を設定することができる。
The present invention is not limited to the above embodiments. For example, the surface treatment conditions (temperature, pressure, gas flow ratio, etc.) during and / or before and after film formation may be reduced. Optimal conditions can be appropriately set according to the application site of the tungsten film.

【0119】[0119]

【発明の効果】本発明の請求項1〜請求項12に記載の
発明によれば、下地との密着性、被覆性、電気的特性、
バリア性等の観点から半導体装置の種々の適用箇所に最
適な窒化タングステン膜の成膜することができる半導体
装置の製造方法及び半導体製造装置を提供することがで
きる。
According to the first to twelfth aspects of the present invention, adhesion to a base, covering properties, electrical characteristics,
It is possible to provide a semiconductor device manufacturing method and a semiconductor manufacturing apparatus capable of forming a tungsten nitride film optimal in various application portions of a semiconductor device from the viewpoint of barrier properties and the like.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明の半導体装置の製造方法に好適に用いら
れる本発明の半導体製造装置の一実施形態を示す断面図
である。
FIG. 1 is a cross-sectional view showing one embodiment of a semiconductor manufacturing apparatus of the present invention suitably used in a method of manufacturing a semiconductor device of the present invention.

【図2】0.35μm径で1.34μm深さのホールの
底部におけるNH3/WF6の流量比とボトムカバレッジとの
関係を示すグラフである。
FIG. 2 is a graph showing the relationship between the NH 3 / WF 6 flow ratio and the bottom coverage at the bottom of a hole having a diameter of 0.35 μm and a depth of 1.34 μm.

【図3】WNXを形成する際のアレニウスプロットを示す
グラフで、(a)はNH3の流量の影響を観るグラフ、
(b)はNH3/WF6の流量比の影響をみるグラフである。
[Figure 3] a graph showing Arrhenius plots of the time of forming the WN X, (a) is a graph WATCHING influence of the flow rate of NH 3,
(B) is a graph showing the effect of the NH 3 / WF 6 flow ratio.

【図4】WNXを形成する際のアレニウスプロットをグラ
フで、(a)、(b)は成膜時の圧力の影響を観るグラ
フである。
[Figure 4] graphically Arrhenius plot in forming a WN X, (a), ( b) is a graph WATCHING effect of pressure during film formation.

【図5】WNXを形成する際のサチュレーションカーブを
示すグラフで、(a)はNH3の流量の影響を観るグラ
フ、(b)は成膜時の圧力の影響を観るグラフ、(c)
はキャリアガス(Ar、N2)の流量の影響を観るグラフで
ある。
[5] a graph showing the saturation curve for forming the WN X, (a) Graph WATCHING influence of the flow rate of NH 3 is, (b) graph WATCHING effect of pressure during film formation, (c)
Is a graph showing the effect of the flow rate of the carrier gas (Ar, N 2 ).

【図6】WNX膜の結晶化度及びその窒素原子とタングス
テン原子の組成比(N/W)を示すグラフで、(a)は成
膜温度と結晶化度の関係を示すグラフ、(b)は成膜温
度と組成比(N/W)の関係を示すグラフである。
[6] a graph showing the WN X film crystallinity and the composition ratio of the nitrogen atoms and tungsten atoms (N / W), (a ) is a graph showing the crystallinity of the relationship between the film forming temperature, (b 4) is a graph showing the relationship between the film forming temperature and the composition ratio (N / W).

【符号の説明】[Explanation of symbols]

10 シャワーヘッド(ガス供給機構) 11 第1ガス流入口(第1流入口) 11C 第1下段ガス流路(第1流出口) 12 第2ガス流入口(第2流入口) 12C 第2下段ガス流路(第2流出口) 20 チャンバー(成膜室) 30 サセプタ(保持体) 40 排気管(排気部) 91 バルブ(圧力調整機構) Reference Signs List 10 shower head (gas supply mechanism) 11 first gas inlet (first inlet) 11C first lower gas passage (first outlet) 12 second gas inlet (second inlet) 12C second lower gas Flow path (second outflow port) 20 Chamber (film formation chamber) 30 Susceptor (holding body) 40 Exhaust pipe (exhaust section) 91 Valve (pressure adjusting mechanism)

フロントページの続き (72)発明者 有馬 進 山梨県韮崎市藤井町北下条2381番地の1 東京エレクトロン山梨株式会社内 (72)発明者 河野 有美子 山梨県韮崎市藤井町北下条2381番地の1 東京エレクトロン山梨株式会社内 (72)発明者 立花 光博 山梨県韮崎市藤井町北下条2381番地の1 東京エレクトロン山梨株式会社内 (72)発明者 細田 恵三 山梨県韮崎市藤井町北下条2381番地の1 東京エレクトロン山梨株式会社内Continued on the front page (72) Inventor Susumu Arima 2381-1, Kita-Shimojo, Fujii-machi, Nirasaki, Yamanashi Prefecture Inside Tokyo Electron Yamanashi Co., Ltd. (72) Inventor Yumiko Kono 1-231, 2381 Kita-Shimojo, Fujii-machi, Nirasaki, Yamanashi Prefecture Tokyo Electron Inside Yamanashi Co., Ltd. (72) Inventor Mitsuhiro Tachibana One at 2381 Kita Shimojo, Fujii-machi, Nirasaki City, Yamanashi Prefecture Inside Tokyo Electron Co., Ltd. (72) Inventor Keizo Hosoda One at 2381 Kita Shimojo, Fujii-machi, Nirasaki City, Yamanashi Prefecture Tokyo Electron Yamanashi Co., Ltd.

Claims (12)

【特許請求の範囲】[Claims] 【請求項1】 タングステン原子を含む第1原料ガスと
窒素原子を含む第2原料ガスを用いて被処理体に窒化タ
ングステン膜を形成して半導体装置を製造する方法にお
いて、上記窒化タングステン膜の形成前に第1原料ガス
を上記被処理体に接触させることを特徴とする半導体装
置の製造方法。
1. A method for manufacturing a semiconductor device by forming a tungsten nitride film on an object to be processed using a first source gas containing tungsten atoms and a second source gas containing nitrogen atoms, wherein the formation of the tungsten nitride film is performed. A method for manufacturing a semiconductor device, comprising: bringing a first source gas into contact with the object to be processed before.
【請求項2】 成膜前に第1原料ガスを上記被処理体に
接触させる条件として、上記被処理体の処理圧力を0.
1〜20Torrに設定すると共に上記被処理体の処理温度
を300〜500℃に設定し、且つ、第1原料ガスの流
量を0.5〜10sccm、または第1原料ガスの分圧を5
×10-4〜10Torrに設定することを特徴とする請求項
1に記載の半導体装置の製造方法。
2. As a condition for bringing a first raw material gas into contact with the object before film formation, the processing pressure of the object is set to 0.1 to 1.0.
The processing temperature of the object to be processed is set to 300 to 500 ° C., the flow rate of the first source gas is set to 0.5 to 10 sccm, or the partial pressure of the first source gas is set to 5 to 20 Torr.
2. The method for manufacturing a semiconductor device according to claim 1, wherein the pressure is set at 10-4 to 10 Torr.
【請求項3】 第1原料ガスと第2原料ガスを用いて上
記窒化タングステン膜を形成する条件として、上記被処
理体の処理圧力を0.1〜50Torrに設定すると共に上
記被処理体の温度を300〜650℃に設定し、且つ、
第1原料ガスの流量を0.5〜100sccm、または第1
原料ガスの分圧を5×10-4〜50Torrに設定すると共
に第2原料ガスの流量を20〜1000sccmに設定する
ことを特徴とする請求項1または請求項2に記載の半導
体装置の製造方法。
3. The conditions for forming the tungsten nitride film using the first source gas and the second source gas include setting a processing pressure of the object to be processed to 0.1 to 50 Torr and a temperature of the object to be processed. Is set to 300 to 650 ° C., and
The flow rate of the first source gas is 0.5 to 100 sccm, or
3. The method of manufacturing a semiconductor device according to claim 1, wherein the partial pressure of the source gas is set to 5 × 10 −4 to 50 Torr and the flow rate of the second source gas is set to 20 to 1000 sccm. .
【請求項4】 第1原料ガスとして六フッ化タングステ
ンを用いると共に第2原料ガスとしてアンモニアガスを
用いることを特徴とする請求項1〜請求項3のいずれか
1項に記載の半導体装置の製造方法。
4. The semiconductor device according to claim 1, wherein tungsten hexafluoride is used as the first source gas and ammonia gas is used as the second source gas. Method.
【請求項5】 原料ガスを供給するガス供給機構と、こ
のガス供給機構に連設された成膜室と、この成膜室内に
配設され且つ上記被処理体を保持する温度調整可能な保
持体と、この保持体で保持された被処理体の成膜処理後
のガスを排気する排気部とを備え、上記原料ガスとして
タングステン原子を含む第1原料ガスと窒素原子を含む
第2原料ガスを供給して上記成膜室内で上記被処理体に
窒化タングステン膜を形成する装置において、上記ガス
供給機構は、第1、第2原料ガスがそれぞれ個別に流入
する第1、第2流入口と、第1、第2流入口とそれぞれ
連通し第1、第2原料ガスが個別に流出する第1、第2
流出口とを有し、また、上記成膜室内の圧力を調整する
圧力調整機構を上記成膜室または上記排気部に設けたこ
とを特徴とする半導体製造装置。
5. A gas supply mechanism for supplying a raw material gas, a film forming chamber connected to the gas supply mechanism, and a temperature-adjustable holding unit disposed in the film forming chamber and holding the object to be processed. A first source gas containing tungsten atoms and a second source gas containing nitrogen atoms as the source gas. In the film formation chamber to form a tungsten nitride film on the object to be processed in the film formation chamber, the gas supply mechanism includes first and second inlets into which first and second source gases respectively flow. , The first and the second source gases respectively communicating with the first and the second inlets, and the first and the second source gases are individually discharged.
And a pressure adjusting mechanism for adjusting a pressure in the film forming chamber is provided in the film forming chamber or the exhaust unit.
【請求項6】 タングステン原子を含む第1原料ガスと
窒素原子を含む第2原料ガスとを用いて被処理体に窒化
タングステン膜を形成して半導体装置を製造する方法に
おいて、上記窒化タングステン膜の形成前に第1、第2
原料ガスのいずれか一方を含有するガスを上記被処理体
表面に接触させることを特徴とする半導体装置の製造方
法。
6. A method for manufacturing a semiconductor device by forming a tungsten nitride film on an object to be processed using a first source gas containing tungsten atoms and a second source gas containing nitrogen atoms. First, second before forming
A method for manufacturing a semiconductor device, comprising: bringing a gas containing any one of source gases into contact with the surface of an object to be processed.
【請求項7】 タングステン原子を含む第1原料ガスと
窒素原子を含む第2原料ガスとを用いて被処理体に窒化
タングステン膜を形成して半導体装置を製造する方法に
おいて、上記窒化タングステン膜の形成時にシリコン原
子を含むガスを添加して上記窒化タングステン膜の形成
を行うことを特徴とする半導体装置の製造方法。
7. A method for manufacturing a semiconductor device by forming a tungsten nitride film on an object to be processed using a first source gas containing tungsten atoms and a second source gas containing nitrogen atoms. A method for manufacturing a semiconductor device, comprising forming a tungsten nitride film by adding a gas containing silicon atoms during formation.
【請求項8】 タングステン原子を含む第1原料ガスと
窒素原子を含む第2原料ガスとを用いて被処理体に窒化
タングステン膜を形成して半導体装置を製造する方法に
おいて、上記窒化タングステン膜の形成後にシリコン原
子を含むガスを含有するガスを上記窒化タングステン膜
に接触させることを特徴とする半導体装置の製造方法。
8. A method for manufacturing a semiconductor device by forming a tungsten nitride film on an object to be processed using a first source gas containing tungsten atoms and a second source gas containing nitrogen atoms. A method for manufacturing a semiconductor device, comprising contacting a gas containing a gas containing silicon atoms with the tungsten nitride film after the formation.
【請求項9】 上記窒化タングステン膜の表面層の窒素
濃度を高める工程を含むことを特徴とする請求項6〜8
のいずれか1項に記載の半導体装置の製造方法。
9. The method according to claim 6, further comprising the step of increasing the nitrogen concentration of a surface layer of said tungsten nitride film.
13. The method for manufacturing a semiconductor device according to claim 1.
【請求項10】 上記窒化タングステン膜の表面層の窒
素濃度を高める工程として、窒素原子を含むガス雰囲気
中でのアニールまたは窒素原子を含むガスのプラズマ照
射を行うことを特徴とする請求項9に記載の半導体装置
の製造方法。
10. The method according to claim 9, wherein the step of increasing the nitrogen concentration in the surface layer of the tungsten nitride film includes annealing in a gas atmosphere containing nitrogen atoms or plasma irradiation of a gas containing nitrogen atoms. The manufacturing method of the semiconductor device described in the above.
【請求項11】 上記窒化タングステン膜の表面を酸化
する工程を含むことを特徴とする請求項7または請求項
8に記載の半導体装置の製造方法。
11. The method according to claim 7, further comprising a step of oxidizing a surface of the tungsten nitride film.
【請求項12】 上記窒化タングステン膜の表面を酸化
する工程として、酸素または酸素を含むガス雰囲気中で
のアニールにより上記窒化タングステン膜の表面にシリ
コン酸化物を形成することを特徴とする請求11に記載
の半導体装置の製造方法。
12. The method according to claim 11, wherein the step of oxidizing the surface of the tungsten nitride film includes forming silicon oxide on the surface of the tungsten nitride film by annealing in an atmosphere of oxygen or a gas containing oxygen. The manufacturing method of the semiconductor device described in the above.
JP11236687A 1998-10-26 1999-08-24 Manufacture of semiconductor device and semiconductor manufacture device Withdrawn JP2000200762A (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP11236687A JP2000200762A (en) 1998-10-26 1999-08-24 Manufacture of semiconductor device and semiconductor manufacture device
US09/425,971 US6399484B1 (en) 1998-10-26 1999-10-25 Semiconductor device fabricating method and system for carrying out the same
TW088118483A TW495801B (en) 1998-10-26 1999-10-26 Semiconductor device fabricating method and system for carrying out the same
KR1019990046706A KR100610416B1 (en) 1998-10-26 1999-10-26 Semiconductor device fabricating method and system for carrying out the same

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP10-321267 1998-10-26
JP32126798 1998-10-26
JP11236687A JP2000200762A (en) 1998-10-26 1999-08-24 Manufacture of semiconductor device and semiconductor manufacture device

Publications (1)

Publication Number Publication Date
JP2000200762A true JP2000200762A (en) 2000-07-18

Family

ID=26532795

Family Applications (1)

Application Number Title Priority Date Filing Date
JP11236687A Withdrawn JP2000200762A (en) 1998-10-26 1999-08-24 Manufacture of semiconductor device and semiconductor manufacture device

Country Status (1)

Country Link
JP (1) JP2000200762A (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6486063B2 (en) 2000-03-02 2002-11-26 Tokyo Electron Limited Semiconductor device manufacturing method for a copper connection
WO2011001558A1 (en) * 2009-07-01 2011-01-06 パナソニック株式会社 Semiconductor device and method of producing same
KR20190065129A (en) * 2017-12-01 2019-06-11 도쿄엘렉트론가부시키가이샤 Semiconductor device manufacturing method and film forming apparatus
JP2019102780A (en) * 2017-12-01 2019-06-24 東京エレクトロン株式会社 Semiconductor device manufacturing method and deposition apparatus

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6486063B2 (en) 2000-03-02 2002-11-26 Tokyo Electron Limited Semiconductor device manufacturing method for a copper connection
WO2011001558A1 (en) * 2009-07-01 2011-01-06 パナソニック株式会社 Semiconductor device and method of producing same
KR20190065129A (en) * 2017-12-01 2019-06-11 도쿄엘렉트론가부시키가이샤 Semiconductor device manufacturing method and film forming apparatus
JP2019102780A (en) * 2017-12-01 2019-06-24 東京エレクトロン株式会社 Semiconductor device manufacturing method and deposition apparatus
KR102396170B1 (en) * 2017-12-01 2022-05-10 도쿄엘렉트론가부시키가이샤 Semiconductor device manufacturing method and film forming apparatus

Similar Documents

Publication Publication Date Title
KR100610416B1 (en) Semiconductor device fabricating method and system for carrying out the same
US6548402B2 (en) Method of depositing a thick titanium nitride film
US6326690B2 (en) Method of titanium/titanium nitride integration
US20030072884A1 (en) Method of titanium and titanium nitride layer deposition
US6475912B1 (en) Semiconductor device and method and apparatus for fabricating the same while minimizing operating failures and optimizing yield
US7514120B2 (en) Precoat film forming method
JP2001291682A (en) Plasma treatment of titanium nitride film formed by chemical vapor deposition
US20150050806A1 (en) METHOD FOR FORMING TiSiN THIN FILM LAYER BY USING ATOMIC LAYER DEPOSITION
KR20080000687A (en) Method for forming tisin film and semiconductor device
WO2006019603A2 (en) Thin tungsten silicide layer deposition and gate metal integration
WO2005074034A1 (en) Semiconductor device
WO2010087362A1 (en) Film formation method, and plasma film formation apparatus
US20020192396A1 (en) Method of titanium/titanium nitride integration
WO2005069358A1 (en) Film-forming method
US7524766B2 (en) Method for manufacturing semiconductor device and substrate processing apparatus
JP5526189B2 (en) Method for forming Cu film
JP5599623B2 (en) Protection of conductors from oxidation in the deposition chamber
WO2006126440A1 (en) Method of film formation and computer-readable storage medium
JP2000200762A (en) Manufacture of semiconductor device and semiconductor manufacture device
JPH10144627A (en) Sticking method of conductive diffusion barrter layer
JP3904750B2 (en) Semiconductor device manufacturing method and semiconductor manufacturing apparatus
TW201114942A (en) Film forming method and plasma film forming apparatus
JP2003068676A (en) Method and device for producing semiconductor
JP2000235963A (en) Manufacture of barrier film and the barrier film
TW201301397A (en) Substrate processing method

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060703

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060802

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20071225

A761 Written withdrawal of application

Free format text: JAPANESE INTERMEDIATE CODE: A761

Effective date: 20090611