JP2000122867A - Trap processing method - Google Patents

Trap processing method

Info

Publication number
JP2000122867A
JP2000122867A JP11336929A JP33692999A JP2000122867A JP 2000122867 A JP2000122867 A JP 2000122867A JP 11336929 A JP11336929 A JP 11336929A JP 33692999 A JP33692999 A JP 33692999A JP 2000122867 A JP2000122867 A JP 2000122867A
Authority
JP
Japan
Prior art keywords
instruction
register
unit
address
instructions
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP11336929A
Other languages
Japanese (ja)
Inventor
Re Toron Guen
トロン グエン,レ
Delek J Lenz
デレク ジェイ. レンツ,
Yoshiyuki Miyayama
ヨシユキ ミヤヤマ,
Sanjibu Garuku
サンジブ ガルク,
Yasuaki Hagiwara
ヤスアキ ハギワラ,
Johanes Wan
ジョハネス ワン,
Kwan H Tran
クワン エイチ. トラン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Seiko Epson Corp
Original Assignee
Seiko Epson Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Seiko Epson Corp filed Critical Seiko Epson Corp
Priority to JP11336929A priority Critical patent/JP2000122867A/en
Publication of JP2000122867A publication Critical patent/JP2000122867A/en
Pending legal-status Critical Current

Links

Abstract

PROBLEM TO BE SOLVED: To prevent the delay for protecting the contents of registers by calling an exception handler when an instruction includes a synchronism exception before the specific execution time of a prefetch instruction. SOLUTION: When a software trap instruction is detected by a CF-DET unit, the internal exception mark bit in an instruction logic unit which corresponds to the instruction is set and a software trap number is stored corresponding to the trap instruction. An IFU 102 prefetches and puts a trap handler in an MBU lower instruction stream buffer and a logic unit sends the exception mark bit of the instruction set to an IEU 104 and reports which instruction in the instruction set is already judged to be the generation source of the synchronism exception in such a case. The IEU 104 does not respond immediately and all the instructions in the instruction set are scheduled by an ordinary method.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、マイクロプロセッ
サ・アーキテクチャに関し、さらに具体的には、マイク
ロプロセッサにおける割り込みと例外の処理に関する。
FIELD OF THE INVENTION The present invention relates to microprocessor architectures, and more particularly, to handling interrupts and exceptions in a microprocessor.

【0002】なお、本明細書の記述は本件出願の優先権
の基礎たる米国特許出願07/726,942号の明細
書の記載に基づくものであって、当該米国特許出願の番
号を参照することによって当該米国特許出願の明細書の
記載内容が本明細書の一部分を構成するものとする。
[0002] The description in this specification is based on the description in the specification of US Patent Application No. 07 / 726,942, which is a priority document of the present application. The contents of the description of the United States patent application form a part of the present specification.

【0003】[0003]

【従来の技術】関連特許出願相互参照以下に列挙した米
国特許出願は本件特許出願と同時に米国特許出願され、
係属中のものであるが、これらの米国特許出願に開示さ
れており、かつそれぞれに対応して出願された日本での
特許出願に開示されている事項は、その出願番号を本明
細書で引用することにより本明細書の一部を構成するも
のとする。 1.発明の名称「高性能RISCマイクロプロセッサ・
アーキテクチャ」(High−Performance
RISC Microprocessor Arch
itecture)SMOS 7984 MCF/GB
R,米国特許出願第07/727,006号、1991
年7月8日出願、発明者Le T.Nguyen他、お
よびこれに対応する特願平5−502150(特表平6
−501122号公報)。 2.発明の名称「拡張可能RISCマイクロプロセッサ
・アーキテクチヤ」(Extensible RISC
Microprocessor Architect
ure)SMOS 7985 MCF/GBR,米国特
許出願第07/727,058号、1991年7月8日
出願、発明者Le T.Nguyen他、およびこれに
対応する特願平5−502153(特表平6−5011
24号公報)。 3.「アーキテクチャ上の依存関係を隔離したRISC
マイクロプロセッサ・アーキテクチャ」(RISC M
icroprocessor Architectur
e with isolated Architect
ural Dependencies)SMOS 79
87 MCF/GBR,米国特許出願第07/726,
744号、1991年7月8日出願、発明者Le T.
Nguyen他、およびこれに対応する特願平5−50
2152(特表平6−502034号公報)。 4.発明の名称「複数型レジスタ・セットを採用したR
ISCマイクロプロセッサ・アーキテクチャ」(RIS
C Microprocessor Architec
ture Implementing Multipl
e TypedRegister Sets)SMOS
7988 MCF/GBR/RCC,米国特許出願第
07/726,773号、1991年7月8日出願、発
明者Sanjiv Garg他、およびこれに対応する
特願平5−502403(特表平6−501805号公
報)。 5.発明の名称「シングル・チップ・ページ・プリンタ
・コントローラ」(Single Chip Page
Printer Controller)SMOS
7991 MCF/GBR,米国特許出願第07/72
6,929号、1991年7月8日出願、発明者Der
ek J.Lentze他、およびこれに対応する特願
平5−502149(特表平6−501586号公
報)。 6.発明の名称「複数の異種プロセッサをサポートする
ことのできるマイクロプロセッサ・アーキテクチャ」
(Microprocessor Architect
ure Capable of Supporting
MultipleHeterogeneous Pr
ocessors)SMOS 7992MCF/WM
B,米国特許出願第07/726,893号、1991
年7月8日出願、発明者Derek J.Lentze
他、およびこれに対応する特願平5−502151(特
表平6−501123号公報)。
BACKGROUND OF THE INVENTION Cross-Reference to Related Patent Applications The U.S. patent applications listed below are filed concurrently with the present patent application,
Although pending, the matters disclosed in these U.S. patent applications and disclosed in correspondingly filed Japanese patent applications, are referenced in their application numbers herein. And thereby constitute a part of the present specification. 1. Title of invention "High performance RISC microprocessor
Architecture "(High-Performance)
RISC Microprocessor Arch
item) SMOS7984 MCF / GB
R, US patent application Ser. No. 07 / 727,006, 1991.
Filed on July 8, 2012, filed by the inventor Le T. Nguyen et al. And Japanese Patent Application No. 5-502150 (Japanese Patent Application No.
-501122). 2. Title of the Invention "Extensible RISC Microprocessor Architecture"
Microprocessor Architect
ure) SMOS 7895 MCF / GBR, U.S. patent application Ser. No. 07 / 727,058, filed Jul. 8, 1991, inventor LeT. Nguyen et al. And corresponding Japanese Patent Application No. 5-502153 (Japanese Patent Application No. Hei 6-5011).
No. 24). 3. "RISC with isolated architectural dependencies
Microprocessor Architecture ”(RISC M
microprocessor Architecture
e with isolated Architecture
ural Dependencies) SMOS 79
87 MCF / GBR, US patent application Ser.
No. 744, filed on Jul. 8, 1991, inventor LeT.
Nguyen et al. And corresponding Japanese Patent Application No. 5-50.
2152 (Japanese Unexamined Patent Publication No. 6-502034). 4. Title of Invention "R Using Multiple Register Set"
ISC Microprocessor Architecture ”(RIS
C Microprocessor Architectec
cure Implementing Multipl
e Typed Register Sets) SMOS
7988 MCF / GBR / RCC, U.S. Patent Application No. 07 / 726,773, filed July 8, 1991, inventor Sanjiv Garg et al. No.). 5. Title of Invention "Single Chip Page Printer Controller" (Single Chip Page)
Printer Controller) SMOS
7991 MCF / GBR, US patent application Ser. No. 07/72.
No. 6,929, filed on Jul. 8, 1991, inventor Der
ek J. Lentze et al. And Japanese Patent Application No. 5-502149 corresponding thereto (Japanese Patent Application Laid-Open No. 6-501586). 6. Title of the invention "Microprocessor architecture capable of supporting multiple heterogeneous processors"
(Microprocessor Architect
ure Capable of Supporting
Multiple Heterogeneous Pr
processors) SMOS 7992MCF / WM
B, U.S. patent application Ser. No. 07 / 726,893, 1991.
Filed on July 8, 1980, filed by the inventor Derek J. Lentze
Others and corresponding Japanese Patent Application No. 5-502151 (Japanese Patent Application No. 6-501123).

【0004】関連技術の説明 代表的なマイクロプロセッサでは、制御の流れ(フロ
ー)を変える命令が現れるか、例外が発生するのでなけ
れば、命令は順序通りに実行されるのが普通である。例
外に関しては、特定の事象が発生したとき、その事象が
命令ストリームの中の特定の命令に関連して起こったか
否かに関係なく、制御の流れを変更する機構が組み込ま
れている。例えば、マイクロプロセッサは割込み要求
(IRQ)リード(1ead)を含んでいる場合があ
り、このリードが外部デバイスによってアクティベート
(活動化)されると、マイクロプロセッサは次に実行す
べき命令のアドレスの表示を含めて、マシンの現在状態
(ステート)に関するある種の情報をセーブしておき、
そのあと、直ちに割込みハンドラ(1nterrupt
handler)に制御権(コントロール)を渡すと、
割込みハンドラはある所定のアドレスから開始するよう
になっている。別の例では、ある特定の命令の実行中
に、ゼロによる除算(divide−by−zero)
などの例外エラーが発生すると、マイクロプロセッサは
マシンの現在状態に関する情報をセーブしてから、制御
権を例外ハンドラに渡すようになっている。また、別の
例では、ある種のマイクロプロセッサは、それぞれの命
令セットに「ソフトウェア・トラップ」命令を用意して
いる。この場合も、マイクロプロセッサはマシンの現在
状態に関する情報をセーブしてから、制御権を例外ハン
ドラに渡すようになっている。本明細書で用いられてい
る割込み、トラップ、障害および例外の用語は交換可能
に使用されている。
Description of the Related Art In a typical microprocessor, instructions are usually executed in order unless an instruction that changes the flow of control appears or an exception occurs. With respect to exceptions, mechanisms are incorporated to change the flow of control when a particular event occurs, regardless of whether the event occurred in relation to a particular instruction in the instruction stream. For example, a microprocessor may include an interrupt request (IRQ) read (1head), which when activated by an external device causes the microprocessor to indicate the address of the next instruction to be executed. Save some information about the current state of the machine, including
Then, immediately, the interrupt handler (1 interrupt)
hander),
The interrupt handler starts at a predetermined address. In another example, during execution of a particular instruction, a division-by-zero may occur.
When an exception error occurs, the microprocessor saves information about the current state of the machine and then passes control to the exception handler. In another example, certain microprocessors provide a "software trap" instruction in each instruction set. Again, the microprocessor saves information about the current state of the machine and then passes control to the exception handler. The terms interrupt, trap, fault, and exception as used herein are used interchangeably.

【0005】一部のマイクロプロセッサでは、割込みが
外部で発生すると、マイクロプロセッサは制御権を同じ
割込みハンドラの入口点(entry point)に
渡すようになっている。複数の外部デバイスが存在し、
それらのデバイスが割込み要求リードをアクティベート
できる場合は、割込みハンドラはどのデバイスが割込み
を引き起こしたかをまず判断してから、その特定デバイ
スを処理するコード部分に制御権を渡さなければならな
い。例えば、インテル8048マイクロプロセッサはI
NT入力を含んでおり、これがアクティベートされる
と、マイクロコントローラは制御権を絶対メモリ・ロケ
ーション3へ渡すようになっている。また、8048は
RESET入力も含んでおり、これがアクティベートさ
れると、マイクロコントローラは制御権を絶対メモリ・
ロケーション0へ渡すようになっている。また、内部タ
イマ/カウンタも含んでおり、これが割込みを引き起こ
すと制御権が絶対メモリ・ロケーション7へ渡されるよ
うになっている。
[0005] In some microprocessors, when an interrupt occurs externally, the microprocessor passes control to the entry point of the same interrupt handler. There are multiple external devices,
If those devices can activate an interrupt request read, the interrupt handler must first determine which device caused the interrupt and then give control to the piece of code that handles that particular device. For example, the Intel 8048 microprocessor has an I
It includes an NT input which, when activated, causes the microcontroller to pass control to absolute memory location 3. 8048 also includes a RESET input which, when activated, causes the microcontroller to transfer control to the absolute memory.
Pass it to location 0. It also contains an internal timer / counter, which causes control to be passed to absolute memory location 7 when it causes an interrupt.

【0006】他のマイクロプロセッサは割込み要求リー
ドの他に「割込みレベル」リードを含んでいる。これら
のマイクロプロセッサでは、外部デバイスが割込み要求
リードをアクティベートするとき、その特定のデバイス
に固有のトラップ番号も割込みレベル・ライン上に送出
する。これを受けて、マイクロプロセッサの内部ハード
ウェアは制御権、つまり、「ベクトル」を各々が異なる
トラップ番号に対応している複数の割込みハンドラの1
つに渡す。同様に、いくつかのマイクロプロセッサで
は、内部で発生した例外を処理するように書かれたすべ
てのルーチンに対して1つだけの入口点があらかじめ定
められており、他のマイクロプロセッサでは、発生する
可能性のある内部例外の各特定のタイプに対して定義さ
れたトラップ番号に依存するルーチンヘ自動的にベクト
ルを渡す機構が備わっている。
Other microprocessors include an "interrupt level" read in addition to the interrupt request read. In these microprocessors, when an external device activates an interrupt request read, it also sends out a trap number unique to that particular device on the interrupt level line. In response, the microprocessor's internal hardware takes control, that is, the "vector" of one of a plurality of interrupt handlers, each corresponding to a different trap number.
Pass to one. Similarly, some microprocessors have only one entry point predefined for all routines written to handle internally raised exceptions, while others have A mechanism is provided for automatically passing vectors to routines that depend on the trap number defined for each particular type of possible internal exception.

【0007】従来は、割込みハンドラおよび例外ハンド
ラにベクトルが渡される場合、該当のハンドラの入口点
を判断するために、いくつかの手法が用いられていた。
ある手法では、特定のテーブル・ベース・アドレスを始
点として、アドレス・テーブルが作成されており、その
ベース・アドレスは固定しているか、ユーザが定義でき
るようになっている。テーブルの各エントリ(項目)は
アドレスの長さと同じ長さに、例えば、2バイトまたは
4バイト長になっており、対応するトラップ番号に対す
る入口点を収容していた。割込みまたは例外が発生する
と、マイクロプロセッサはまずテーブルのベース・アド
レスを判断してから、トラップ番号をm倍したものを加
え(ただし、mは各エントリ内のバイト数である)、そ
のあと、求めたアドレスにストアされている情報をプロ
グラム・カウンタ(PC)にロードし、テーブル・エン
トリに指定されているアドレスから始まるルーチンヘ制
御権を渡していた。
Conventionally, when a vector is passed to an interrupt handler and an exception handler, several methods have been used to determine the entry point of the corresponding handler.
In one approach, an address table is created starting from a particular table base address, and the base address is fixed or user-definable. Each entry (item) in the table has the same length as the address, for example, 2 bytes or 4 bytes, and contains the entry point for the corresponding trap number. When an interrupt or exception occurs, the microprocessor first determines the base address of the table, adds m times the trap number (where m is the number of bytes in each entry), and then calculates The information stored at the address is loaded into the program counter (PC), and control is passed to the routine starting from the address specified in the table entry.

【0008】他のマイクロプロセッサでは、ハンドラの
アドレスだけをストアするのではなく、ブランチ命令全
体をテーブル内の各エントリにストアしていた。各エン
トリ内のバイト数はブランチ命令のバイト数と等しくな
っていた。割込みまたは例外を受け取ると、マイクロプ
ロセッサはまずテーブル・ベース・アドレスを判断し、
トラップ番号をm倍したものを加え、その結果をプログ
ラム・カウンタにロードするだけであった。そのあと最
初に実行される命令はテーブル内のブランチ命令であ
り、最終的に制御権が該当の例外ハンドラに渡されてい
た。
In other microprocessors, instead of storing only the address of the handler, the entire branch instruction is stored in each entry in the table. The number of bytes in each entry was equal to the number of bytes in the branch instruction. Upon receiving an interrupt or exception, the microprocessor first determines the table base address,
All that was required was to add the m times the trap number and load the result into the program counter. The first instruction executed after that was a branch instruction in the table, which ultimately passed control to the exception handler.

【0009】[0009]

【発明が解決しようとする課題】ハンドラにベクトルを
渡す上述の手法のどちらも、予備的オペレーションを実
行してからでなければ、ハンドラのオペレーション部分
は実行を開始できないために遅延(待ち時間)が起こっ
ている。最初に挙げた手法では、入口点アドレスを最初
にテーブルから取り出してからでなければ、入口点アド
レスをプログラム・カウンタにロードできなかった。2
番目に挙げた手法では、予備的ブランチ命令を取り出し
て、実行させてからでなければ、ハンドラの重要部分は
実行を開始できなかった。トラップ番号をm倍したもの
をテーブル・ベース・アドレスに加える計算時に起こる
加算の遅延は、ベース・アドレスからの上位ビットを下
位ビットとしてトラップ番号を連結し、そのあとにlo
2 m個のゼロ・ビットを続けるだけで回避できるが、
上述した予備的オペレーションが原因で起こる遅延はそ
のまま残っていた。このような遅延は、ある種の割込み
を処理するためのレスポンス・タイム(応答時間)を重
要とするシステムでは、支障となるおそれがある。
Neither of the above techniques for passing a vector to a handler has a delay (latency) since the preliminary operation must be performed before the operation portion of the handler can begin execution. is happening. In the first approach, the entry point address could not be loaded into the program counter without first retrieving the entry point address from the table. 2
In the second approach, a critical portion of the handler could only begin executing after fetching and executing a preliminary branch instruction. The addition delay that occurs during the calculation of adding the m times the trap number to the table base address is caused by concatenating the trap number with the upper bits from the base address as the lower bits, followed by the lo
g 2 m can be avoided by just continuing m zero bits,
The delay caused by the preliminary operations described above remained. Such a delay may be a hindrance in a system where response time (response time) for processing a certain type of interrupt is important.

【0010】従来のマイクロプロセッサにおける例外処
理に関係するもう1つの問題は、トラップ・ハンドラが
メイン命令フローに戻ったとき、「マシンの状態」(s
tate of machine)を復元できるように
ストアしておく必要がある情報量に関係するものであ
る。できるだけ多くの情報をストアする必要性と、トラ
ップ・ハンドラヘディスパッチするときの遅延を最小に
する必要性とはトレードオフの関係にある。特に、オン
・チップ・データ・レジスタについては、オン・チップ
・データ・レジスタはどれもストアしておかないで、デ
ータを各レジスタに一時的にストアすることをハンドラ
に任せておき、そのあとでハンドラが独自の目的に使用
できるようにする手法が用いられていた。この場合、ハ
ンドラは戻る前にレジスタに入っているデータを置き換
える必要があった。この場合には、これらのレジスタを
ストアし、復元する必要があるので、ハンドラのオペレ
ーションが大幅に遅くなる可能性がある。別の手法で
は、ハードウェアがレジスタの内容をスタック上に自動
的にストアしてから、制御権をハンドラに渡すようにし
ている。この手法も、ハードウェアを複雑化すると共
に、ハンドラヘの制御権移動が大幅に遅延化する可能性
があるので、不適切である。従って、上述したベクトル
手法による場合は、トラップ・ハンドラの呼出し時にレ
ジスタの内容を保護するために既存の手法で起こる遅延
は、高性能マイクロプロセッサでは許容し得ないもので
ある。
Another problem associated with exception handling in conventional microprocessors is that when the trap handler returns to the main instruction flow, the "machine state" (s
This is related to the amount of information that needs to be stored so that the state of machine can be restored. There is a trade-off between the need to store as much information as possible and the need to minimize delays when dispatching to a trap handler. In particular, for the on-chip data registers, do not store any of the on-chip data registers, leave the data to each register temporarily, and leave it to the handler. Techniques were used to allow the handler to be used for its own purposes. In this case, the handler had to replace the data in the register before returning. In this case, the operation of the handler can be significantly slowed down because these registers need to be stored and restored. In another approach, the hardware automatically stores the contents of the registers on the stack before passing control to the handler. This method is also inappropriate because it complicates the hardware and can significantly delay the transfer of control to the handler. Therefore, with the vector approach described above, the delays that occur in existing approaches to protect the contents of registers when a trap handler is invoked are unacceptable in high performance microprocessors.

【0011】[0011]

【課題を解決するための手段】本発明によれば、公知シ
ステムにおける上述した問題の多くを解消するマイクロ
プロセッサ・アーキテクチャが採用されている。特に、
「高速トラップ」例外ディスパッチ手法が採用されてい
る。この手法によれば、ハンドラ全体を単一のベクトル
・アドレス・テーブル・エントリにストアしておくこと
ができる。各テーブル・エントリには、少なくとも2
個、好ましくはそれ以上の命令を収めておくだけのスペ
ースがあるので、高速トラップが起こったとき、マイク
ロプロセッサはトラップ番号をm倍したものをベース・
アドレスに連結することで求めたアドレスヘブランチす
るだけでよいようになっている。入口点アドレスをテー
ブルからフェッチしたり、予備的ブランチ命令をフェッ
チして実行するために必要な時間的遅れは、除去され
る。マイクロプロセッサには、重要度の低いタイプのト
ラップの場合には、時間効率の劣る他のベクトル手法を
取り入れることも可能である。
SUMMARY OF THE INVENTION In accordance with the present invention, a microprocessor architecture is employed which overcomes many of the problems described above in known systems. In particular,
A "fast trap" exception dispatching technique is employed. According to this approach, the entire handler can be stored in a single vector address table entry. Each table entry must have at least 2
Microprocessor, and preferably more, to store more instructions, so when a fast trap occurs, the microprocessor bases the trap number on m times.
It is only necessary to branch to the address obtained by connecting to the address. The time delay required to fetch the entry point address from the table or to fetch and execute the preliminary branch instruction is eliminated. The microprocessor may also incorporate other time-inefficient vector techniques for less important types of traps.

【0012】本発明の別の形態では、トラップが現れる
と、プロセッサは割込み状態に入り、いくつかのシャド
ウ・レジスタを自動的にフォアグランド(前景)にシフ
トし対応する前景レジスタ・セットをバックグランド
(背景)にシフトする。レジスタ内容は転送されず、そ
の代わりに、シャドウ・レジスタが通常のレジスタの代
わりに使用可能にされるだけである。従って、ハンドラ
は、メイン命令ストリームのために必要なデータを壊す
かどうかを気にすることなく即時に使用可能なレジスタ
・セットをもつことになる。
In another form of the invention, when a trap occurs, the processor enters an interrupt state, which automatically shifts some shadow registers to the foreground and shifts the corresponding set of foreground registers to the background. Shift to (background). Register contents are not transferred; instead, shadow registers are only made available instead of regular registers. Thus, the handler will have a set of registers available immediately, without having to worry about corrupting the data needed for the main instruction stream.

【0013】上掲の米国特許出願第07/727,00
6号(PCT/JP92/00868)、発明の名称
「高性能RISCマイクロプロセッサ・アーキテクチ
ャ」(High−Performance RISC
MicroprocessorArchitectur
e)(特表平6−501122号公報)には、その実行
時前に命令をプリフェッチする高度マイクロプロセッサ
が命令プリフェッチ要求の順序外の返却を処理できるこ
と、同一の実行時の間に2つ以上の命令を実行できるこ
と、および命令ストリームにおける命令の列に対して順
序外で命令を実行することもできることが説明されてい
る。本発明の別の形態では、命令の実行の前と実行時の
間に命令に対して発生した同期例外の正確さを保つため
のメカニズムが組み込まれている。
US patent application Ser. No. 07 / 727,00, cited above.
No. 6, PCT / JP92 / 00868, entitled "High-Performance RISC Microprocessor Architecture" (High-Performance RISC)
Microprocessor Architecture
e) (Japanese Unexamined Patent Publication No. 6-501122) states that an advanced microprocessor that prefetches an instruction before execution can process an out-of-order instruction prefetch request return, and that two or more instructions are executed during the same execution time. And that instructions can be executed out of order on a sequence of instructions in the instruction stream. Another aspect of the present invention incorporates a mechanism for maintaining the accuracy of synchronous exceptions that have occurred for an instruction before and during execution of the instruction.

【0014】上記特許出願に記載されているマイクロプ
ロセッサ・アーキテクチャには、さらに、メイン命令フ
ローの中のプロシージャ命令またはエミュレーション命
令から呼び出された別のプロシーレジャ命令フローを処
理するための機構が組み込まれている。プロシージャ命
令フローヘの制御権の移動は、メイン命令フローの中で
すでにプリフェッチされたどの命令もフラッシュするこ
となく、別に設けたエミュレーション・プリフェッチ待
ち行列によって行われる。本発明の別の形態によれば、
割込み状態は、プロセッサがメイン命令ストリームから
実行されているか、またはプロシージャ命令ストリーム
から実行されているかに関係なく、使用可能のままにな
っており、プロセッサは、トラップから戻るときどちら
の命令ストリームヘ戻るべきかを示した標識をもってい
る。さらに、メイン命令ストリーム用とエミュレーショ
ン命令ストリーム用に別個のプリフェッチ・プログラム
・カウンタが維持されており、プロセッサはトラップ・
ハンドラが呼び出されたとき現命令ストリームからプリ
フェッチPCだけをストアし、ハンドラが戻るときプリ
フェッチPCを正しいプリフェッチ・プログラム・カウ
ンタに復元する。
The microprocessor architecture described in the above-mentioned patent application further incorporates a mechanism for processing another procedure-relay instruction flow called from a procedural or emulation instruction in the main instruction flow. ing. Transfer of control to the procedural instruction flow is performed by a separate emulation prefetch queue without flushing any instructions already prefetched in the main instruction flow. According to another aspect of the present invention,
The interrupt state remains available, regardless of whether the processor is executing from the main or procedural instruction stream, and the processor returns to either instruction stream when returning from the trap. It has a sign indicating what to do. In addition, separate prefetch program counters are maintained for the main and emulation instruction streams, and the processor
Store only the prefetch PC from the current instruction stream when the handler is called, and restore the prefetch PC to the correct prefetch program counter when the handler returns.

【0015】[0015]

【発明の実施の形態】以下、本発明の実施の形態を図面
を参照しつつ説明する。 I.マイクロプロセッサ・アーキテクチャの概要 II.命令フェッチ・ユニット A) IFUデータ経路 B) IFU制御経路 C) IFU/IEU制御インタフェース D) PCロジック・ユニットの詳細 1)PFおよびExPC制御/データ・ユニットの詳細 2)PC制御アルゴリズムの詳細 E) 割込みおよび例外処理 1) 概要 2) 非同期割込み 3) 同期例外 4) ハンドラ・ディスパッチとリターン 5) ネスト 6) トラップー覧表 III. 命令実行ユニット A) IEUデータ経路の詳細 1) レジスタ・ファイルの詳細 2) 整数データ経路の詳細 3) 浮動小数点データ経路の詳細 4) ブール・レジスタ・データ経路の詳細 B) ロード/ストア制御ユニット C) IEU 制御経路の詳細 1) Eデコード・ユニットの詳細 2) キャリー・チェッカ・ユニットの詳細 3) データ依存関係チェッカ・ユニットの詳細 4) レジスタ改名ユニットの詳細 5) 命令発行ユニットの詳細 6) 完了制御ユニットの詳細 7) 退避制御ユニットの詳細 8) 制御フロー制御ユニットの詳細 9) バイパス制御ユニットの詳細 IV.仮想メモリ制御ユニット V. キャッシュ制御ユニット VI.要約および結論 I.マイクロプロセッサ・アーキテクチャの概要 図1は、本発明のアーキテクチャ100の概要を示すも
のである。命令フェッチ・ユニット(IFU)102と
命令実行ユニット(IEU)104は、アーキテクチャ
100の中心となる機能要素である。仮想メモリ・ユニ
ット(VMU)108、キャッシュ制御ユニット(CU
U)106、およびメモリ制御ユニット(MCU)11
0は、IFU102とIEU104の機能を直接にサポ
ートするためのものである。また、メモリ・アレイ・ユ
ニット(MAU)112は基本的要素として、アーキテ
クチャ100を動作させるためのものである。もっと
も、MAU112はアーキテクチャ100の1つの一体
的なコンポーネントとして直接的に存在しない。つま
り、本発明の好適実施例では、IFU102、IEU1
04、VMU108、CCU106、およびMCU11
0は従来の0.8ミクロン設計ルールの低電力CMOS
プロセスを利用してシングル・シリコン・チップ上に実
装され、約1,200,000個のトランジスタから構
成されている。アーキテクチャ100の標準プロセッサ
またはシステムのクロック速度は40MHzである。し
かし、本発明の好適実施例によれば、プロセッサの内部
クロック速度は160MHzである。
Embodiments of the present invention will be described below with reference to the drawings. I. Overview of microprocessor architecture II. Instruction fetch unit A) IFU data path B) IFU control path C) IFU / IEU control interface D) Details of PC logic unit 1) Details of PF and ExPC control / data unit 2) Details of PC control algorithm E) Interrupt and exception handling 1) Overview 2) Asynchronous interrupt 3) Synchronous exception 4) Handler dispatch and return 5) Nest 6) Trap list III. Instruction execution unit A) Details of IEU data path 1) Details of register file 2 3) Floating point data path details 4) Boolean register data path details B) Load / store control unit C) IEU control path details 1) E decode unit details 2) Carry Details of checker unit 3) Data dependency More 4) Details 5 of the register rename unit) Details IV details 9) Bypass Control Unit Detail 8) Control Flow Control Unit Detail 7) saving control unit Details 6) completion control unit of the instruction issue unit of the checker unit. Virtual memory control unit Cache control unit VI. Summary and conclusions Overview of Microprocessor Architecture FIG. 1 provides an overview of the architecture 100 of the present invention. An instruction fetch unit (IFU) 102 and an instruction execution unit (IEU) 104 are the core functional elements of the architecture 100. Virtual memory unit (VMU) 108, cache control unit (CU)
U) 106, and a memory control unit (MCU) 11
0 is for directly supporting the functions of the IFU 102 and the IEU 104. The memory array unit (MAU) 112 is for operating the architecture 100 as a basic element. However, MAU 112 does not exist directly as one integral component of architecture 100. That is, in the preferred embodiment of the present invention, IFU 102, IEU1
04, VMU 108, CCU 106, and MCU 11
0 is the conventional low power CMOS with 0.8 micron design rule
It is mounted on a single silicon chip using a process and is composed of about 1,200,000 transistors. The clock speed of a standard processor or system of the architecture 100 is 40 MHz. However, according to a preferred embodiment of the present invention, the internal clock speed of the processor is 160 MHz.

【0016】IFU102の基本的役割は命令をフェッ
チし、IEU104による実行が保留されている間命令
をバッファに置いておき、一般的には、次の命令をフェ
ッチするとき使用される次の仮想アドレスを計算するこ
とである。
The basic role of the IFU 102 is to fetch instructions and buffer the instructions while execution by the IEU 104 is pending, typically to the next virtual address used when fetching the next instruction. Is to calculate

【0017】本発明の好適実施例では、各命令は長さが
32ビットに固定されている。命令セッ卜、つまり、4
個の命令からなる「バケット」(bucket)は、C
CU106内の命令用キャッシュ132から128ビッ
ト幅の命令バス114を経由してIFU102によって
同時にフェッチされる。命令セットの転送は、制御ライ
ン116経由で送られてきた制御信号によって調整され
て、IFU102とCCU106間で行われる。フェッ
チされる命令セットの仮想アドレスは、IFU仲裁、制
御およびアドレスを兼ねたバス118経由でIFU10
2から出力され、さらにIEU104とVMU108間
を結合する仲裁、制御およびアドレス共用バス120上
に送出される。VMU108へのアクセスの仲裁(ar
bitration)は、IFU102とIEU104
の両方がVMU108を共通の共用資源として利用する
ことから行われる。本発明の好適実施例では、仮想アド
レスの物理ページ内のアドレスを定義する下位ビット
は、IFU102から制御ライン116を経由して直接
にキャッシュ制御ユニット106へ転送される。IFU
102から与えられる仮想アドレスの仮想上位ビットは
バス118、120のアドレス部分によってVMU10
8へ送られ、そこで対応する物理ページ・アドレスに変
換される。IFU102では、この物理ページ・アドレ
スは、変換要求がVMU108に出されたあと内部プロ
セッサ・クロック・サイクルの1/2の間に、VMU1
08からアドレス制御ライン122経由で直接にキャッ
シュ制御ユニット106へ転送される。
In the preferred embodiment of the present invention, each instruction is fixed at 32 bits in length. Instruction set, that is, 4
A "bucket" of instructions consists of C
The data is simultaneously fetched from the instruction cache 132 in the CU 106 by the IFU 102 via the instruction bus 114 having a width of 128 bits. The transfer of the instruction set is performed between the IFU 102 and the CCU 106, adjusted by a control signal transmitted via the control line 116. The virtual address of the fetched instruction set is transferred to the IFU 10 via the bus 118, which also serves as IFU arbitration, control and address.
2 and further out on an arbitration, control and address sharing bus 120 which couples between the IEU 104 and the VMU 108. Arbitration of access to VMU 108 (ar
bitration) is between the IFU 102 and the IEU 104
Are performed by using the VMU 108 as a common shared resource. In the preferred embodiment of the present invention, the lower bits defining the address in the physical page of the virtual address are transferred from IFU 102 directly to cache control unit 106 via control line 116. IFU
The virtual upper bits of the virtual address given from the VMU 10
8 where it is converted to the corresponding physical page address. In IFU 102, this physical page address is used by VMU1 for half an internal processor clock cycle after the translation request is issued to VMU 108.
08 is transferred directly to the cache control unit 106 via the address control line 122.

【0018】IFU102によってフェッチされた命令
ストリームの方は命令ストリーム・バス124経由でI
EU104に渡される。制御信号は、制御ライン126
を介してIFU102とIEU104間でやりとりされ
る。さらに、ある種の命令フェッチ・アドレス、例え
ば、IEU104内に存在するレジスタ・ファイルヘの
アクセスを必要とするアドレスは、制御ライン126内
のターゲット・アドレス・リターン・バスを経由してI
FU102へ送り返される。
The instruction stream fetched by IFU 102 is transmitted via instruction stream bus 124 to I
It is passed to the EU 104. The control signal is transmitted to control line 126
Are exchanged between the IFU 102 and the IEU 104 via the. In addition, certain instruction fetch addresses, such as those requiring access to a register file residing in the IEU 104, may be accessed via the target address return bus in control line 126 via the I
It is sent back to FU102.

【0019】IEU104は、CCU106内に設けら
れたデータ用キャッシュ134との間で80ビット幅双
方向データ・バス130を通してデータをストアし、デ
ータを取り出す。IEUがデータ・アクセスするときの
物理アドレス全体は制御バス128のアドレス部分によ
ってCCU106へ渡される。また、制御バス128を
通して、データ転送を管理するための制御信号をIEU
104とCCU106との間でやりとりすることもでき
る。IEU104は、仮想データ・アドレスをCCU1
06へ渡すのに適した物理データ・アドレスに変更する
ための資源としてVMU108を使用する。データ・ア
ドレスの仮想化部分は、仲裁、制御およびアドレス・バ
ス120を経由してVMU108へ渡される。IFU1
02に対するオペレーションと異なり、VMU108は
対応する物理アドレスをバス120経由でIEU104
へ返却する。アーキテクチャ100の好適実施例では、
IEU104は物理アドレスを使用して、ロード/スト
ア・オペレーションが正しいプログラム・ストリーム順
序で行われていることを確かめている。
The IEU 104 stores data to and retrieves data from the data cache 134 provided in the CCU 106 through an 80-bit wide bidirectional data bus 130. The entire physical address at which the IEU accesses data is passed to the CCU 106 by the address portion of the control bus 128. Also, a control signal for managing data transfer is transmitted through the control bus 128 to the IEU.
Communication between the CCU 104 and the CCU 106 is also possible. The IEU 104 assigns the virtual data address to CCU1
The VMU 108 is used as a resource for changing to a physical data address suitable for passing to the H.06. The virtualized portion of the data address is passed to the VMU 108 via the arbitration, control and address bus 120. IFU1
02, the VMU 108 transfers the corresponding physical address via the bus 120 to the IEU 104
Return to In a preferred embodiment of the architecture 100,
The IEU 104 uses physical addresses to ensure that load / store operations are being performed in the correct program stream order.

【0020】CCU106は、物理アドレスで定義した
データ要求を命令用キャッシユ132とデータ用キャッ
シュ134のどちらか該当する方から満足できるかどう
かを判断する従来のハイレベル機能を備えている。アク
セス要求が命令用キャッシュ132またはデータ用キャ
ッシュ134ヘアクセスすることで正しく満足できる場
合は、CCU106はデータ・バス114、130を経
由するデータ転送を調整して、その転送を行う。
The CCU 106 has a conventional high-level function of judging whether a data request defined by a physical address can be satisfied from the instruction cache 132 or the data cache 134, whichever is applicable. If the access request is correctly satisfied by accessing the instruction cache 132 or the data cache 134, the CCU 106 coordinates the data transfer via the data buses 114, 130 and performs the transfer.

【0021】データ・アクセス要求が命令用キャッシュ
132またはデータ用キャッシュ134から満足できな
い場合は、CCU106は対応する物理アドレスをMC
U110へ渡し、MAU112が要求しているのは読取
りアクセスであるか書込みアクセスであるかを判別し、
各要求ごとにCCU106のソースまたは宛先キャッシ
ュ132、134を識別するのに十分な制御情報および
要求オペレーションをIFU102またはIEU104
から出された最終的データ要求と関係づけるための追加
識別情報も一緒に渡される。
If the data access request cannot be satisfied from the instruction cache 132 or the data cache 134, the CCU 106 stores the corresponding physical address in the MC.
Pass to U110, determine whether MAU 112 is requesting read or write access,
The IFU 102 or IEU 104 provides sufficient control information and request operations to identify the source or destination cache 132, 134 of the CCU 106 for each request.
Additional identification information for association with the final data request issued by the RP is also passed.

【0022】MCU110は、好ましくは、ポート・ス
イッチ・ユニット142を備えており、このユニットは
単方向データ・バス136によってCCU106の命令
用キャッシュ132に接続され、双方向データ・バス1
38によってデータ用キャッシュ134に接続されてい
る。ポート・スイッチ142は基本的には大きなマルチ
プレクサであり、制御バス140から得た物理アドレス
を複数のポート(P0−Pn )1460-n のいずれかへ
送ることを可能にし、また、ポートからデー夕・バス1
36、138へのデータの双方向転送を可能にする。M
CU110によって処理される各メモリ・アクセス要求
は、MAU112をアクセスするとき要求されるメイン
・システム・メモリ・バス162へのアクセスを仲裁す
る目的でポート1460-n の1つと関連づけられる。デ
ータ転送の接続が確立されると、MCU110は制御情
報を制御バス140経由でCCU106に渡して、ポー
ト1460-n のうち対応する1つを経由して命令用キャ
ッシュ132またはデータ用キャッシュ134とMAU
112との間でデータを転送することを開始する。アー
キテクチャ100の好適実施例では、MCU110は、
実際には、CCU106とMAU112間を転送する途
中にあるデータをストアまたはラッチしない。このよう
にしたのは、転送の待ち時間を最小にし、MCU110
に1つだけ存在するデータを追跡または管理しないです
むようにするためである。
The MCU 110 preferably includes a port switch unit 142, which is connected to the instruction cache 132 of the CCU 106 by a one-way data bus 136 and a two-way data bus 1
38 is connected to the data cache 134. The port switch 142 is basically a large multiplexer, allowing the physical address obtained from the control bus 140 to be sent to any of a plurality of ports (P 0 -P n ) 146 0 -n , and Kara evening bus 1
36, 138. M
Each memory access request handled by CU 110 is associated with one of ports 146 0-n for the purpose of arbitrating access to main system memory bus 162 required when accessing MAU 112. When a connection for data transfer is established, the MCU 110 passes control information to the CCU 106 via the control bus 140 and communicates with the instruction cache 132 or the data cache 134 via the corresponding one of the ports 146 0-n. MAU
The transfer of data to / from 112 is started. In a preferred embodiment of the architecture 100, the MCU 110 includes:
Actually, data that is being transferred between the CCU 106 and the MAU 112 is not stored or latched. This minimizes the transfer latency and minimizes MCU 110
In order to avoid having to track or manage only one piece of data.

【0023】II.命令フェッチ・ユニット 命令フェッチ・ユニット102の主要エレメントを図2
に示す。これらのエレメントのオペレーションおよび相
互関係を理解しやすくするために、以下では、これらの
エレメントがIFUデータ経路と制御経路に関与する場
合を考慮して説明する。
II. Instruction fetch unit Figure 2 shows the main elements of the instruction fetch unit 102
Shown in In order to facilitate understanding of the operation and interrelationship of these elements, the following description will be made in consideration of the case where these elements are involved in the IFU data path and control path.

【0024】A)IFUデータ経路 IFUデータ経路は、命令セットを受け取ってプリフェ
ッチ・バッファ260に一時的にストアしておく命令バ
ス114から始まる。プリフェッチ・バッファ260か
らの命令セットはIデコード・ユニット262を通って
IFIFOユニット264へ渡される。命令FIFO2
64の最後の2ステージにストアされた命令セットは、
データ・バス278、280を通してIEU104に連
続的に取り出して利用することができる。
A) IFU Data Path The IFU data path begins with the instruction bus 114 which receives the instruction set and temporarily stores it in the prefetch buffer 260. The instruction set from prefetch buffer 260 is passed through I decode unit 262 to IFIFO unit 264. Instruction FIFO2
The instruction set stored in the last two stages of 64 is:
The data can be continuously taken out to the IEU 104 through the data buses 278 and 280 for use.

【0025】プリフェッチ・バッファ・ユニット260
は、一度に1つの命令セットを命令バス114から受け
取る。完全な128ビット幅命令セットは、一般に、プ
リフェッチ・バッファ260のメイン・バッファ(MB
UF)188部分の4つの128ビット幅プリフェッチ
・バッファ・ロケーションの1つに並列に書き込まれ
る。追加の命令セットは最高4つまで同じように、2つ
の128ビット幅ターゲット・バッファ(TBUF)1
90のプリフェッチ・バッファ・ロケーションにまたは
2つの128ビット幅プロシージャ・バッファ(EBU
F)192のプリフェッチ・バッファ・ロケーションに
書き込むことが可能である。好適アーキテクチャ100
では、MBUF188、TBUF190またはEBUF
192内のプリフェッチ・バッファ・ロケーションのい
ずれかに置かれている命令セットは、プリフェッチ・バ
ッファ出力バス196へ転送することが可能である。さ
らに、直接フォールスルー(fall throug
h)命令セット・バス194は、命令バス114をプリ
フェッチ・バッファ出力バス196と直接に接続するこ
とによって、MBUF188、TBUF190およびE
BUF192をバイパスするためのものである。
Prefetch buffer unit 260
Receives one instruction set from the instruction bus 114 at a time. The complete 128-bit wide instruction set is typically stored in the main buffer (MB
UF) 188 portion is written in parallel to one of the four 128-bit wide prefetch buffer locations. The additional instruction set is the same for up to four, two 128-bit wide target buffers (TBUF) 1
90 prefetch buffer locations or two 128-bit wide procedure buffers (EBUs)
F) It is possible to write to the 192 prefetch buffer location. Preferred Architecture 100
Then, MBUF188, TBUF190 or EBUF
Instruction sets located at any of the prefetch buffer locations in 192 can be transferred to the prefetch buffer output bus 196. Furthermore, direct fall through (fall through)
h) Instruction set bus 194 connects MBUF 188, TBUF 190 and EBUF by connecting instruction bus 114 directly to prefetch buffer output bus 196.
This is for bypassing the BUF192.

【0026】好適アーキテクチャ100では、MBUF
188は名目的またはメイン命令ストリーム中の命令セ
ットをバッファするために利用される。TBUF190
は、試行的なターゲット・ブランチ命令ストリームから
プリフェッチした命令セットをバッファするために利用
される。その結果、プリフェッチ・バッファ・ユニット
260を通して、条件付きブランチ命令のあとに置かれ
ている可能性のある両方の命令ストリームをプリフェッ
チすることができる。この機能により、MAU112の
待ち時間は長くなるとしても、少なくともCCU112
への以後のアクセス待ち時間がなくなるので、条件付き
ブランチ命令の解決時にどの命令ストリームが最終的に
選択されるかに関係なく、条件付きブランチ命令のあと
に置かれた正しい次の命令セットを得て、実行すること
ができる。本発明の好適アーキテクチャ100では、M
BUF188とTBUF190があるために、命令フェ
ッチ・ユニット102は、現れる可能性のある両方の命
令ストリームをプリフェッチすることができ、命令実行
ユニット104に関連して以下に説明するように、正し
いと想定された命令ストリレムを引き続き実行すること
ができる。条件付きブランチ命令が解決されたとき、正
しい命令ストリームがプリフェッチされて、MBUF1
88に入れられた場合は、TBUF190に残っている
命令セットは無効にされるだけである。他方、正しい命
令ストリームの命令セットがTBUF190に存在する
場合は、命令プリフェッチ・バッファ・ユニット260
を通して、これらの命令セットがTBUF190から直
接に、並行にMBUF188内のそれぞれのバッファ・
ロケーションヘ転送される。それ以前にMBUF188
にストアされた命令セットは、TBUF190から転送
された命令セットを重ね書きすることによって、事実上
無効にされる。MBUFロケーションヘ転送するTBU
F命令セットがなければ、そのロケーションには無効の
印が付けられるだけである。
In the preferred architecture 100, the MBUF
188 is used to buffer the instruction set in the nominal or main instruction stream. TBUF190
Is used to buffer the instruction set prefetched from the trial target branch instruction stream. As a result, through the prefetch buffer unit 260, both instruction streams that may be located after the conditional branch instruction can be prefetched. With this function, even if the waiting time of the MAU 112 becomes longer, at least the CCU 112
The subsequent access latency to the conditional branch instruction is eliminated, so the correct next instruction set after the conditional branch instruction is obtained regardless of which instruction stream is ultimately selected when resolving the conditional branch instruction. And can be implemented. In the preferred architecture 100 of the present invention, M
Because of the BUF 188 and the TBUF 190, the instruction fetch unit 102 can prefetch both possible instruction streams and is assumed to be correct, as described below in connection with the instruction execution unit 104. Instruction stream can continue to be executed. When the conditional branch instruction is resolved, the correct instruction stream is prefetched and the MBUF1
If entered at 88, the instruction set remaining in TBUF 190 is only invalidated. On the other hand, if the instruction set for the correct instruction stream is present in TBUF 190, instruction prefetch buffer unit 260
Through these buffer sets directly from the TBUF 190, in parallel, with each buffer in the MBUF 188.
Transferred to location. Before that, MBUF188
Are effectively invalidated by overwriting the instruction set transferred from the TBUF 190. TBU to be transferred to MBUF location
Without the F instruction set, the location would simply be marked invalid.

【0027】同様に、EBUF192は、プリフェッチ
・バッファ260を経由する別の代替プリフェッチ経路
となるものである。EBUF192は、好ましくは、M
BUF188命令ストリームに現れた単一の命令、つま
り、「プロシージャ」命令で指定されたオペレーション
を実現するために使用される代替命令ストリームをプリ
フェッチする際に利用される。このようにすると、複雑
な命令や拡張された命令はソフトウェア・ルーチンまた
はプロシージャを通して実現することができ、すでにプ
リフェッチされてMBUF188に入れられた命令スト
リームを乱すことなくプリフェッチ・バッファ・ユニッ
ト260を通して処理することができる。一般的には、
本発明によれば、最初にTBUF190に現れたプロシ
ージャ命令を処理することができるが、プロシージャ命
令ストリームのプリフェッチは保留され、以前に現れた
保留中の条件付きブランチ命令ストリームがすべて解決
される。これにより、プロシレジャ命令ストリームに現
れた条件付きブランチ命令は、TBUF190の使用を
通して矛盾なく処理されることになる。従って、プロシ
ージャ・ストリームでブランチが行われる場合は、ター
ゲット命令セットはすでにプリフェッチされてTBUF
190に入れられているので、EBUF192へ並列に
転送することができる。
Similarly, EBUF 192 provides another alternative prefetch path via prefetch buffer 260. EBUF192 preferably comprises M
It is used in prefetching a single instruction appearing in the BUF 188 instruction stream, an alternative instruction stream used to implement the operation specified in the "procedure" instruction. In this way, complex or extended instructions can be implemented through software routines or procedures and processed through the prefetch buffer unit 260 without disturbing the instruction stream already prefetched and placed in the MBUF 188. be able to. In general,
In accordance with the present invention, procedural instructions that first appear in TBUF 190 can be processed, but prefetching of the procedural instruction stream is suspended and any previously encountered pending conditional branch instruction streams are resolved. This ensures that conditional branch instructions that appear in the procedure instruction stream are processed consistently through the use of TBUF 190. Therefore, if a branch is taken in the procedure stream, the target instruction set is already prefetched and the TBUF
190, the data can be transferred to the EBUF 192 in parallel.

【0028】最後に、MBUF188、TBUF190
およびEBUF192の各々はプリフェッチ・バッファ
出力バス196に接続され、プリフェッチ・ユニットに
よってストアされた命令セットを出力バス196上に送
出するようになっている。さらに、バス194を通過す
るフローは、命令セットを命令バス114から直接に出
力バス196へ転送するためのものである。
Finally, MBUF188, TBUF190
And EBUF 192 are each connected to a prefetch buffer output bus 196 for sending the instruction set stored by the prefetch unit onto output bus 196. Further, the flow through bus 194 is for transferring the instruction set directly from instruction bus 114 to output bus 196.

【0029】好適アーキテクチャ100では、MBUF
188、TBUF190、EBUF192内のプリフェ
ッチ・バッファは直接的にはFIFO構造を構成してい
ない。その代わりに、どのバッファ・ロケーションも出
力バス196に接続されているので、命令用キャッシュ
132から取り出された命令セットのプリフェッチ順序
に大幅な自由度をもたせることができる。つまり、命令
フェッチ・ユニツト102は命令ストリームに一定順序
で並んだ命令順に命令セットを判断して、要求するのが
一般的になっている。しかし、命令セットがIFU10
2へ返されるときの順序は、要求したある命令セットが
使用可能で、CCU106だけからアクセス可能であ
り、他の命令セットはMAU102のアクセスを必要と
するような場合に合わせて、順序外に現れることも可能
である。
In the preferred architecture 100, the MBUF
The prefetch buffers in 188, TBUF 190, and EBUF 192 do not directly constitute a FIFO structure. Instead, since any buffer location is connected to the output bus 196, there is a great deal of flexibility in the prefetch order of instruction sets fetched from the instruction cache 132. That is, the instruction fetch unit 102 generally determines and requests an instruction set in the order of instructions arranged in an instruction stream in a fixed order. However, if the instruction set is IFU10
The order when returned to 2 is such that certain instruction sets requested are available and accessible only by CCU 106, while other instruction sets appear out of order, such as when access to MAU 102 is required. It is also possible.

【0030】命令セットは一定順序でプリフェッチ・バ
ッファ・ユニット260へ返されない場合があっても、
出力バス196上に出力される命令セットの列は、一般
的に、IFU102から出された命令セット要求の順序
に従っていなければならない。順序内(in−orde
r)の命令ストリーム列は、例えば、ターゲット・ブラ
ンチ・ストリームの試行的実行に影響されるためであ
る。
Although the instruction set may not be returned to the prefetch buffer unit 260 in a certain order,
The sequence of instruction sets output on output bus 196 must generally follow the order of the instruction set requests issued by IFU 102. In-order
This is because the instruction stream sequence of r) is affected by trial execution of the target branch stream, for example.

【0031】Iデコード・ユニット262は、IFIF
Oユニット264のスペースが許すかぎり、プリフェッ
チ・バッファ出力バス196から命令セットを、普通は
1サイクルに1つの割合で受け取る。1つの命令セット
を構成する4個の命令からなる各セットはIデコード・
ユニット262によって並列にデコードされる。関係の
制御フロー情報がIFU102の制御経路部分のために
ライン318から抜き出されている間は、命令セットの
内容はIデコード・ユニット262によって変更されな
い。
The I decode unit 262 has an IFIF
As long as space in O-unit 264 allows, instruction sets are received from prefetch buffer output bus 196, typically at a rate of one per cycle. Each set of four instructions that make up one instruction set is an I-decode
Decoded in parallel by unit 262. While the relevant control flow information is being pulled out of line 318 for the control path portion of IFU 102, the contents of the instruction set are not modified by I-decode unit 262.

【0032】Iデコード・ユニット162からの命令セ
ットはIFIFOユニット264の128ビット幅入力
バス198上に送出される。内部的には、IFIFOユ
ニット264はマスタ/スレーブ・レジスタ200、2
04、208、212、216、220、224の列か
ら構成されている。各レジスタはその後続レジスタに接
続され、マスタ・レジスタ200、208、216の内
容がFIFOオペレーションの内部プロセッサ・サイク
ルの前半時にスレーブ・レジスタ204、212、22
0へ転送され、そのあとオペレーションの後半サイクル
時に次の後続マスタ・レジスタ208、216、224
へ転送されるようになっている。入力バス198はマス
タ・レジスタ200、208、216、224の各々の
入力に接続され、FIFOオペレーションの後半サイク
ル時に命令セットがIデコード・ユニット262からマ
スタ・レジスタに直接にロードされるようになってい
る。しかし、マスタ・レジスタを入力バス198からロ
ードすることは、IFIFOユニット264内でデータ
をFIFOシフトすることと同時に行う必要はない。そ
の結果、命令FIFOユニット264内にストアされた
命令セットの現在の深さに関係なく、さらに、IFIF
Oユニット264内でデー夕をFIFOシフトすること
から独立して、入力バス198から連続的にIFIFO
ユニット264に入れていくことができる。
The instruction set from the I decode unit 162 is sent out on the 128-bit wide input bus 198 of the IFIFO unit 264. Internally, the IFIFO unit 264 stores the master / slave registers 200, 2
04, 208, 212, 216, 220, and 224. Each register is connected to its successor register so that the contents of the master registers 200, 208, 216 are populated during the first half of the internal processor cycle of the FIFO operation.
0 and then during the second half cycle of operation, the next subsequent master register 208, 216, 224
To be forwarded to An input bus 198 is connected to the input of each of the master registers 200, 208, 216, 224 so that the instruction set is loaded directly from the I decode unit 262 to the master register during the second half cycle of the FIFO operation. I have. However, loading the master register from input bus 198 need not be done simultaneously with FIFO shifting the data in the FIFO unit 264. As a result, regardless of the current depth of the instruction set stored in the instruction FIFO unit 264,
Independently from the FIFO shifting of data within the O unit 264, the input bus 198 continuously
It can go into unit 264.

【0033】マスタ/スレーブ・レジスタ200、20
4、208、212、216、220、224の各々
は、128ビット幅命令セットの全ビットを並列にスト
アできるほかに、制御情報のいくつかのビットをそれぞ
れの制御レジスタ202、206、210、214、2
18、222、226にストアすることもできる。好ま
しくは、制御ビットのセットは、例外不一致(exce
ption miss)と例外修正(exceptio
n modify)(VMU)、メモリなし(MC
U)、ブランチ・バイアス、ストリーム、およびオフセ
ット(IFU)からなっている。この制御情報は、IF
IFOマスタ・レジスタに入力バス198から新しい命
令セットをロードするのと同時に、IFU102の制御
経路部分から発生する。そのあと、制御レジスタ情報は
命令セットと並行してIFIFOユニット263内で並
列にシフトされる。
Master / slave registers 200, 20
4, 208, 212, 216, 220, 224 can store all bits of the 128-bit wide instruction set in parallel and also store some bits of control information in their respective control registers 202, 206, 210, 214. , 2
18, 222, 226. Preferably, the set of control bits is an exception mismatch
option) and exception correction (exception)
n modify) (VMU), no memory (MC
U), branch bias, stream, and offset (IFU). This control information
The IFO master register is loaded from the input bus 198 with a new instruction set, and simultaneously originates from the control path portion of the IFU 102. Thereafter, the control register information is shifted in parallel in the IFIFO unit 263 in parallel with the instruction set.

【0034】最後に、好適アーキテクチャ100では、
IFIFOユニット264からの命令セットの出力は最
後の2マスタ・レジスタ216、224から同時に得ら
れて、I_Bucket 0とI Bucket 1命
令セット出力バス278、280上に送出される。さら
に、対応する制御レジスタ情報がIBASV0とIBA
SV1制御フィールド・バス282、284上に送出さ
れる。これらの出力バス278、282、280、28
4はすべてIEU104へ通じる命令ストリーム・バス
124となるものである。
Finally, in the preferred architecture 100,
The output of the instruction set from the IFIFO unit 264 is obtained simultaneously from the last two master registers 216, 224, and the I_Bucket 0 and I Bucket It is sent out on one instruction set output bus 278,280. Further, the corresponding control register information is IBASV0 and IBAV.
Delivered on the SV1 control field bus 282,284. These output buses 278, 282, 280, 28
4 are all instruction stream buses 124 leading to the IEU 104.

【0035】B)IFU制御経路 IFU102制御経路は、プリフェッチ・バッファ・ユ
ニット260、Iデコード・ユニット262およびIF
IFOユニット264のオペレーションを直接にサポー
トする。プリフェッチ制御ロジック・ユニット266は
主にプリフェッチ・バッファ・ユニット260のオペレ
ーションを管理する。プリフェッチ制御ロジック・ユニ
ット266とIFU102は一般的には、クロック・ラ
イン290からシステム・クロック信号を受信して、I
FUのオペレーションとIEU104、CCU106お
よびVMU108のオペレーションとの同期をとるよう
にしている。命令セットを選択して、MBUF188、
TBUF190およびEBUF192に書き込むための
制御信号は制御ライン304上に送出される。
B) IFU Control Path The IFU 102 control path includes a prefetch buffer unit 260, an I decode unit 262, and an IF
It directly supports the operation of the IFO unit 264. The prefetch control logic unit 266 mainly manages the operation of the prefetch buffer unit 260. Prefetch control logic unit 266 and IFU 102 typically receive a system clock signal from clock line 290 and
The operation of the FU is synchronized with the operation of the IEU 104, the CCU 106, and the VMU 108. Select the instruction set and select MBUF188,
Control signals for writing to TBUF 190 and EBUF 192 are sent on control line 304.

【0036】多数の制御信号は、制御ライン316上に
送出されて、プリフェッチ制御ロジック・ユニット26
6へ送られる。具体的には、フェッチ要求制御信号はプ
リフェッチ・オペレーションを開始するために送出され
る。制御ライン316上に送出される他の制御信号は要
求したプリフェッチ・オペレーションが目標とする宛先
がMBUF188であるか、TBUF190であるか、
EBUF192であるかを指定している。プリフェッチ
要求を受けて、プリフェッチ制御ロジック・ユニット2
66はID値を生成し、プリフェッチ要求をCCU10
6に通知できるかどうかを判断する。ID値の生成は、
循環4ビット・カウンタを使用して行われる。
A number of control signals are sent on control line 316 to control the prefetch control logic unit 26.
Sent to 6. Specifically, a fetch request control signal is sent to initiate a prefetch operation. Other control signals transmitted on control line 316 include whether the requested prefetch operation is targeted at MBUF 188 or TBUF 190,
EBUF192 is specified. In response to the prefetch request, the prefetch control logic unit 2
66 generates an ID value and sends a prefetch request to the CCU 10
6 is notified. The generation of the ID value is
This is done using a rotating 4-bit counter.

【0037】4ビット・カウンタの使用は、次の3つの
点で重要である。第1は、最大9個までの命令セットを
プリフェッチ・バッファ・ユニット260で一度にアク
テイブにできることである。すなわち、MBUF188
での4命令セット、TBUF190での2命令セット、
EBUF192での命令セット、およびフロー・スルー
・バス194経由で直接にIデコード・ユニット262
に渡される1命令セットである。第2は、命令セットが
各々4バイトの4個の命令からなることである。その結
果、フェッチする命令を選択するどのアドレスも、その
最下位4ビットは余分になっている。最後は、プリフェ
ッチ要求アドレスの最下位4ビットとして挿入すること
で、プリフェッチ要求IDをプリフェッチ要求と容易に
関連づけることができることである。これにより、CC
U106とのインタフェースとなるために必要な総アド
レス数が減少することになる。
The use of a 4-bit counter is important in three ways: First, the prefetch buffer unit 260 can activate up to nine instruction sets at a time. That is, MBUF188
4 instruction sets, 2 instruction sets in TBUF190,
Instruction set in EBUF 192 and I decode unit 262 directly via flow through bus 194
Is one instruction set passed to. Second, the instruction set consists of four instructions, each four bytes. As a result, any address that selects the instruction to be fetched has the least significant four bits extra. Lastly, the prefetch request ID can be easily associated with the prefetch request by inserting it as the least significant 4 bits of the prefetch request address. This allows CC
The total number of addresses needed to interface with U106 will be reduced.

【0038】IFU102から出されたプリフェッチ要
求の順序に対して順序外で命令セットがCCU106か
ら返却されるようにするために、アーキテクチャ100
では、CCU106からの命令セットの返却と一緒にI
D要求値が返されるようになっている。しかし、順序外
の命令セット返却機能によると、16個の固有IDが使
いつくされるおそれがある。条件付き命令の組合せが順
序外で実行されると、要求されたが、まだ返却されてい
ない追加のプリフェッチと命令セットがあるので、ID
値を再使用することが可能になる。従って、4ビット・
カウンタは保持しておくのが好ましく、それ以降の命令
セットのプリフェッチ要求が出されないことになり、そ
の場合には、次のID値は、未処理のまま残っているフ
ェッチ要求やそのときプリフェッチ・バッファ260に
保留されている別の命令セットに関連づけられたものと
なる。
To ensure that the instruction set is returned from the CCU 106 out of order relative to the order of the prefetch requests issued by the IFU 102, the architecture 100
Then, along with the return of the instruction set from the CCU 106,
The D request value is returned. However, according to the out-of-order instruction set return function, 16 unique IDs may be used up. If the combination of conditional instructions is executed out of order, there is an additional prefetch and instruction set that was requested but not yet returned, so the ID
The value can be reused. Therefore, 4 bits
It is preferable to keep the counter, so that a subsequent instruction set prefetch request will not be issued, in which case the next ID value will be the fetch request remaining unprocessed or the prefetch request at that time. It is associated with another instruction set held in the buffer 260.

【0039】プリフェッチ制御ロジック・ユニット26
6は状況レジスタ配列(アレイ)268を直接に管理
し、この配列はMBUF188、TBUF190および
EBUF192内の各命令セット・プリフェッチ・バッ
ファ・ロケーションに論理的に対応する状況記憶ロケー
ションからなっている。プリフェッチ制御ロジック・ユ
ニット266は、選択およびデータ・ライン306を通
して、データをスキャンし、読み取って、状況レジスタ
配列268に書き込むことができる。配列268内で
は、メイン・バッファ・レジスタ308は、4個の4ビ
ットID値(MBID)、4個の1ビット予約フラグ
(MB RES)および4個の1ビット有効フラグ(M
B VAL)をストアしておくためのものであり、これ
らの各々は論理ビット位置別にMBUF180内のそれ
ぞれの命令セット記憶ロケーションに対応づけられてい
る。同様に、ターゲット・バッファ・レジスタ310と
拡張バッファ・レジスタ312は、それぞれ2個の4ビ
ットID値(TB ID、EBID)、2個の1ビット
予約フラグ(TB RES、EB RES)および2個
の1ビット有効フラグ(TB VAL、EB VAL)
をストアしておくためのものである。最後に、フロー・
スルー状況レジスタ314は1個の4ビットID値(F
T TD)、1個の予約フラグ・ビット(FT RE
S)および1個の有効フラグ・ビット(FT VAL)
をストアしておくためのものである。
Prefetch control logic unit 26
6 directly manages an array of status registers 268, which consists of status storage locations that logically correspond to each instruction set prefetch buffer location in MBUF 188, TBUF 190 and EBUF 192. Prefetch control logic unit 266 can scan, read, and write data to status register array 268 via select and data line 306. In the array 268, the main buffer register 308 has four 4-bit ID values (MBID), four 1-bit reservation flags (MB RES) and four 1-bit valid flags (M
B VAL), each of which is associated with a respective instruction set storage location in MBUF 180 by logical bit position. Similarly, the target buffer register 310 and the extension buffer register 312 have two 4-bit ID values (TB ID, EBID), two 1-bit reservation flags (TB RES, EB RES) and two 1-bit valid flag (TB VAL, EB VAL)
Is to be stored. Finally, the flow
The through status register 314 stores one 4-bit ID value (F
T TD), one reserved flag bit (FT RE
S) and one valid flag bit (FT VAL)
Is to be stored.

【0040】状況レジスタ配列268が最初にスキャン
され、該当するときは、プリフェッチ要求がCCU26
6に出されるたびにプリフェッチ制御ロジック・ユニッ
ト266によって更新され、そのあとは、命令セットが
返されるたびにスキャンされ、更新される。具体的に説
明すると、制御ライン316からプリフェッチ要求信号
を受け取ると、プリフェッチ制御ロジック・ユニット2
66は現在の循環カウンタ生成ID値をインクリメント
し、状況レジスタ配列268をスキャンして、使用可能
なID値があるかどうか、プリフェッチ要求信号で指定
されたタイプのプリフェッチ・バッファ・ロケーション
が使用可能であるかどうかを判断し、CCUIBUSY
制御ライン300の状態を調べてCCU106がプリフ
ェッチ要求を受け付けることができるかどうかを判断
し、受付け可能ならば、制御ライン298上のCCU
IREAD制御信号を肯定し、インクリメントされたI
D値をCCU106と結ばれたCCU ID出力バス2
94上に送出する。プリフェッチ記憶ロケーションは、
対応する予約状況フラグと有効状況フラグが共に偽であ
る場合に使用が可能である。プリフェッチIDは、要求
がCCU106に出されるのと並行して、MBUF18
8、TBUF190、またはEBUF192内の目標と
する記憶ロケーションに対応する、状況レジスタ配列2
68内のID記憶ロケーションに書き込まれる。さら
に、対応する予約状況フラグが真にセットされる。
The status register array 268 is scanned first, and if applicable, the prefetch request is
6 is updated by the prefetch control logic unit 266 each time it is issued, and then scanned and updated each time the instruction set is returned. More specifically, upon receiving a prefetch request signal from the control line 316, the prefetch control logic unit 2
66 increments the current cyclic counter generated ID value and scans the status register array 268 to determine if there is an available ID value, and if a prefetch buffer location of the type specified in the prefetch request signal is available. Judge whether there is, and CCUIBUSY
The status of the control line 300 is checked to determine whether the CCU 106 can accept the prefetch request, and if so, the CCU on the control line 298
The IREAD control signal is asserted and the incremented I
CCU ID output bus 2 with D value connected to CCU 106
94. The prefetch storage location is
It can be used when the corresponding reservation status flag and valid status flag are both false. The prefetch ID is set in parallel with the request being issued to the CCU 106.
8, status register array 2 corresponding to the target storage location in TBUF 190 or EBUF 192
68 is written to the ID storage location. Further, the corresponding reservation status flag is set to true.

【0041】CCU106が以前に要求された命令セッ
トをIFU102へ返却できるときは、CCU IRE
ADY信号が制御ライン302上で肯定され、対応する
命令セットIDがCCU ID制御ライン296上に送
出される。プリフェッチ制御ロジック・ユニット266
は状況レジスタ配列268内のID値と予約フラグをス
キャンして、プリフェッチ・バッファ・ユニット260
内の命令セットの目標とする宛先を判別する。一致する
ものは1つだけが可能である。判別されると、命令セッ
トはバス114を経由してプリフェッチ・バッファ・ユ
ニット260内の該当ロケーションに書き込まれ、フロ
ー・スルー要求と判別されたときは、直接にIデコード
・ユニット262に渡される。どちらの場合も、対応す
る状況レジスタ配列268に入っている有効状況フラグ
は真にセットされる。
When the CCU 106 can return the previously requested instruction set to the IFU 102, the CCU IRE
The ADY signal is asserted on control line 302 and the corresponding instruction set ID is sent out on CCU ID control line 296. Prefetch control logic unit 266
Scans the ID value and the reservation flag in the status register array 268 to read the prefetch buffer unit 260
Determine the target destination of the instruction set in. Only one match is possible. If determined, the instruction set is written via bus 114 to the appropriate location in prefetch buffer unit 260 and, if determined to be a flow-through request, passed directly to I-decode unit 262. In either case, the valid status flags in the corresponding status register array 268 are set to true.

【0042】PCロジック・ユニット270は、以下で
詳しく説明するように、IFU102全体を調べて、M
BUF188、TBUF190およびEBUF192命
令ストリームの仮想アドレスを探し出す。この機能を実
行する際、PCロジック・ブロック270はIデコード
・ユニット262を制御すると同時に、そこから動作す
る。具体的には、Iデコード・ユニット262によって
デコードされ、プログラムの命令ストリームのフローの
変化と係わりがある可能性のある命令部分はバス318
を経由して制御フロー検出ユニット274へ送られると
共に、直接にPCロジック・ブロック270へ送られ
る。制御フロー検出ユニット274は、条件付きブラン
チ命令と無条件ブランチ命令、コール型命令、ソフトウ
ェア・トラップ・プロシージャ命令および種々のリター
ン命令を含む制御フロー命令を構成する各命令を、デコ
ードされた命令セットの中から判別する。制御フロー検
出ユニット274は制御信号をライン322を経由して
PCロジック・ユニット270へ送る。この制御信号
は、Iデコード・ユニット262に存在する命令セット
内の制御フロー命令のロケーションと種類を示してい
る。これを受けて、PCロジック・ユニット270は、
一般的には、命令に入れられて、ライン318経由でP
Cロジック・ユニットヘ転送されたデータから制御フロ
ー命令のターゲット・アドレスを判断する。例えば、条
件付きブランチ命令に対して先に実行するためにブラン
チ・ロジック・バイアスが選択された場合は、PCロジ
ック・ユニット270は条件付きブランチ命令ターゲッ
ト・アドレスから命令セットをプリフェッチすることを
指示し、別々に追跡することを開始する。従って、制御
ライン316上のプリフェッチ要求を次に肯定すると、
PCロジック・ユニット270はさらにライン316を
経由する制御信号を肯定し、先行するプリフェッチ命令
セットがMBUF188またはEBUF192へ送られ
たものと想定すると、プリフェッチの宛先をTBUF1
90として選択する。プリフェッチ要求をCCU106
へ渡すことができるとプリフェッチ制御ロジック・ユニ
ット266が判断すると、プリフェッチ制御ロジック・
ユニット266は、この場合もライン316を経由して
イネーブル(許可)信号をPCロジック・ユニット27
0へ送って、ターゲット・アドレスのページ・オフセッ
ト部分(CCU PADDR〔13:4〕)をアドレス
・ライン324を経由して直接にCCU106へ渡すこ
とを可能にする。これと同時に、PCロジック・ユニッ
ト270は、新しい仮想ページから物理ページヘの変換
が必要な場合には、さらに、VMU要求信号を制御ライ
ン328を経由して、ターゲット・アドレスの仮想化部
分(VMU VADDR〔13:14〕)をアドレス・
ライン326を経由してVMU108へ渡して、物理ア
ドレスに変換する。ページ変換が必要でない場合は、V
MU108によるオペレーションは必要でない。その代
わりに、以前の変換結果がバス122に接続された出力
ラッチに保存されているので、CCU106によって即
時に使用される。
The PC logic unit 270 examines the entire IFU 102, as described in detail below, and
Locate the virtual address of the BUF 188, TBUF 190 and EBUF 192 instruction streams. In performing this function, PC logic block 270 controls and operates from I-decode unit 262. Specifically, the instruction portion that is decoded by the I decode unit 262 and that may be associated with a change in the flow of the instruction stream of the program is
To the control flow detection unit 274 and directly to the PC logic block 270. The control flow detection unit 274 converts the instructions that make up the control flow instructions, including conditional and unconditional branch instructions, call-type instructions, software trap procedure instructions and various return instructions, into the decoded instruction set. Judge from inside. Control flow detection unit 274 sends a control signal to PC logic unit 270 via line 322. This control signal indicates the location and type of control flow instruction in the instruction set residing in I decode unit 262. In response, the PC logic unit 270
Typically, P is inserted into the instruction via line 318
The target address of the control flow instruction is determined from the data transferred to the C logic unit. For example, if a branch logic bias was selected to execute first for a conditional branch instruction, PC logic unit 270 would indicate to prefetch the instruction set from the conditional branch instruction target address. Start tracking separately. Thus, the next affirmation of the prefetch request on control line 316:
PC logic unit 270 also asserts the control signal via line 316 and assumes the prefetch instruction set has been sent to MBUF 188 or EBUF 192 and sets the prefetch destination to TBUF1.
Select as 90. Prefetch request to CCU 106
If the prefetch control logic unit 266 determines that the prefetch control logic
Unit 266 again provides an enable signal via line 316 to PC logic unit 27.
0 to allow the page offset portion of the target address (CCU PADDR [13: 4]) to be passed directly to the CCU 106 via the address line 324. At the same time, when a conversion from a new virtual page to a physical page is required, the PC logic unit 270 further transmits a VMU request signal via the control line 328 to the virtualized portion (VMU VADDR) of the target address. [13:14])
The data is passed to the VMU 108 via the line 326 and is converted into a physical address. If no page conversion is needed, V
No operation by the MU 108 is required. Instead, the previous conversion result is stored in an output latch connected to bus 122 and is used immediately by CCU 106.

【0043】PCロジック・ユニット270が要求した
仮想から物理への変換時にVMU108にオペレーショ
ン・エラーが起こると、VMU例外およびVMU不一致
制御(miss control)ライン332、33
4を通して報告される。VMU不一致制御ライン334
は変換索引緩衝機構(trans1ation loo
kaside buffer:TLB)の不一致を報告
する。VMU例外ライン332上のVMU例外制御信号
は、他の例外が起こると発生する。いずれの場合も、P
Cロジック・ユニットは、命令ストリーム中の現在の実
行個所をストアしておき、そのあと無条件ブランチが行
われたのと同じように、それを受けて、エラー条件を診
断し処理するための専用例外処理ルーチン命令ストリー
ムをプリフェッチすることによって、エラー条件を処理
する。VMU例外および不一致制御信号は、発生した例
外の種類を示しているので、PCロジック・ユニット2
70は対応する例外処理ルーチンのプリフェッチ・アド
レスを判別することができる。
If an operation error occurs in the VMU 108 during the virtual to physical conversion requested by the PC logic unit 270, the VMU exception and VMU mismatch control (miss control) lines 332, 33
Reported through 4. VMU mismatch control line 334
Is a translation index buffering mechanism (translation loop)
report a mismatch buffer (TLB) mismatch. The VMU exception control signal on VMU exception line 332 occurs when another exception occurs. In either case, P
The C logic unit stores the current location of execution in the instruction stream, and then receives it, as if an unconditional branch, was taken to diagnose and handle the error condition. Exception handling routines Handle error conditions by prefetching the instruction stream. Since the VMU exception and mismatch control signals indicate the type of exception that has occurred, the PC logic unit 2
70 can determine the prefetch address of the corresponding exception handling routine.

【0044】IFIFO制御ロジック・ユニット272
はIFIFOユニット264を直接にサポートするため
のものである。具体的には、PCロジック・ユニット2
70は制御ライン336を経由して制御信号を出力し、
命令セットがIデコード・ユニット262から入力バス
198経由で使用可能であることをIFIFO制御ロジ
ック・ユニット272に通知する。IFIFO制御ユニ
ット272は命令セットを受け取るために、最も奥の使
用可能なマスタ・レジスタ200、208、216、2
24を選択する役割をもっている。マスタ・レジスタ2
02、210、218、226の各々の出力は制御バス
338を経由してIFIFO制御ユニット272へ渡さ
れる。各マスタ制御レジスタによってストアされる制御
ビットは2ビット・バッファ・アドレス(IF_Bx_
ADR)、単一ストリーム・インジケータ・ビット(I
F_Bx_STRM)、および単一有効ビット(IF_
Bx_VLD)からなっている。2ビット・バッファ・
アドレスは対応する命令セット内の最初の有効命令を指
定している。つまり、CCU106から返された命令セ
ットは、例えば、ブランチ・オペレーションのターゲッ
ト命令が命令セット内の最初の命令ロケーションに置か
れるように境界合わせされていないことがある。従っ
て、バッファ・アドレス値は、実行の対象として考慮さ
れる、命令セット内の最初の命令を一意的に示すために
与えられる。
IFIFO control logic unit 272
Is for directly supporting the IFIFO unit 264. Specifically, PC logic unit 2
70 outputs a control signal via a control line 336,
Notifies IFIFO control logic unit 272 that the instruction set is available from I decode unit 262 via input bus 198. The IFIFO control unit 272 is configured to receive the instruction set by using the innermost available master registers 200, 208, 216, 2
24. Master register 2
02, 210, 218, 226 are passed to IFIFO control unit 272 via control bus 338. The control bit stored by each master control register is a 2-bit buffer address (IF_Bx_
ADR), a single stream indicator bit (I
F_Bx_STRM) and a single valid bit (IF_
Bx_VLD). 2-bit buffer
The address specifies the first valid instruction in the corresponding instruction set. That is, the instruction set returned from the CCU 106 may not be aligned, for example, such that the target instruction of the branch operation is located at the first instruction location in the instruction set. Thus, the buffer address value is provided to uniquely indicate the first instruction in the instruction set to be considered for execution.

【0045】ストリーム・ビットは、条件付き制御フロ
ー命令を含んでいる命令セットのロケーションを示し、
IFIFOユニット264を通る命令のストリームに潜
在的制御フローの変更を引き起こすマーカとして使用さ
れることを基本としている。メイン命令ストリームは一
般にストリーム・ビット値が0のときMBUF188を
通して処理される。例えば、相対条件付きブランチ命令
が現れると、対応する命令セットはマークがつけられ、
ストリーム・ビット値が1となる。条件付命令セットは
Iデコード・ユニット262によって検出される。条件
付制御フロー命令は最高4つまで命令セットに存在する
ことができる。そのあと、命令セット時IFIFOユニ
ット264の最も奥の使用可能なマスタ・レジスタにス
トアされる。
The stream bits indicate the location of the instruction set containing the conditional control flow instruction;
It is based on being used as a marker to cause a potential control flow change in the stream of instructions through the IFIFO unit 264. The main instruction stream is generally processed through MBUF 188 when the stream bit value is zero. For example, when a relative conditional branch instruction appears, the corresponding instruction set is marked,
The stream bit value becomes 1. The conditional instruction set is detected by the I decode unit 262. Up to four conditional control flow instructions can be in the instruction set. Thereafter, it is stored in the deepest available master register of the IFO unit 264 at the time of instruction setting.

【0046】条件付ブランチ命令のターゲット・アドレ
スを判断するために、現在のIEU104の実行点アド
レス(DPC)、ストリーム・ビットで指定された条件
付命令が入っている命令セットの相対ロケーション、制
御フロー検出ユニット274から得られた命令セット内
の条件付命令ロケーション・オフセットは、制御ライン
318を通して対応するブランチ命令フィールドから得
た相対ブランチ・オフセット値と結合される。その結果
はブランチ・ターゲットの仮想アドレスとなり、PCロ
ジック・ユニット270によってストアされる。ターゲ
ット命令ストリームの最初の命令セットは、このアドレ
スを使用してプリフェッチしてTBUF190に入れる
ことができる。PCロジック・ユニット270のために
事前に選択されたブランチ・バイアスに応じて、IFI
FOユニット264はMBUF188またはTBUF1
90からロードが続けられる。1つまたは2つ以上の条
件付フロー命令を含んでいる2番目の命令セットが現れ
ると、その命令セットはストリーム・ビット値に0のマ
ークが付けられる。2番目のターゲット・ストリームは
フェッチできないので、ターゲット・アドレスはPCロ
ジック・ユニット270によって計算されてストアされ
るが、プリフェッチは行われない。さらに、それ以降の
命令セットはIデコード・ユニッ卜262を通して処理
することができない。少なくとも、条件付きフロー制御
命令を含んでいることが分かった命令セットは1つも処
理されない。
To determine the target address of the conditional branch instruction, the current execution point address (DPC) of the IEU 104, the relative location of the instruction set containing the conditional instruction specified by the stream bits, the control flow The conditional instruction location offset in the instruction set obtained from the detection unit 274 is combined with the relative branch offset value obtained from the corresponding branch instruction field via the control line 318. The result is the virtual address of the branch target, which is stored by PC logic unit 270. The first instruction set of the target instruction stream can be prefetched into TBUF 190 using this address. Depending on the pre-selected branch bias for PC logic unit 270, IFI
FO unit 264 is MBUF188 or TBUF1
Loading continues from 90. When a second instruction set appears that contains one or more conditional flow instructions, the instruction set is marked with a zero stream bit value. Since the second target stream cannot be fetched, the target address is calculated and stored by PC logic unit 270, but no prefetch is performed. Further, subsequent instruction sets cannot be processed through the I-decode unit 262. At least none of the instruction sets found to contain conditional flow control instructions are processed.

【0047】本発明の好適実施例では、PCロジック・
ユニット270は、最高2個までの命令セットに現れた
条件付きフロー命令を最高8個まで管理することができ
る。ストリーム・ビットの変化でマークが付けられた2
命令セットの各々のターゲット・アドレスは4つのアド
レス・レジスタの配列にストアされ、ターゲット・アド
レスは命令セット内の対応する条件付きフロー命令のロ
ケーションに対して論理的位置に置かれる。
In the preferred embodiment of the present invention, the PC logic
Unit 270 can manage up to eight conditional flow instructions that appear in up to two instruction sets. 2 marked by stream bit change
The target address of each of the instruction sets is stored in an array of four address registers, and the target addresses are logically located with respect to the location of the corresponding conditional flow instruction in the instruction set.

【0048】最初の順序内条件付きフロー命令のブラン
チ結果が解決されると、PCロジック・ユニット270
はブランチが行われる場合は、TBUF190の内容を
MBUF188に転送し、TBUF190の内容に無効
のマークを付けるように、ライン316上の制御信号に
よってプリフェッチ制御ユニット260に指示する。正
しくない命令ストリーム、つまり、ブランチが行われな
い場合はターゲット・ストリームからの、ブランチが行
われる場合はメイン命令ストリームからの命令セットが
IFIFOユニット264にあると、IFIFOユニッ
ト264からクリアされる。2番目またはそれ以降の条
件付きフロー制御命令が第1ストリーム・ビットのマー
クが付けられた命令セットに存在すると、その命令は統
一された方法で処理される。すなわち、ターゲット・ス
トリームからの命令セットはプリフェッチされ、MBU
F188またはTBUF190からの命令セットはブラ
ンチ・バイアスに応じてIデコード・ユニット262を
通して処理され、条件付きフロー命令が最終的に解決さ
れると、正しくないストリーム命令セットがIFIFO
ユニット264からクリアされる。
Once the branch result of the first in-order conditional flow instruction is resolved, PC logic unit 270
Transfers the contents of TBUF 190 to MBUF 188 if a branch is taken, and instructs prefetch control unit 260 by a control signal on line 316 to mark the contents of TBUF 190 as invalid. The instruction set from the incorrect instruction stream, i.e., from the target stream if the branch is not taken, or from the main instruction stream if the branch is taken, is cleared from the IFIFO unit 264, if present. If a second or subsequent conditional flow control instruction is present in the instruction set marked with the first stream bit, the instruction is processed in a unified manner. That is, the instruction set from the target stream is prefetched and the MBU
The instruction set from the F188 or TBUF 190 is processed through the I-decode unit 262 in response to a branch bias, and when the conditional flow instruction is finally resolved, the incorrect stream instruction set is
Cleared from unit 264.

【0049】IFIFOユニット264から正しくない
ストリーム命令がクリアされたとき、2番目の条件付き
フロー命令がIFIFOユニット264に残っていて、
最初の条件付きフロー命令セットにそれ以降の条件付き
フロー命令が含まれていないと、第2ストリーム・ビッ
トのマークが付いた命令セットのターゲット・アドレス
はアドレス・レジスタの最初の配列にプロモートされ
る。いずれの場合も、条件付きフロー命令を含んでいる
次の命令セットはIデコード・ユニット262を通して
評価することが可能になる。従って、ストリーム・ビッ
トをトグルとして使用すると、ブランチ・ターゲット・
アドレスを計算する目的のために、また、ブランチ・バ
イアスが特定の条件付きフロー制御命令では正しくなか
ったとあとで判断された場合に、それより上をクリアす
べき命令セット・ロケーションにマークを付ける目的の
ために、潜在的制御フローの変化にマークを付けてお
き、IFIFOユニット264を通して追跡することが
できる。
When an incorrect stream instruction is cleared from the IFIFO unit 264, a second conditional flow instruction remains in the IFIFO unit 264,
If the first conditional flow instruction set does not include any subsequent conditional flow instructions, the target address of the instruction set marked with the second stream bit is promoted to the first array in the address register. . In either case, the next instruction set containing the conditional flow instruction can be evaluated through I-decode unit 262. Thus, using stream bits as toggles, the branch target
For the purpose of calculating addresses, and to mark instruction set locations above which branch bias should be cleared if it is later determined to be incorrect for a particular conditional flow control instruction For this purpose, potential control flow changes can be marked and tracked through the IFIFO unit 264.

【0050】命令セットをマスタ・レジスタから実際に
クリアするのではなく、IFIFO制御ロジック・ユニ
ット272はIFIFOユニット264の対応するマス
タ・レジスタの制御レジスタに入っている有効ビット・
フラグをリセットするだけである。このクリア・オペレ
ーションはライン336に送出される制御信号でPCロ
ジック・ユニット270によって開始される。マスタ制
御レジスタ202、210、218、226の各々の入
力は状況バス230を通してIFIFO制御ロジック・
ユニット272が直接にアクセスすることができる。好
適実施例のアーキテクチャ100では、これらのマスタ
制御レジスタ202、210、218、226内のビッ
トは、IFIFOユニット264によるデータ・シフト
・オペレーションと並行してまたは独立してIFIFO
制御ユニット272によってセットすることが可能であ
る。この機能により、IEU104のオペレーションと
非同期に、命令セットをマスタ・レジスタ200、20
8、216、224のいずかに書き込み、対応する状況
情報をマスタ制御レジスタ202、210、218、2
26に書き込むことができる。
Rather than actually clearing the instruction set from the master register, the IFIFO control logic unit 272 uses the valid bit
It just resets the flag. This clear operation is initiated by PC logic unit 270 with a control signal sent on line 336. The inputs of each of the master control registers 202, 210, 218, 226
Unit 272 has direct access. In the preferred embodiment architecture 100, the bits in these master control registers 202, 210, 218, 226 are controlled by the IFIFO in parallel or independently of the data shift operation by
It can be set by the control unit 272. This function allows the instruction set to be stored in the master registers 200, 20 asynchronously with the operation of the IEU 104.
8, 216, 224, and the corresponding status information is written in the master control registers 202, 210, 218, 2
26 can be written.

【0051】最後に、制御および状況バス230上の追
加の制御ラインはIFIFOユニット264のIFIF
Oオペレーションを可能にし、指示する。IFIFOシ
フトは、制御ライン336を通してPCロジック・ユニ
ット270から出力されたシフト要求制御信号を受けて
IFIFOユニット264によって行われる。IFIF
O制御ユニット272は、命令セットを受け入れるマス
タ・レジスタ200、208、216、224が使用可
能であると、制御信号をライン316を経由してプリフ
ェッチ制御ユニット266に送って、プリフェッチ・バ
ッファ260から次の該当命令セットを転送することを
要求する。命令セットが転送されると、配列266内の
対応する有効ビットがリセットされる。
Finally, an additional control line on the control and status bus 230 is
Enable and indicate O operation. The IFIFO shift is performed by the IFIFO unit 264 in response to the shift request control signal output from the PC logic unit 270 via the control line 336. IFIF
The O control unit 272 sends a control signal via line 316 to the prefetch control unit 266 when the master registers 200, 208, 216, 224 that accept the instruction set are available, and Request to transfer the corresponding instruction set. When the instruction set is transferred, the corresponding valid bit in array 266 is reset.

【0052】C)IFU/IEU制御インタフェース IFU102とIEU104とを結ぶ制御インタフェー
スは制御バス126によって提供される。この制御バス
126はPCロジック・ユニット270に接続され、複
数の制御、アドレスおよび特殊データ・ラインから構成
されている。割込み要求と受信確認制御信号を制御ライ
ン340を経由して渡すことにより、IFU102は割
込みオペレーションを通知し、IEU104との同期を
とることができる。外部で発生した割込み信号はライン
292経由でロジック・ユニット270へ送られる。こ
れを受けて、割込み要求制御信号がライン340上に送
出されると、IEU104は試行的に実行された命令を
キャンセルする。割込みの内容に関する情報は、割込み
情報ライン341を通してやりとりされる。IEU10
4がPCロジック・ユニット270によって判断された
割込みサービス・ルーチンのアドレスからプリフェッチ
された命令の受信を開始する準備状態になると、IEU
104はライン340上の割込み受信確認制御信号を肯
定する。IFU102によってプリフェッチされた割込
みサービス・ルーチンがそのあと開始される。
C) IFU / IEU Control Interface A control interface between IFU 102 and IEU 104 is provided by control bus 126. The control bus 126 is connected to the PC logic unit 270 and comprises a plurality of control, address and special data lines. By passing the interrupt request and the acknowledgment control signal via the control line 340, the IFU 102 can notify the interrupt operation and synchronize with the IEU 104. An externally generated interrupt signal is sent to logic unit 270 via line 292. In response, when an interrupt request control signal is sent out on line 340, IEU 104 cancels the trially executed instruction. Information about the contents of the interrupt is exchanged via an interrupt information line 341. IEU10
4 is ready to begin receiving instructions prefetched from the address of the interrupt service routine determined by PC logic unit 270, the IEU
104 asserts the interrupt acknowledgment control signal on line 340. The interrupt service routine prefetched by the IFU 102 is then started.

【0053】IFIFO読取り(IFIFO RD)制
御信号はIEU104から制御ライン342上に出力さ
れ、最も奥のマスタ・レジスタ224に存在する命令セ
ットが実行を完了したことおよび次の命令セットが必要
であることを通知する。この制御信号を受けると、PC
ロジック・ユニット270はIFIFOユニット264
でIFIFOシフト・オペレーションを実行するように
IFIFO制御ロジック・ユニット272に指示する。
An IFIFO Read (IFIFO RD) control signal is output from IEU 104 on control line 342, indicating that the instruction set residing in innermost master register 224 has completed execution and that the next instruction set is required. Notify. When receiving this control signal, the PC
Logic unit 270 is an IFIFO unit 264
Instructs the IFIFO control logic unit 272 to perform an IFIFO shift operation.

【0054】PCインクリメント要求とサイズ値(PC
INC/SIZE)は制御ライン344上に送出され
て、現在のプログラム・カウンタ値を命令の対応するサ
イズ数だけ更新するようにPCロジック・ユニット27
0に指示する。これにより、PCロジック・ユニット2
70は、現在のプログラム命令ストリーム中の最初の順
序内実行命令のロケーションを正確に指した個所に実行
プログラム・カウンタ(DPC)を維持することができ
る。
The PC increment request and the size value (PC
INC / SIZE) is sent on control line 344 to update the PC logic unit 27 to update the current program counter value by the corresponding size number of instructions.
Indicate 0. Thereby, the PC logic unit 2
70 may maintain an execution program counter (DPC) at the exact location of the first in-order execution instruction in the current program instruction stream.

【0055】ターゲット・アドレス(TARGET A
DDR)はアドレス・ライン346を経由してPCロジ
ック・ユニット270に返される。このターゲット・ア
ドレスは、IEU104のレジスタ・ファイルにストア
されているデータによって決まるブランチ命令の仮想タ
ーゲット・アドレスである。従って、ターゲット・アド
レスを計算するためにIEU104のオペレーションが
必要である。
The target address (TARGET A)
DDR) is returned to PC logic unit 270 via address line 346. This target address is the virtual target address of the branch instruction determined by the data stored in the IEU 104 register file. Therefore, operation of the IEU 104 is required to calculate the target address.

【0056】制御フロー結果(CF RESULT)制
御信号は制御ライン348を経由してPCロジック・ユ
ニット270へ送られて、現在保留されている条件付き
ブランチ命令が解決されたかどうか、その結果がブラン
チによるものなのか、ブランチによらないものなのかを
示している。これらの制御信号に基づいて、PCロジッ
ク・ユニット270は、条件付きフロー命令の実行の結
果として、プリフェッチ・バッファ260とIFIFO
ユニット264に置かれている命令セットのどれをキャ
ンセルする必要があるかを判断することができる。
The control flow result (CF RESULT) control signal is sent via control line 348 to the PC logic unit 270 to determine whether the currently pending conditional branch instruction has been resolved, and whether the result depends on the branch. , Or not depending on the branch. Based on these control signals, the PC logic unit 270 determines whether the prefetch buffer 260 and the IFIFO
It can be determined which instruction set located in unit 264 needs to be canceled.

【0057】いくつかのIEU命令リターン型制御信号
(IEUリターン)が制御ライン350上を送出され
て、IEU104によってある命令が実行されたことを
IEU102に通知する。これらの命令には、プロシー
ジャ命令からのリターン、トラップからのリターンおよ
びサブルーチン・コールからのリターンがある。トラッ
プからのリターン命令はハードウェア割込み処理ルーチ
ンとソフトウェア・トラップ処理ルーチンで同じように
使用される。サブルーチン・コールからのリターンもジ
ャンプとリンク型コールと併用される。どの場合も、リ
ターン制御信号は、以前に割込みがかけられた命令スト
リームに対して命令フェッチ・オペレーションを再開す
るようにIFU102に通知するために送られる。これ
らの信号をIEU104から出すことにより、システム
100の正確なオペレーションを維持することができ
る。「割込みがかけられた」命令ストリームの再開はリ
ターン命令の実行個所から行われる。
Several IEU instruction return type control signals (IEU returns) are sent on control line 350 to notify IEU 102 that an instruction has been executed by IEU 104. These instructions include returns from procedure instructions, returns from traps, and returns from subroutine calls. The return instruction from the trap is used in the same way in the hardware interrupt handling routine and the software trap handling routine. Returns from subroutine calls are also used with jumps and linked calls. In each case, a return control signal is sent to notify IFU 102 to resume the instruction fetch operation for the previously interrupted instruction stream. By issuing these signals from the IEU 104, accurate operation of the system 100 can be maintained. Resume of the "interrupted" instruction stream occurs from the point of execution of the return instruction.

【0058】現命令実行PCアドレス(現IF_PC)
はアドレス・バス352を経由してIEU104へ送ら
れる。このアドレス値(DPC)はIEU104によっ
て実行される正確な命令を指定している。つまり、IE
U104が現在のIF PCアドレスを通過した命令を
先に試行的に実行している間は、このアドレスは、割込
み、例外、その他に正確なマシンの状態が分かっている
ことが必要な事象の発生に対してアーキテクチャ100
を正確に制御するために保持されていなければならな
い。現在実行中の命令ストリームの中の正確なマシンの
状態を進めることが可能であるとIEU104が判断す
ると、PC Inc/Size信号がIFU102に送
られ、即時に現在のIF_PCアドレス値に反映され
る。
Current instruction execution PC address (current IF_PC)
Are sent to the IEU 104 via the address bus 352. This address value (DPC) specifies the exact instruction to be executed by IEU 104. That is, IE
U104 is the current IF During pre-trial execution of instructions that have passed the PC address, this address is used by the architecture 100 for the occurrence of interrupts, exceptions, and other events that require accurate machine state knowledge.
Must be maintained for precise control. If the IEU 104 determines that it is possible to advance the exact machine state in the currently executing instruction stream, a PC Inc / Size signal is sent to the IFU 102 and immediately reflected in the current IF_PC address value.

【0059】最後に、アドレスおよび双方向データ・バ
ス354は特殊レジスタのデータを転送するためのもの
である。このデータはIEU104によってIFU10
2内の特殊レジスタに入れられ、あるいはそこから読み
取られるようにプログラムすることが可能である。特殊
レジスタのデータは一般にIFU102が使用できるよ
うに、IEU104によってロードされ、あるいは計算
される。
Finally, the address and bidirectional data bus 354 is for transferring the data of the special register. This data is transmitted to IFU10 by IEU104.
2 can be programmed to be placed in or read from special registers. Special register data is generally loaded or calculated by IEU 104 for use by IFU 102.

【0060】D)PCロジック・ユニットの詳細 PC制御ユニット362、割込み制御ユニット363、
プリフェッチPC制御ユニット364および実行PC制
御ユニット366を含むPCロジック・ユニット270
の詳細図は図3に示されている。PC制御ユニット36
2はインタフェース・バス126を通してプリフェッチ
制御ユニット266、IFIFO制御ロジック・ユニッ
ト272、およびIEU104から制御信号を受けて、
プリフェッチおよび実行PC制御ユニット364、36
6に対してタイミング制御を行う。割込み制御ユニット
363は、プリフェッチ・トラップ・アドレス・オフセ
ットを判断してそれぞれのトラップ・タイプを処理する
該当処理ルーチンを選択することを含めて、割込みと例
外の正確な管理を担当する。プリフェッチ・PC制御ユ
ニット364は、特に、トラップ処理とプロシージャ・
ルーチン命令のフローのためのリターン・アドレスをス
トアすることを含めて、プリフェッチ・バッファ18
8、190、192をサポートするために必要なプログ
ラム・カウンタの管理を担当する。このオペレーション
をサポートするために、プリフェッチPC制御ユニット
364は、物理アドレス・バス・ライン324上のCC
U PADDERアドレスとアドレス・ライン326上
のVMU VMADDRアドレスを含むプリフェッチ仮
想アドレスを生成することを担当する。その結果、プリ
フェッチPC制御ユニット364は、現在のプリフェッ
チPC仮想アドレス値を保持することを担当する。
D) Details of PC Logic Unit PC control unit 362, interrupt control unit 363,
PC logic unit 270 including prefetch PC control unit 364 and execute PC control unit 366
Is shown in FIG. PC control unit 36
2 receives control signals from prefetch control unit 266, IFIFO control logic unit 272, and IEU 104 through interface bus 126,
Prefetch and execution PC control units 364, 36
6 is subjected to timing control. The interrupt control unit 363 is responsible for the precise management of interrupts and exceptions, including determining the prefetch trap address offset and selecting the appropriate processing routine to handle each trap type. The prefetch / PC control unit 364, in particular, performs trap processing and procedure
Prefetch buffer 18 includes storing the return address for the flow of routine instructions.
It is responsible for managing the program counters needed to support 8, 190, 192. To support this operation, the prefetch PC control unit 364 uses the CC on physical address bus line 324 to
Responsible for generating a prefetch virtual address that includes the U PADDER address and the VMU VMADDR address on address line 326. As a result, the prefetch PC control unit 364 is responsible for maintaining the current prefetch PC virtual address value.

【0061】プリフェッチ・オペレーションは一般に制
御ライン316上を送出された制御信号を通してIFI
FO制御ロジック・ユニット272によって開始され
る。これを受けて、PC制御ユニット362はいくつか
の制御信号を生成して制御ライン372上に出力し、プ
リフェッチPC制御ユニット364を動作させて、アド
レス・ライン324、326上にPADDRアドレス
と、必要に応じてVMADDRアドレスを生成する。値
が0から4までのインクリメント信号も制御ライン37
4上に送出される場合もあるが、これは、PC制御ユニ
ット362が現在のプリフェッチ・アドレスから命令セ
ットのフェッチを再実行しているか、一連のプリフェッ
チ要求の中の2番目の要求に対して位置合わせを行って
いるか、プリフェッチのために次の全順次命令セットを
選択しているか、によって決まる。最後に、現在のプリ
フェッチ・アドレスPF_PCがバス370上に送出さ
れ、実行PC制御ユニット366へ渡される。
The prefetch operation is generally performed by an IFI through a control signal transmitted on control line 316.
Initiated by FO control logic unit 272. In response, the PC control unit 362 generates and outputs a number of control signals on the control line 372 and operates the prefetch PC control unit 364 to generate the PADDR address on the address lines 324 and 326 and the necessary address. Generates a VMADDR address in accordance with. An increment signal having a value of 0 to 4 is also supplied to the control line 37.
4, which may be due to the PC control unit 362 re-executing the instruction set fetch from the current prefetch address, or to the second request in the series of prefetch requests. It depends on whether alignment is performed or the next full sequential instruction set is selected for prefetch. Finally, the current prefetch address PF_PC is sent out on the bus 370 and passed to the execution PC control unit 366.

【0062】新しいプリフェッチ・アドレスは、いくつ
かのソースから発生する。アドレスの主要なソースは、
バス352経由で実行PC制御ユニット366から送出
された現在のIF PCアドレスである。原理的には、
IF_PCアドレスからはリターン・アドレスが得ら
れ、これは、初期コール、トラップまたはプロシージャ
命令が現れたとき、プリフェッチPC制御ユニット36
4によってあとで使用されるものである。IF_PCア
ドレスは、これらの命令が現れるたびに、プリフェッチ
PC制御ユニット364内のレジスタにストアされる。
このようにして、PC制御ユニット362は制御ライン
350を通してIEUリターン信号を受けたとき、プリ
フェッチPC制御ユニット364内のリターン・アドレ
ス・レジスタを選択して新しいプリフェッチ仮想アドレ
スを取り出すだけでよく、これによって元のプログラム
命令ストリームを再開する。
[0062] New prefetch addresses originate from several sources. The primary source of addresses is
The current IF sent from the execution PC control unit 366 via the bus 352 PC address. In principle,
The IF_PC address gives the return address, which, when an initial call, trap or procedural instruction appears, the prefetch PC control unit 36
4 will be used later. The IF_PC address is stored in a register in the prefetch PC control unit 364 each time these instructions appear.
Thus, when the PC control unit 362 receives the IEU return signal via the control line 350, it only needs to select the return address register in the prefetch PC control unit 364 and retrieve the new prefetch virtual address, Restart the original program instruction stream.

【0063】プリフェッチ・アドレスのもう1つのソー
スは、実行PC制御ユニット366から相対ターゲット
・アドレス・バス382を経由して、あるいはIEU1
04から絶対ターゲット・アドレス・バス346を経由
して送出されたターゲット・アドレス値である。相対タ
ーゲット・アドレスとは、実行PC制御ユニット366
によって直接に計算できるアドレスである。絶対ターゲ
ット・アドレスは、これらのターゲット・アドレスがI
EUレジスタ・ファイルに入っているデータに依存する
ので、IEU104に生成させる必要がある。ターゲッ
ト・アドレスはターゲット・アドレス・バス384を通
ってプリフェッチPC制御ユニット364へ送られ、プ
リフェッチ仮想アドレスとして使用される。相対ターゲ
ット・アドレスを計算する際、対応するブランチ命令の
オペランド部分もIデコード・ユニット262からバス
318のオペランド変位部分を経由して送られる。
Another source of prefetch addresses is from execution PC control unit 366 via relative target address bus 382 or the IEU1
04 is the target address value sent via the absolute target address bus 346. The relative target address is the execution PC control unit 366.
Is an address that can be calculated directly by Absolute target addresses are those target addresses
It depends on the data contained in the EU register file and must be generated by the IEU 104. The target address is sent to the prefetch PC control unit 364 via the target address bus 384 and is used as a prefetch virtual address. When calculating the relative target address, the operand portion of the corresponding branch instruction is also sent from I decode unit 262 via the operand displacement portion of bus 318.

【0064】プリフェッチ仮想アドレスのもう1つのソ
ースは、実行PC制御ユニット366である。リターン
・アドレス・バス352’は、現在のIF_PC値(D
PC)をプリフェッチPC制御ユニット364へ転送す
るためのものである。このアドレスは、割込み、トラッ
プ、その他にコールなどの制御フロー命令が命令ストリ
ーム内に現れた個所でリターン・アドレスとして使用さ
れる。プリフェッチPC制御ユニット364は、新しい
命令ストリームをプリフェッチするために解放される。
PC制御ユニット362は、対応する割込みまたはトラ
ップ処理ルーチンまたはサブルーチンが実行されると、
IEU104からライン350を経由してIEUリター
ン信号を受け取る。他方、PC制御ユニット362はラ
イン372上のPFPC信号の1つを通して、およびラ
イン350経由で送られてきて実行されたリターン命令
のIDに基づいて、現在のリターン仮想アドレスを収め
ているレジスタを選択する。そのあと、このアドレスが
使用されて、PCロジック・ユニット270によるプリ
フェッチ・オペレーションを続行する。
Another source of prefetch virtual addresses is the execution PC control unit 366. Return address bus 352 'provides the current IF_PC value (D
PC) to the prefetch PC control unit 364. This address is used as the return address where control flow instructions such as interrupts, traps, and other calls appear in the instruction stream. Prefetch PC control unit 364 is released to prefetch a new instruction stream.
When the corresponding interrupt or trap processing routine or subroutine is executed, the PC control unit 362 executes
An IEU return signal is received from the IEU 104 via line 350. On the other hand, the PC control unit 362 selects the register containing the current return virtual address through one of the PFPC signals on line 372 and based on the ID of the executed return instruction sent over line 350 I do. This address is then used to continue the prefetch operation by PC logic unit 270.

【0065】最後に、プリフェッチ仮想アドレスが取り
出されるもう1つのソースは、特殊レジスタ・アドレス
およびデータ・バス354である。IEU104によっ
て計算またはロードされたアドレス値、または少なくと
もベース・アドレス値は、データとしてバス354を経
由しでプリフェッチPC制御ユニット364へ転送され
る。ベース・アドレスは、トラップ・アドレス・テーブ
ル、高速トラップ・テーブル、およびベース・プロシー
ジャ命令ディスパッチ・テーブルのアドレスを含んでい
る。バス354を通して、プリフェッチおよびPC制御
ユニット364、366内のレジスタの多くを読み取る
こともできるので、マシンの状態の対応する側面をIE
U104を通して処理することが可能である。
Finally, another source from which the prefetch virtual address is retrieved is the special register address and data bus 354. The address value calculated or loaded by the IEU 104, or at least the base address value, is transferred as data to the prefetch PC control unit 364 via the bus 354. The base address includes the addresses of the trap address table, the fast trap table, and the base procedure instruction dispatch table. Many of the registers in the prefetch and PC control units 364, 366 can also be read through the bus 354, so that the corresponding aspects of the state of the machine are IE
It is possible to process through U104.

【0066】実行PC制御ユニット366は、PC制御
ユニット362の制御を受けて、現在のIF PCアド
レス値を計算することを主な役割としている。この役割
において、実行PC制御ユニット366はPC制御ユニ
ット362からExPC制御ライン378を経由して送
られてきた制御信号と、制御ライン380を経由して送
られてきたインクリメント/サイズ制御信号を受けて、
IF_PCアドレスを調整する。これらの制御信号は、
主に、ライン342経由で送られてきたIFIFO読取
り制御信号とIEU104から制御ライン344経由で
送られてきたPCインクリメント/サイズ値を受けると
生成される。
The execution PC control unit 366 receives the current IF under the control of the PC control unit 362. The main role is to calculate the PC address value. In this role, the execution PC control unit 366 receives the control signal sent from the PC control unit 362 via the ExPC control line 378 and the increment / size control signal sent via the control line 380. ,
Adjust the IF_PC address. These control signals are
It is mainly generated when receiving the IFIFO read control signal transmitted via the line 342 and the PC increment / size value transmitted via the control line 344 from the IEU 104.

【0067】1)PFおよびExPC制御/データ・ユ
ニットの詳細 図4は、プリフェッチおよび実行PC制御ユニット36
4、366の詳細ブロック図である。これらのユニット
は主に、レジスタ、インクリメンタ(増分器)その他の
類似部品、セレタタおよび加算器ブロックから構成され
ている。これらのブロック間のデータ転送を管理する制
御は、PFPC制御ライン372、ExPC制御ライン
378およびインクリメント制御ライン374、380
を通してPC制御ユニット362によって行われる。説
明を分かりやすくするために、図4のブロック図には、
これらの個々の制御ラインは示されていない。しかし、
これらの制御信号が以下に説明するように、これらのブ
ロックヘ送られることは勿論である。
1) Details of PF and ExPC control / data unit FIG.
It is a detailed block diagram of 4,366. These units mainly consist of registers, incrementers and other similar parts, selectors and adder blocks. Controls for managing data transfer between these blocks include a PFPC control line 372, an ExPC control line 378, and increment control lines 374, 380.
Through the PC control unit 362. For simplicity, the block diagram of FIG.
These individual control lines are not shown. But,
Of course, these control signals are sent to these blocks as described below.

【0068】プリフェッチPC制御ユニット364の中
心となるものはプリフェッチ・セレクタ(PF_PC
SEL)であり、これは現プリフェッチ仮想アドレスの
中央セレタタとして動作する。この現プリフェッチ・ア
ドレスはプリフェッチ・セレクタから出力バス392を
通ってインクリメンタ・ユニット394へ送られて、次
のプリフェッチ・アドレスを生成する。この次のプリフ
ェッチ・アドレスはインクリメンタ出力バス396を通
ってレジスタMBUF PFnPC398、TBUF
PFnPC400、およびEBUF PFnPC402
の並列配列へ送られる。これらのレジスタ398、40
0、402は実効的には次の命令プリフェッチ・アドレ
スをストアしているが、本発明の好適実施例によれば、
別々のプリフェッチ・アドレスがMBUF188、TB
UF190、およびEBUF192に保持されている。
MBUF、TBUFおよびEBUF PFnPCレジス
タ398、400、402にストアされたプリフェッチ
・アドレスは、アドレス・バス404、408、410
からプリフェッチ・セレタタ390へ渡される。従っ
て、PC制御ユニット362はプリフェッチ・レジスタ
398、400、402の別の1つをプリフェッチ・セ
レクタが選択することを指示することだけでプリフェッ
チ命令ストリームの即時切替えを指示することができ
る。ストリームの中の次の命令セットをプリフェッチす
るために、そのアドレス値がインクリメンタ394によ
ってインクリメントされると、その値がプリフェッチ・
アドレス398、400、402のうち該当するレジス
タヘ返却される。もう1つの並列レジスタ配列は簡略化
のため単一の特殊レジスタ・ブロック412として示さ
れているが、この配列はいくつかの特殊アドレスをスト
アするためのものである。レジスタ・ブロック412は
トラップ・リターン・アドレス・レジスタ、プロシージ
ャ命令リターン・アドレス・レジスタ、プロシージャ命
令ディスパッチ・テーブル・ベース・アドレス・レジス
タ、トラップ・ルーチン・ディスパッチ・テーブル・ベ
ース・アドレス・レジスタ、および高速トラップ・ルー
チン・ベース・アドレス・レジスタから構成されてい
る。PC制御ユニット362の制御を受けて、これらの
リターン・アドレス・レジスタはバス352を通して現
IF_PC実行アドレスを受け入れることができる。レ
ジスタ・ブロック412内のリターンおよびベース・ア
ドレス・レジスタにストアされたアドレス値はIEU1
04から独立して読み書きすることができる。レジスタ
が選択され、値が特殊レジスタ・アドレスおよびデータ
・バス354を経由して転送される。
The center of the prefetch PC control unit 364 is a prefetch selector (PF_PC
SEL), which acts as a central selector for the current prefetch virtual address. This current prefetch address is sent from the prefetch selector via output bus 392 to incrementer unit 394 to generate the next prefetch address. This next prefetch address is passed through the incrementer output bus 396 to the registers MBUF PFnPC 398, TBUF
PFnPC400 and EBUF PFnPC402
Sent to the parallel array. These registers 398, 40
Although 0, 402 effectively stores the next instruction prefetch address, according to a preferred embodiment of the present invention,
Separate prefetch addresses are MBUF188, TB
It is held in the UF 190 and the EBUF 192.
The prefetch addresses stored in the MBUF, TBUF and EBUF PFnPC registers 398, 400, 402 are stored on the address buses 404, 408, 410.
To the prefetch selector 390. Thus, the PC control unit 362 can indicate immediate switching of the prefetch instruction stream simply by indicating that the prefetch selector selects another one of the prefetch registers 398, 400, 402. When the address value is incremented by incrementer 394 to prefetch the next set of instructions in the stream, the value is prefetched.
The address 398, 400, or 402 is returned to the corresponding register. Another parallel register array is shown as a single special register block 412 for simplicity, but this array is for storing some special addresses. Register block 412 includes a trap return address register, a procedure instruction return address register, a procedure instruction dispatch table base address register, a trap routine dispatch table base address register, and a fast trap. -Consists of a routine base address register. Under the control of PC control unit 362, these return address registers can accept the current IF_PC execution address over bus 352. The address value stored in the return and base address registers in register block 412 is IEU1
04 can be read and written independently. The register is selected and the value is transferred via the special register address and data bus 354.

【0069】特殊レジスタ・ブロック412内のセレク
タはPC制御ユニット362によって制御され、レジス
タ・ブロック412のレジスタにストアされたアドレス
を特殊レジスタ出力バス416上に送出して、プリフェ
ッチ・セレクタ390へ渡すことができる。リターン・
アドレスは直接にプリフェッチ・セレクタ390へ渡さ
れる。ベース・アドレス値は割込み制御ユニット363
から割込みオフセット・バス373経由で送られてきた
オフセット値と結合される。ソースからバス373経由
でプリフェッチ・セレクタ390へ渡された特殊アドレ
スは、新しいプリフェッチ命令ストリームの初期アドレ
スとして使用され、そのあとインクリメンタ394とプ
リフェッチ・レジスタ398、400、402の1つを
通るアドレスのインクリメント・ループを続行すること
ができる。
The selector in the special register block 412 is controlled by the PC control unit 362, and sends the address stored in the register of the register block 412 onto the special register output bus 416 and passes it to the prefetch selector 390. Can be. return·
The address is passed directly to prefetch selector 390. The base address value is set in the interrupt control unit 363.
From the interrupt offset bus 373 from the CPU. The special address passed to the prefetch selector 390 from the source via the bus 373 is used as the initial address of the new prefetch instruction stream, and then the address of the address passing through the incrementer 394 and one of the prefetch registers 398, 400, 402. The increment loop can continue.

【0070】プリフェッチ・セレクタ390へ送られる
アドレスのもう1つのソースは、ターゲット・アドレス
・レジスタ・ブロック414内のレジスタ配列である。
ブロック414内のターゲット・レジスタには、好適実
施例によれば、8つの潜在的ブランチ・ターゲット・ア
ドレスがストアされる。これらの8つの記憶ロケーショ
ンはIFIFOユニット264の最下位の2マスタ・レ
ジスタ216、224に保持されている8つの潜在的に
実行可能な命令に論理的に対応している。これらの命令
のどれでもが、および潜在的にはすべてが条件付きブラ
ンチ命令となり得るので、ターゲット・レジスタ・ブロ
ック414は、あらかじめ計算されたターゲット・アド
レスをストアしておくので、TBUF190を通してタ
ーゲット命令ストリームをプリフェッチするために使用
するのを待たせることができる。特に、PC制御ユニッ
ト362がターゲット命令ストリームのプリフェッチを
即時に開始するように条件付きブランチ・バイアスがセ
ットされると、ターゲット・アドレスはターゲット・レ
ジスタ・ブロック414からアドレス・バス418を経
由してプリフェッチ・セレクタ390へ送られる。イン
クリメンタ394によってインクリメントされたあと、
アドレスはTBUF PFnPC400へ戻されてスト
アされ、ターゲット命令ストリームをあとでプリフェッ
チするオペレーションで使用される。別のブランチ命令
がターゲット命令ストリームに現れると、その2番目の
ブランチのターゲット・アドレスが計算され、最初の条
件付きブランチ命令が解決されて使用されるまでの間、
ターゲット・レジスタ配列414にストアされている。
Another source of addresses sent to prefetch selector 390 is the register array in target address register block 414.
In the target register in block 414, according to the preferred embodiment, eight potential branch target addresses are stored. These eight storage locations logically correspond to the eight potentially executable instructions held in the least significant two master registers 216, 224 of the IFIFO unit 264. Since any and potentially all of these instructions can be conditional branch instructions, the target register block 414 stores the pre-computed target address, so that the target instruction stream through the TBUF 190 Can be made to wait for use to prefetch. In particular, when the conditional branch bias is set such that the PC control unit 362 immediately begins prefetching the target instruction stream, the target address is prefetched from the target register block 414 via the address bus 418. -Sent to selector 390. After being incremented by the incrementer 394,
The address is stored back into the TBUF PFnPC 400 for use in operations that prefetch the target instruction stream later. When another branch instruction appears in the target instruction stream, the target address of the second branch is calculated, and until the first conditional branch instruction is resolved and used.
It is stored in the target register array 414.

【0071】ターゲット・レジスタ・ブロック414に
ストアされた、計算で求めたターゲット・アドレスは、
実行PC制御ユニット366内のターゲット・アドレス
計算ユニットからアドレス・ライン382を経由して、
あるいはIEU104から絶対ターゲット・アドレス・
バス346を経由して転送される。
The calculated target address stored in the target register block 414 is:
From the target address calculation unit in the execution PC control unit 366 via the address line 382,
Alternatively, the absolute target address from the IEU 104
The data is transferred via the bus 346.

【0072】プリフェッチPF_PCセレタタ390を
通って転送されるアドレス値は、完全な32ビット仮想
アドレス値である。ページ・サイズは本発明の好適実施
例では、16Kバイトに固定されており、最大ページ・
オフセット・アドレス値〔13:0〕に対応している。
従って、現プリフェッチ仮想ページ・アドレス〔27:
14〕に変化がなければ、VMUページの変換は不要で
ある。プリフェッチ・セレタタ390内のコンパレータ
はそのことを検出する。VMU変換要求信号(VMXL
AT)は、インクリメントがページ境界をこえて行われ
たか、制御のフローが別のページ・アドレスヘブランチ
したために、仮想ページ・アドレスが変化したとき、ラ
イン372を経由してPC制御ユニット362へ送られ
る。他方、PC制御ユニット362はライン324上の
CCU PADDRのほかに、VM VADDRアドレ
スをバッファ・ユニット420からライン326上に送
出し、該当の制御信号をVMU制御ライン326、32
8、330上に送出して、VMU仮想ページから物理ペ
ージヘの変換を得るように指示する。ページ変換が必要
でない場合は、現物理ページ・アドレス〔31:14〕
はバス122上のVMUユニット108の出力側のラッ
チによって保持される。
The address value transferred through the prefetch PF_PC selector 390 is a complete 32-bit virtual address value. The page size is fixed at 16K bytes in the preferred embodiment of the present invention, and the maximum page size is
It corresponds to the offset address value [13: 0].
Therefore, the current prefetch virtual page address [27:
14], there is no need to convert the VMU page. The comparator in the prefetch selector 390 detects this. VMU conversion request signal (VMXL
AT) sends to the PC control unit 362 via line 372 when the virtual page address changes, either because the increment has been made across a page boundary or because the control flow has branched to another page address. Can be On the other hand, the PC control unit 362 sends the VM VADDR address on the line 326 from the buffer unit 420 in addition to the CCU PADDR on the line 324, and sends the corresponding control signal to the VMU control line 326, 32.
8, 330 to instruct it to get the conversion from VMU virtual pages to physical pages. If no page translation is required, the current physical page address [31:14]
Is held by a latch on the output side of the VMU unit 108 on the bus 122.

【0073】バス370上に送出された仮想アドレスは
インクリメント制御ライン374から送られてきた信号
を受けて、インクリメンタ394によってインクリメン
トされる。インクリメンタ394は、次の命令セットを
選択するために、命令セットを表す値(4命令または1
6バイト)だけインクリメントする。CCUユニット1
06へ渡されるプリフェッチ・アドレスの下位4ビット
はゼロになっている。従って、最初のブランチ・ターゲ
ット命令セット内の実際のターゲット・アドレス命令は
最初の命令ロケーションに置かれていない場合がある。
しかし、アドレスの下位4ビットはPC制御ユニット3
62へ送られるので、最初のブランチ命令のロケーショ
ンをIFU102が判別することができる。ターゲット
・アドレスの下位ビット〔3:2〕を2ビット・バッフ
ァ・アドレスとして返して、位置合わせされていないタ
ーゲット命令セットから実行すべき正しい最初の命令を
選択するための検出と処理は、新しい命令ストリーム、
つまり、命令ストリームの中の最初の非順次命令セット
・アドレスの最初のプリフェッチのときだけ行われる。
命令セットの最初の命令のアドレスと命令セットをプリ
フェッチする際に使用されるプリフェッチ・アドレスと
の間の非位置合わせの関係は、現順次命令ストリームが
存続している間無視することができ、そのあとも無視さ
れる。
The virtual address transmitted on the bus 370 is incremented by the incrementer 394 in response to the signal transmitted from the increment control line 374. Incrementer 394 provides a value representing the instruction set (4 instructions or 1) to select the next instruction set.
6 bytes). CCU unit 1
The lower 4 bits of the prefetch address passed to 06 are zero. Thus, the actual target address instruction in the first branch target instruction set may not be located at the first instruction location.
However, the lower 4 bits of the address are stored in the PC control unit 3
As sent to 62, IFU 102 can determine the location of the first branch instruction. The detection and processing to return the low order bits [3: 2] of the target address as a 2-bit buffer address and to select the correct first instruction to execute from the unaligned target instruction set is a new instruction stream,
That is, it occurs only during the first prefetch of the first non-sequential instruction set address in the instruction stream.
The unaligned relationship between the address of the first instruction in the instruction set and the prefetch address used in prefetching the instruction set can be ignored during the life of the current sequential instruction stream. It is neglected.

【0074】図4に示した機能ブロックの残り部分は実
行PC制御ユニット366を構成している。本発明の好
適実施例によれば、実行PC制御ユニット366は独立
に機能するプログラム・カウンタ・インクリメンタを独
自に備えている。この機能の中心となるのは実行セレク
タ(DPC SEL)430である。実行セレタタ43
0からアドレス・バス352’上に出力されるアドレス
はアーキテクチャ100の現在の実行アドレス(DP
C)である。この実行アドレスは加算ユニツト434へ
送られる。ライン380上に送出されたインクリメント
/サイズ制御信号は1から4までの命令インクリメント
値を指定しており、この値は加算ユニット434によっ
てセレタタ430から得たアドレスに加えられる。加算
ユニツト434が出力ラッチ機能を実行するたびに、イ
ンクリメントされた次の実行アドレスがアドレス・ライ
ン436を経て直接に実行セレクタ430に返され、次
の命令インクリメント・サイクルで使用される。
The remaining part of the functional blocks shown in FIG. 4 constitutes the execution PC control unit 366. According to a preferred embodiment of the present invention, the execution PC control unit 366 has its own independently functioning program counter incrementer. At the center of this function is the execution selector (DPC SEL) 430. Execution Seleta 43
0 on address bus 352 'is the current execution address of architecture 100 (DP
C). This execution address is sent to the addition unit 434. The increment / size control signal sent on line 380 specifies an instruction increment value from one to four, which is added by adder unit 434 to the address obtained from selector 430. Each time the add unit 434 performs the output latch function, the next incremented execution address is returned directly to the execution selector 430 via the address line 436 and used in the next instruction increment cycle.

【0075】初期実行アドレスとその後のすべての新し
いストリーム・アドレスは、アドレス・ライン440を
経由して新ストリーム・レジスタ・ユニット438から
得られる。新ストリーム・レジスタ・ユニット438
は、プリフェッチ・セレクタ390からPFPCアドレ
ス・バス370を経由して送られきた新しい現プリフェ
ッチ・アドレスを直接にアドレス・バス440に渡すこ
とも、あとで使用するためにストアしておくこともでき
る。つまり、プリフェッチPC制御ユニット364が新
しい仮想アドレスからプリフェッチを開始することを判
断した場合は、新しいストリーム・アドレスは新ストリ
ーム・レジスタ・ユニット438によって一時的にスト
アされる。PC制御ユニット363は、プリフェッチと
実行インクリメントの両サイクルに関与することによっ
て、実行アドレスが新命令ストリームを開始した制御フ
ロー命令に対応するプログラム実行個所までに達するま
で新ストリーム・アドレスを新ストリーム・レジスタ4
38に置いておく。新ストリーム・アドレスはそのあと
新ストリーム・レジスタ・ユニット438から出力され
て実行セレクタ430へ送られ、新命令ストリーム内の
実行アドレスを独立して生成することを開始する。
The initial execution address and all subsequent new stream addresses are obtained from new stream register unit 438 via address line 440. New stream register unit 438
Can pass the new current prefetch address sent from the prefetch selector 390 via the PFPC address bus 370 directly to the address bus 440 or store it for later use. That is, if the prefetch PC control unit 364 determines to start prefetching from a new virtual address, the new stream address is temporarily stored by the new stream register unit 438. The PC control unit 363 participates in both the prefetch and execution increment cycles, and thereby stores the new stream address in the new stream register until the execution address reaches the program execution point corresponding to the control flow instruction that started the new instruction stream. 4
38. The new stream address is then output from the new stream register unit 438 and sent to the execution selector 430 to begin independently generating execution addresses in the new instruction stream.

【0076】本発明の好適実施例によれば、新ストリー
ム・レジスタ・ユニット438は2つの制御フロー命令
ターゲット・アドレスをバッファリングする機能を備え
ている。新ストリーム・アドレスを即時に取り出すこと
により、殆ど待ち時間がなく実行PC制御ユニット36
6を現実行アドレス列の生成から新実行アドレス・スト
リーム列の生成に切り替えることができる。
According to a preferred embodiment of the present invention, the new stream register unit 438 has the ability to buffer two control flow instruction target addresses. By immediately retrieving the new stream address, the execution PC control unit 36 has almost no waiting time.
6 can be switched from the generation of the current execution address sequence to the generation of a new execution address stream sequence.

【0077】最後に、IF_PCセレタタ(IF_PC
SEL)は最終的に現IF_PCアドレスをアドレス
・バス352上に送出してIEU104へ送るためのも
のである。IF_PCセレタタ442への入力は実行セ
レクタ430または新ストリーム・レジスタ・ユニット
438から得た出力アドレスである。殆どの場合、IF
_PCセレタタ442はPC制御ユニット262の指示
を受けて、実行セレタタ430から出力された実行アド
レスを選択する。しかし、新命令ストリームの実行開始
のために使用される新仮想アドレスヘ切り替える際の待
ち時間をさらに短縮するために、新ストリーム・レジス
タ・ユニット438からの選択したアドレスをバイパス
して、バス440経由で直接にIF_PCセレクタ44
2へ送り、現IF_PC実行アドレスとして得ることが
できる。
Finally, IF_PC seleta (IF_PC
SEL) is to finally send the current IF_PC address onto the address bus 352 and send it to the IEU 104. The input to IF_PC selector 442 is the output address obtained from execution selector 430 or new stream register unit 438. In most cases, IF
The _PC selector 442 receives the instruction from the PC control unit 262 and selects the execution address output from the execution selector 430. However, to further reduce the latency when switching to a new virtual address used to start execution of a new instruction stream, the selected address from new stream register unit 438 is bypassed via bus 440. Directly with the IF_PC selector 44
2 and can be obtained as the current IF_PC execution address.

【0078】実行PC制御ユニット366は、すべての
相対ブランチ・ターゲット・アドレスを計算する機能を
備えている。現実行点アドレスと新ストリーム・レジス
タ・ユニット438から得たアドレスは、アドレス・バ
ス352’、440を経由して制御フロー・セレタタ
(CF_PC)446に渡される。その結果、PC制御
ユニット362は大幅な柔軟性をもって、ターゲット・
アドレス計算の基となる正確な初期アドレスを選択する
ことができる。この初期アドレス、つまり、ベース・ア
ドレスはアドレス・バス454を経由してターゲット・
アドレスALU450へ送られる。ターゲットALU4
50への入力となるもう1つの値は、制御フロー変位計
算ユニット452からバス458経由で送られてくる。
相対ブランチ命令は、アーキテクチャ100の好適実施
例によれば、新相対ターゲット・アドレスを指定した即
値モード定数の形態をした変位値を含んでいる。制御フ
ロー変位計算ユニット452はIデコード・ユニットの
オペランド出力バス318から初めて得たオペランド変
位値を受け取る。最後に、オフセット・レジスタ値はラ
イン456を経由してターゲット・アドレスALU45
0へ送られる。オフセット・レジスタ448はPC制御
ユニット362から制御ライン378’を経由してオフ
セット値を受け取る。オフセット値の大きさはアドレス
・ライン454上を送られるベース・アドレスから相対
ターゲット・アドレスを計算するときの現ブランチ命令
のアドレスまでのアドレス・オフセットに基づいてPC
制御ユニット362によって判断される。つまり、PC
制御ユニット362は、IFIFO制御ロジック・ユニ
ット272を制御することによって、現実行点アドレス
の命令(CP_PCによって要求された)とIデコード
・ユニット262によって現在処理中の、従ってPCロ
ジック・ユニット270によって処理中の命令を分離し
ている命令の個数を追跡して、その命令のターゲット・
アドレスを判断する。
The execution PC control unit 366 has a function of calculating all relative branch target addresses. The current execution point address and the address obtained from the new stream register unit 438 are passed to the control flow selector (CF_PC) 446 via the address bus 352 ', 440. As a result, the PC control unit 362 has great flexibility and
The correct initial address on which to calculate the address can be selected. This initial address, the base address, is sent to the target bus via address bus 454.
Sent to address ALU 450. Target ALU4
Another value to be input to 50 is sent from the control flow displacement calculation unit 452 via the bus 458.
The relative branch instruction, according to the preferred embodiment of the architecture 100, includes a displacement value in the form of an immediate mode constant specifying a new relative target address. The control flow displacement calculation unit 452 receives the first operand displacement value from the I decode unit operand output bus 318. Finally, the offset register value is sent via line 456 to the target address ALU 45
Sent to 0. Offset register 448 receives an offset value from PC control unit 362 via control line 378 '. The magnitude of the offset value is based on the address offset from the base address sent on address line 454 to the address of the current branch instruction when calculating the relative target address.
It is determined by the control unit 362. That is, PC
The control unit 362 controls the IFO control logic unit 272 so that the instruction at the current execution point address (required by CP_PC) and the I decode unit 262 are currently being processed, and thus are being processed by the PC logic unit 270. By tracking the number of instructions that separate the instruction in the
Determine the address.

【0079】相対ターゲット・アドレスがターゲット・
アドレスALU450によって計算されると、そのター
ゲット・アドレスはアドレス・バス382を通して対応
するターゲット・レジスタ414に書き込まれる。
If the relative target address is the target
As calculated by address ALU 450, the target address is written to corresponding target register 414 via address bus 382.

【0080】2)PC制御アルゴリズムの詳細 1.メイン命令ストリームの処理:MBUF PFnP
C l.1 次のメイン・フロー・プリフェッチ命令のアド
レスはMBUF PFnPCにストアされる。
2) Details of PC control algorithm Main instruction stream processing: MBUF PFnP
Cl. The address of the primary main flow prefetch instruction is stored in MBUF PFnPC.

【0081】1.2 制御フロー命令がないときは、3
2ビット・インクリメンタはMBUF PFnPCに入
っているアドレス値を、各プリフェッチ・サイクルごと
に16バイト(x16)だけ調整する。
1.2 When there is no control flow instruction, 3
The 2-bit incrementer adjusts the address value contained in the MBUF PFnPC by 16 bytes (x16) for each prefetch cycle.

【0082】1.3 無条件制御フロー命令がIデコー
ドされると、命令セットに続いてフェッチされたすべて
のプリフェッチ・データはフラッシュされ、MBUF
PFnPCには、ターゲット・レジスタ・ユニット、P
PCセレタタおよびインクリメンタを通して、新し
いメイン命令ストリーム・アドレスがロードされる。新
しいアドレスは新ストリーム・レジスタにもストアされ
る。
1.3 When an unconditional control flow instruction is I-decoded, all prefetch data fetched following the instruction set is flushed and the MBUF
PFnPC has a target register unit, P
F The new main instruction stream address is loaded through the PC selector and incrementer. The new address is also stored in the new stream register.

【0083】1.3.1 相対無条件制御フローのター
ゲット・アドレスはIFUが保持しているレジスタ・デ
ータからと制御フロー命令の後に置かれたオペランド・
データからIFUによって計算される。
1.3.1 The target address of the relative unconditional control flow is obtained from the register data held by the IFU and the operand address placed after the control flow instruction.
Calculated by IFU from data.

【0084】1.3.2 絶対無条件制御フローのター
ゲット・アドレスはレジスタ基準値、ベース・レジスタ
値、およびインデックス・レジスタ値からIEUによっ
て最終的に計算される。
1.3.2 The target address of the absolute unconditional control flow is finally calculated by the IEU from the register reference value, base register value, and index register value.

【0085】1.3.2.1 命令プリフェッチ・サイ
クルは絶対アドレス制御フロー命令に対してターゲット
・アドレスがIEUから返されるまで停止する。命令実
行サイクルは続行される。
1.3.2.1 The instruction prefetch cycle stops until the target address is returned from the IEU for an absolute address control flow instruction. The instruction execution cycle continues.

【0086】1.4 無条件制御フロー命令から得た次
のメイン・フロー・プリフェッチ命令のアドレスはバイ
パスされて、ターゲット・アドレス・レジスタ・ユニッ
ト、PF_PCセレタタおよびインクリメンタを経由し
て送られ、最終的にMBUFPFnPCにストアされ、
プリフェッチは1.2から続けられる。 2.プロシージャ命令ストリームの処理:EBUF P
FnPC 2.1 プロシージャ命令はメインまたはブランチ・タ
ーゲット命令ストリームの中でプリフェッチされる。タ
ーゲット・ストリームの中でフェッチされた場合は、条
件付き制御フロー命令が解決され、プロシージャ命令が
MBUFへ転送されるまでプロシージャ・ストリームの
プリフェッチを停止する。これにより、プロシージャ命
令ストリームに現れた条件付き制御フローを処理する際
にTBUFを使用できる。
1.4 The address of the next main flow prefetch instruction obtained from the unconditional control flow instruction is bypassed and sent via the target address register unit, the PF_PC selector and the incrementer, and the final Is stored in MBUFPFnPC,
Prefetching continues from 1.2. 2. Processing of procedure instruction stream: EBUF P
FnPC 2.1 procedural instructions are prefetched in the main or branch target instruction stream. If fetched in the target stream, stop prefetching the procedure stream until the conditional control flow instruction is resolved and the procedure instruction is transferred to the MBUF. This allows TBUF to be used in processing conditional control flows that appear in the procedure instruction stream.

【0087】2.1.1 プロシージャ命令はプロシー
ジャ命令ストリームの中に置いてはならない。つまり、
プロシージャ命令はネストしてはならない。プロシージ
ャ命令からリターンすると、実行はメイン命令ストリー
ムに戻る。ネストを可能にするためには、ネストしたプ
ロシージャ命令から別の専用リターンが必要である。ア
ーキテクチャはこの種の命令を容易にサポートできる
が、プロシージャ命令をネストする機能があっても、ア
ーキテクチャの性能が向上する見込みはない。
2.1.1 Procedure instructions must not be placed in the procedure instruction stream. That is,
Procedure instructions must not be nested. Upon return from the procedural instruction, execution returns to the main instruction stream. To enable nesting, another dedicated return from the nested procedure instruction is needed. Although the architecture can easily support this type of instruction, the ability to nest procedural instructions is unlikely to improve the performance of the architecture.

【0088】2.1.2 メイン命令ストリームにおい
ては、第1および第2条件付き制御フロー命令を含む命
令セットを含んでいるプロシージャ命令ストリームは、
第1命令セットの中の条件付き制御フロー命令が解決
し、第2条件付き制御フロー命令セットがMBUFへ転
送されるまで第2条件付き制御フロー命令セットに対し
てプリフェッチを停止する。
2.1.2 In the main instruction stream, a procedural instruction stream containing an instruction set containing first and second conditional control flow instructions is:
Stop prefetching for the second conditional control flow instruction set until the conditional control flow instruction in the first instruction set resolves and the second conditional control flow instruction set is transferred to the MBUF.

【0089】2.2 プロシージャ命令は、命令の即値
モード・オペランド・フィールドとして含まれている相
対オフセットによって、プロシージャ・ルーチンの開始
アドレスを示している。
2.2 Procedure Instructions indicate the start address of a procedure routine by a relative offset included as an immediate mode operand field of the instruction.

【0090】2.2.1 プロシージャ命令から得られ
たオフセット値はIFUに維持されているプロシージャ
・ベース・アドレス(PBR)レジスタに入っている値
と結合される。このPBRレジスタは、特殊レジスタの
移動命令が実行されると、特殊アドレスおよびデータ・
バスを通して読み書き可能である。
2.2.1 The offset value obtained from the procedure instruction is combined with the value contained in the procedure base address (PBR) register maintained in the IFU. When the move instruction of the special register is executed, the special address and data
It is readable and writable through the bus.

【0091】2.3 プロシージャ命令が現れると、次
のメイン命令ストリームIF PCアドレスはDPCリ
ターン・アドレス・レジスタにストアされ、プロセッサ
・ステータス・レジスタ(PSR)内のプロシージャ進
行中ビット(procedure−in−progre
ss bit)がセットされる。
2.3 When a procedure instruction appears, the next main instruction stream IF The PC address is stored in the DPC return address register and the procedure-in-progress bit in the processor status register (PSR).
ss bit) is set.

【0092】2.4 プロシージャ・ストリームの開始
アドレスはPBRレジスタ(プロシージャ命令オペラン
ド・オフセット値を加えて)からPF_PCセレタタヘ
送られる。
2.4 The starting address of the procedure stream is sent from the PBR register (plus the procedure instruction operand offset value) to the PF_PC selector.

【0093】2.5 プロシージャ・ストリームの開始
アドレスは新ストリーム・レジスタ・ユニットとインク
リメントへ同時に送られ、(x16)だけインクリメン
トする。インクリメントされたアドレスはそのあとEB
UF PFnPCにストアされる。
2.5 The start address of the procedure stream is sent to the new stream register unit and increment at the same time, and is incremented by (x16). The incremented address is then EB
Stored in UF PFnPC.

【0094】2.6 制御フロー命令がないと、32ビ
ット・インクリメンタは各プロシージャ命令プリフェッ
チ・サイクルごとにEBUF PFnPCに入っている
アドレス値を(x16)だけ調整する。
2.6 In the absence of a control flow instruction, the 32-bit incrementer adjusts the address value contained in EBUF PFnPC by (x16) every procedure instruction prefetch cycle.

【0095】2.7 無条件制御フロー命令がIデコー
ドされると、ブランチ命令のあとにフェツチされたすべ
てのプリフェッチ・データはフラッシュされ、EBUF
PFnPCには新しいプロシージャ命令ストリーム・
アドレスがロードされる。
2.7 When the unconditional control flow instruction is I-decoded, all prefetched data fetched after the branch instruction is flushed and the EBUF
PFnPC has a new procedure instruction stream
The address is loaded.

【0096】2.7.1 相対無条件制御フロー命令の
ターゲット・アドレスはIFUに保持されているレジス
タ・データからと制御フロー命令の即値モード・オペラ
ンド・フィールド内に入っているオペランド・データか
らIFUによって計算される。
2.7.1 The target address of the relative unconditional control flow instruction is obtained from the register data held in the IFU and from the operand data contained in the immediate mode operand field of the control flow instruction. Is calculated by

【0097】2.7.2 絶対無条件ブランチのターゲ
ット・アドレスはレジスタ基準値、ベース・レジスタ値
およびインデックス・レジスタ値からIEUによって計
算される。
2.7.2 The target address of the absolute unconditional branch is calculated by the IEU from the register reference, base and index register values.

【0098】2.7.2.1 命令プリフェッチ・サイ
クルは絶対アドレス・ブランチに対してターゲット・ア
ドレスがIEUから返されるまで停止する。実行サイク
ルは続行される。
2.7.2.1 The instruction prefetch cycle stops until the target address for the absolute address branch is returned from the IEU. The execution cycle continues.

【0099】2.8 次のプロシージャ・プリフェッチ
命令セットのアドレスはEBUFPFnPCにストアさ
れ、プリフェッチは1.2から続けられる。
2.8 The address of the next procedure prefetch instruction set is stored in EBUFPFnPC and prefetching continues from 1.2.

【0100】2.9 プロシージャ命令からのリターン
がIデコードされると、プリフェッチがuPCレジスタ
にストアされているアドレスから続けられ、そのあと
(x16)だけインクリメントされ、あとでプリフェッ
チするためにMBUF PFnPCレジスタに返され
る。 3.ブランチ命令ストリームの処理:TBUF PFn
PC 3.1 MBUF命令ストリームの中の最初の命令セッ
トに現れた条件付き制御フロー命令がIデコードされる
と、ターゲット・アドレスはそのターゲット・アドレス
が現アドレスに対する相対アドレスならばIFUによっ
て、絶対アドレスならばIFUによって判断される。
2.9 When the return from the procedural instruction is I-decoded, prefetching is continued from the address stored in the uPC register, then incremented by (x16), and the MBUF PFnPC register for later prefetching. Is returned to. 3. Processing of branch instruction stream: TBUF PFn
When the conditional control flow instruction that appears in the first instruction set in the PC 3.1 MBUF instruction stream is I-decoded, the target address is determined by the IFU if the target address is relative to the current address, and the absolute address. Then it is determined by the IFU.

【0101】3.2 「ブランチを行うバイアス」の場
合: 3.2.1 ブランチが絶対アドレスに行われる場合
は、ターゲット・アドレスがIEUから返されるまで命
令プリフェッチ・サイクルを停止する。実行サイクルは
続行される。
3.2 For "Bias to Branch": 3.2.1 If a branch is taken to an absolute address, stop the instruction prefetch cycle until the target address is returned from the IEU. The execution cycle continues.

【0102】3.2.2 PF PCセレクタとインク
リメンタを経由して転送することによってブランチ・タ
ーゲット・アドレスをTBUF PFnPCにロードす
る。
3.2.2 PF The branch target address is loaded into the TBUF PFnPC by transferring via the PC selector and the incrementer.

【0103】3.2.3 ターゲット命令ストリームが
プリフェッチされてTBUFに入れられたあとあとで実
行するためにIFIFOに送られる。IFIFOとTB
UFが一杯になると、プリフェッチを停止する。
3.2.3 After the target instruction stream is prefetched and placed in the TBUF, it is sent to the IFIFO for execution. IFIFO and TB
When the UF becomes full, the prefetch stops.

【0104】3.2.4 32ビット・インクリメンタ
各プリフェッチ・サイクルごとにTBUF PFnPC
に入っているアドレス値を(x16)だけ調整する。
3.2.4 32-bit incrementer TBUF PFnPC for each prefetch cycle
The address value contained therein is adjusted by (x16).

【0105】3.2.5 ターゲット命令ストリーム内
の2番目の命令セットに現れた条件付き制御フロー命令
がIデコードされるとプリフェッチ・オペレーション
を、第1の(主)セット内のすべての条件付きブランチ
命令が解決されるまで停止する(しかし、先に進んで、
相対ターゲット・アドレスを計算しターゲット・レジス
タにストアする)。
3.2.5 Conditional control flow instructions appearing in the second instruction set in the target instruction stream are I-decoded to prefetch operations, and all conditional access instructions in the first (main) set Stop until the branch instruction is resolved (but go ahead and
Compute the relative target address and store it in the target register).

【0106】3.2.6 最初の命令セット内の条件付
きブランチを「行う」と解釈された場合: 3.2.6.1 ブランチのソースがプロシージャ進行
中ビットから判断されたEBUF命令セットであったと
きはMBUFまたはEBUFに入っている最初の条件付
きフロー命令セットのあとに置かれた命令セットをフラ
ッシュする。
3.2.6 If the conditional branch in the first instruction set is interpreted as "taken": 3.2.6.1 the source of the branch is the EBUF instruction set determined from the procedure in progress bit If so, flush the instruction set placed after the first conditional flow instruction set in the MBUF or EBUF.

【0107】3.2.6.2 プロシージャ進行中ビッ
トの状態に基づいて、TBUFPFnPC値をMBUF
PFnPCまたはEBUFへ転送する。
3.2.6.2 Based on the state of the Procedure In Progress bit, the TBUFPFnPC value is
Transfer to PFnPC or EBUF.

【0108】3.2.6.3 プロシージャ進行中ビッ
トの状態に基づいて、プリフェッチしたTBUF命令を
MBUFまたはEBUFへ転送する。
3.2.6.3 Transfer the prefetched TBUF instruction to MBUF or EBUF based on the state of the procedure in progress bit.

【0109】3.2.6.4 2番目の条件付きブラン
チ命令セットがIデコードされていなければ、プロシー
ジャ進行中ビットの状態に基づいて、MBUFまたはE
BUFプリフェッチ・オペレーションを続行する。
3.2.6.4 If the second conditional branch instruction set has not been I-decoded, MBUF or E based on the state of the procedure-in-progress bit
Continue the BUF prefetch operation.

【0110】3.2.6.5 2番目の条件付きブラン
チ命令がIデコードされていれば、その命令の処理を開
始する(ステップ3.3.1へ進む)。
3.2.6.5 If the second conditional branch instruction has been I-decoded, the processing of that instruction is started (go to step 3.3.1).

【0111】3.2.7 最初の条件付き命令セットの
中の命令に対する条件付き制御を「行わない」と解釈さ
れた場合: 3.2.7.1 ターゲット命令ストリームからの命令
セットと命令のIFIFOとIEUをフラッシュする。
3.2.7 If conditional control for instructions in the first conditional instruction set is interpreted as "do not perform": 3.2.7.1 Instruction set and instruction from target instruction stream Flush the IFIFO and IEU.

【0112】3.2.7.2 MBUFまたはEBUF
プリフェッチ・オペレーションを続行する。
3.2.7.2 MBUF or EBUF
Continue the prefetch operation.

【0113】3.3 「ブランチが行われないバイア
ス」の場合: 3.3.1 命令をプリフェッチしてMBUFに入れる
ことを停止する。実行サイクルを続ける。
3.3 For "Bias without Branching": 3.3.1 Stop prefetching instructions into MBUF. Continue the run cycle.

【0114】3.3.1.1 最初の条件付き命令セッ
トの中の条件付き制御フロー命令が相対ならば、ターゲ
ット・アドレスを計算し、ターゲット・レジスタにスト
アする。
3.3.1.1 If the conditional control flow instruction in the first set of conditional instructions is relative, calculate the target address and store it in the target register.

【0115】3.3.1.2 最初の条件付き命令セッ
トの中の条件付き制御フロー命令が絶対ならば、IEU
がターゲット・アドレスを計算して、そのアドレスをタ
ーゲット・レジスタに返すまで待つ。
3.3.1.2 If the conditional control flow instruction in the first set of conditional instructions is absolute, the IEU
Calculates the target address and waits for the address to be returned to the target register.

【0116】3.3.1.3 2番目の命令セットの中
の条件付き制御フロー命令のIデコードが行われると、
最初の条件付き命令セットの中の条件付き制御フロー命
令が解決されるまでプリフェッチ・オペレーションを停
止する。
3.3.1.3 When I-decoding of a conditional control flow instruction in the second instruction set is performed,
Stop the prefetch operation until the conditional control flow instruction in the first set of conditional instructions is resolved.

【0117】3.3.2 最初の条件付きブランチのタ
ーゲット・アドレスが計算されると、TBUF PFn
PCにロードし、メイン命令ストリームの実行と並行し
て命令をプリフェッチしてTBUFに入れることを開始
する。ターゲット命令セットはロードされない(従っ
て、ブランチ・ターゲット命令は最初の命令セットの中
の各条件付き制御フロー命令が解決されたとき用意され
ている)。
3.3.2 Once the target address of the first conditional branch is calculated, TBUF PFn
Load into the PC and begin prefetching instructions into the TBUF in parallel with execution of the main instruction stream. The target instruction set is not loaded (therefore, a branch target instruction is provided when each conditional control flow instruction in the first instruction set is resolved).

【0118】3.3.3 最初のセットの中の条件付き
制御フロー命令が「行われる」と解釈された場合: 3.3.3.1 ブランチのソースがEBUF命令スト
リームであるとプロシージャ進行中ビットの状態から判
断されると、MBUFまたはEBUFをフラッシュし、
最初の条件付きブランチ命令セットのあとに置かれたメ
イン命令ストリームからの命令のIFIFOとIEUを
フラッシュする。
3.3.3 If the conditional control flow instruction in the first set is interpreted as "taken": 3.3.3.1 Procedure in progress if source of branch is EBUF instruction stream Flush the MBUF or EBUF as determined by the state of the bit,
Flush the IFIFO and IEU of instructions from the main instruction stream placed after the first conditional branch instruction set.

【0119】3.3.3.2 プロシージャ進行中ビッ
トの状態から判断した通りに、TBUF PFnPC値
をMBUFまたはEBUFへ転送する。
3.3.3.2 Transfer the TBUF PFnPC value to the MBUF or EBUF as determined by the state of the Procedure In Progress bit.

【0120】3.3.3.3 プロシージャ進行中ビッ
トの状態から判断した通りに、プリフェッチしたTBU
F命令をMBUFまたはEBUFへ転送する。
3.3.3.3 Prefetched TBU as determined from the state of the procedure in progress bit
Transfer the F instruction to MBUF or EBUF.

【0121】3.3.3.4 プロシージャ進行中ビッ
トの状態から判断した通りに、MBUFまたはEBUF
プリフェッチ・オペレーションを続行する。
3.3.3.4 MBUF or EBUF as determined from the state of the procedure in progress bit
Continue the prefetch operation.

【0122】3.3.4 最初のセット内の条件付き制
御フロー命令が「行われない」と解析された場合: 3.3.4.1 ターゲット命令ストリームからの命令
セットのTBUFをフラッシュする。
3.3.4 If Conditional Control Flow Instructions in First Set Are Analyzed as "Not Performed": 3.3.4.1 Flush Instruction Set TBUF from Target Instruction Stream.

【0123】3.3.4.2 2番目の条件付きブラン
チ命令がIデコードされなかった場合は、プロシージャ
進行中ビットの状態から判断した通りに、MBUFまた
はEBUFプリフェッチ・オペレーションを続ける。
3.3.4.2 If the second conditional branch instruction was not I-decoded, continue the MBUF or EBUF prefetch operation as determined by the state of the procedure in progress bit.

【0124】3.3.4.3 2番目の条件付きブラン
チ命令がIデコードされた場合は、その命令の処理を開
始する(ステップ3.4.1へ進む)。 4.割込み、例外およびトラップ命令 4.1 トラップは広義には次のものからなる。
3.3.4.3 If the second conditional branch instruction has been I-decoded, processing of that instruction is started (go to step 3.4.1). 4. Interrupt, Exception and Trap Instructions 4.1 Traps are broadly defined as follows.

【0125】4.1.1 ハードウェア割込み 4.1.1.1 非同期(外部)発生事象、内部または
外部。
4.1.1 Hardware Interrupt 4.1.1.1 Asynchronous (External) Occurring Event, Internal or External.

【0126】4.1.1.2 いつでも発生し、持続す
る。
4.1.1.2 Generates and persists at any time.

【0127】4.1.1.3 アトミック(通常)命令
間で優先順にサービスを受け、プロシージャ命令を一時
中止する。
4.1.1.3 Receive service among atomic (normal) instructions in priority order and suspend procedure instructions.

【0128】4.1.1.4 割込みハンドラの開始ア
ドレスはトラップ・ハンドラ入口点の事前定義テーブル
までのベクトル番号オフセットとして判断される。
4.1.1.4 The start address of the interrupt handler is determined as a vector number offset to a predefined table at the entry point of the trap handler.

【0129】4.1.2 ソフトウェア・トラップ命令 4.1.2.1 非同期(外部)発生命令。4.1.2 Software Trap Instruction 4.1.2.1 Asynchronous (External) Generated Instruction

【0130】4.1.2.2 例外として実行されるソ
フトウェア命令。
4.1.2.2 Software instructions executed as exceptions.

【0131】4.1.2.3 トラップ・ハンドラの開
始アドレスは、TBRまたはFTBレジスタにストアさ
れたベース・アドレス値と結合されたトラップ番号オフ
セットから判断される。
4.1.2.3 The starting address of the trap handler is determined from the trap number offset combined with the base address value stored in the TBR or FTB register.

【0132】4.1.3 例外 4.1.3.1 命令と同期して発生する事象。4.1.3 Exceptions 4.1.3.1 Events that occur in synchronization with an instruction.

【0133】4.1.3.2 命令の実行時に処理され
る。
4.1.3.2 Processed when an instruction is executed.

【0134】4.1.3.3 例外の結果により期待さ
れた命令とすべての後続実行命令はキャンセルされる。
4.1.3.3 The instruction expected by the result of the exception and all subsequently executed instructions are cancelled.

【0135】4.1.3.4 例外ハンドラの開始アド
レスは、トラップ・ハンドラ入口点の事前定義テーブル
までのトラップ番号オフセットから判断される。
4.1.3.4 The starting address of the exception handler is determined from the trap number offset to the predefined table at the trap handler entry point.

【0136】4.2 トラップ命令ストリーム・オペレ
ーションはそのとき実行中の命令ストリームとインライ
ンで実行される。
4.2 Trap Instruction Stream Operations are performed inline with the currently executing instruction stream.

【0137】4.3 トラップ処理ルーチンが次の割込
み可能トラップの前にxPCアドレスをセーブすること
を条件に、トラップはネストが可能である。そうしない
と、現トラップ・オペレーションの完了前にトラップが
現れると、マシンの状態が壊れることになる。 5.トラップ命令ストリームの処理:xPC 5.1 トラップが現れたとき: 5.1.1 非同期割込みが起こると、そのとき実行中
の命令の実行は一時中止される。
4.3 Traps can be nested, provided that the trap handling routine saves the xPC address before the next interruptible trap. Otherwise, if a trap appears before the completion of the current trap operation, the state of the machine will be corrupted. 5. Processing the Trap Instruction Stream: xPC 5.1 When a Trap Appears: 5.1.1 When an asynchronous interrupt occurs, execution of the instruction currently executing is suspended.

【0138】5.1.2 同期例外が起こると、例外
を起こした命令が実行されるとトラップが処理される。
5.1.2 When a synchronous exception occurs, the trap is processed when the instruction that caused the exception is executed.

【0139】5.2 トラップが処理されたとき: 5.2.1 割込みは禁止される。5.2 When a trap is processed: 5.2.1 Interrupts are disabled.

【0140】5.2.2 現在のIF PCアドレスは
xPCトラップ状態リターン・アドレス・レジスタにス
トアされる。
5.2.2 Current IF The PC address is stored in the xPC trap status return address register.

【0141】5.2.3 IF_PCアドレスとそのあ
とのアドレスにあるIFIFOとMBUFプリフェッチ
・バッファはフラッシュされる。
5.2.3 The IF_PC address and the IFIFO and MBUF prefetch buffer at the address following it are flushed.

【0142】5.2.4 アドレスIF_PCとそのあ
とのアドレスの実行された命令とその命令の結果はIE
Uからフラッシュされる。
5.2.4 The executed instruction at address IF_PC and the subsequent address and the result of the instruction are IE
Flushed from U

【0143】5.2.5 MBUF PFnPCに、ト
ラップ・ハンドラ・ルーチンのアドレスがロードされ
る。
5.2.5 MBUF PFnPC is loaded with the address of the trap handler routine.

【0144】5.2.5.1 トラップのソースは、特
殊レジスタ群に入っているトラップ番号によって判断さ
れたトラップ・タイプに応じて、TBRまたはFTBレ
ジスタをアドレス指定している。
5.2.5.1 The source of the trap is addressing the TBR or FTB register, depending on the trap type as determined by the trap number contained in the special register group.

【0145】5.2.6 命令がプリフェッチされ、通
常通りに実行するためにIFIFOに入れられる。
5.2.6 Instructions are prefetched and put into IFIFO for normal execution.

【0146】5.2.7 トラップ・ルーチンの命令が
そのあと実行される。
5.2.7 The instructions of the trap routine are then executed.

【0147】5.2.7.1 トラップ処理ルーチンは
xPCアドレスを所定のロケーションにセーブする機能
を備え、割込みを再び可能にする。xPCレジスタは特
殊レジスタ移動命令で、および特殊レジスタ・アドレス
とデータ・バスを通して読み書きされる。
5.2.7.1 The trap processing routine has the function of saving the xPC address to a predetermined location, and enables the interrupt again. The xPC registers are read and written by special register move instructions and through the special register address and data bus.

【0148】5.2.8 トラップ命令からのリターン
を実行することによってトラップ状態から抜け出る必要
がある。
5.2.8 It is necessary to exit the trap state by executing a return from the trap instruction.

【0149】5.2.8.1 以前にセーブしていたと
きは、xPCアドレスをその事前定義ロケーションから
復元してから、トラップ命令からのリターンを実行する
必要がある。
5.2.8.1 If previously saved, the xPC address must be restored from its pre-defined location before returning from the trap instruction.

【0150】5.3 トラップ命令からのリターンが実
行されたとき: 5.3.1 割込みが可能にされる。
5.3 When a return from a trap instruction is executed: 5.3.1 Interrupts are enabled.

【0151】5.3.2 プロシージャ進行中ビット
の状態から判断したとおりに、xPCアドレスが現在の
命令ストリーム・レジスタMBUFまたはEBUF P
FnPCに戻され、プリフェッチがそのアドレスから続
行される。
5.3.2 As determined from the state of the procedure-in-progress bit, the xPC address is the current instruction stream register MBUF or EBUF P
Returned to FnPC, prefetching continues from that address.

【0152】5.3.3 xPCアドレスが新ストリー
ム・レジスタを通してIF_PCレジスタに復元され
る。
5.3.3 The xPC address is restored to the IF_PC register through the new stream register.

【0153】E)割り込みおよび例外の処理 1)概要 割込みと例外は、それらが可能にされている限り、プロ
セッサがメイン命令ストリームから実行されているか、
プロシージャ命令ストリームから実行されているかに関
係なく処理される。割込みと例外は優先順にサービスが
受けられ、クリアされるまで持続している。トラップ・
ハンドラの開始アドレスは、下述するように、トラップ
・ハンドラの事前定義テーブルまでのベクトル番号オフ
セットとして判断される。
E) Handling of Interrupts and Exceptions 1) Overview Interrupts and exceptions, as long as they are enabled, indicate whether the processor is executing from the main instruction stream,
Processed regardless of whether it is being executed from the procedural instruction stream. Interrupts and exceptions are serviced in priority order and persist until cleared. trap·
The start address of the handler is determined as a vector number offset to the trap handler's predefined table, as described below.

【0154】割込みと例外は、本実施例では、基本的に
2つのタイプがある。すなわち、命令ストリームの中の
特定の命令と同期して引き起こされるものと、命令スト
リームの中の特定の命令と非同期に引き起こされるもの
である。割込み、例外、トラップおよびフォールト(f
ault)の用語は、本明細書では相互に使い分けて用
いられている。非同期割込みは、命令ストリームと同期
して動作していない、オン・チップまたはオフ・チップ
のハードウェアによって引き起こされる。例えば、オン
・チップ・タイマ/カウンタによって引き起こされる割
込みは、オフ・チップから引き起こされるハードウェア
割込みやマスク不能割込み(non−maskable
interrupt)(NMI)と同じように、非同
期である。非同期割込みが引き起こされると、プロセッ
サ・コンテキストが凍結され(frozen)、すべて
のトラップが割込み禁止され、ある種のプロセッサ状況
情報がストアされ、プロセッサは受け取った特定の割込
みに対応する割込みハンドラにベクトルを向ける。割込
みハンドラがその処理を完了すると、プログラム実行は
割込み発生時に実行中であったストリームの中の最後に
完了した命令のあとに置かれた命令から続けられる。
In this embodiment, there are basically two types of interrupts and exceptions. That is, those that are triggered synchronously with specific instructions in the instruction stream and those that are triggered asynchronously with specific instructions in the instruction stream. Interrupts, exceptions, traps and faults (f
The term “ult” is used interchangeably herein. Asynchronous interrupts are caused by on-chip or off-chip hardware that is not operating synchronously with the instruction stream. For example, an interrupt caused by an on-chip timer / counter may be a hardware interrupt or a non-maskable interrupt caused by an off-chip.
Like asynchronous (NMI), it is asynchronous. When an asynchronous interrupt is triggered, the processor context is frozen, all traps are disabled, some processor status information is stored, and the processor sends a vector to the interrupt handler corresponding to the particular interrupt received. Turn. When the interrupt handler has completed its processing, program execution continues with the instruction following the last completed instruction in the stream being executed at the time of the interrupt.

【0155】同期例外とは、命令ストリームの中の命令
と同期して引き起こされる例外である。これらの例外は
特定の命令に関連して引き起こされ、問題の命令が実行
されるまで保留される。好適実施例では、同期例外はプ
リフェッチ時、命令デコード時、または命令実行時に引
き起こされる。プリフェッチ例外には、例えば、TLB
不一致、その他のVMU例外がある。デコード例外は、
例えば、デコード中の命令が違法命令であるか、プロセ
ッサの現特権レベル(privilege leve
l)に一致していないと、引き起こされる。実行例外
は、例えば、ゼロによる除算といった算術演算エラーが
原因で引き起こされる。これらの例外が起こると、好適
実施例では、例外を引き起こした特定命令と例外とを対
応づけ、その命令が退避(retire)されるときま
でその状態が維持される。その時点で、以前に完了した
命令がすべて退避され、例外を引き起こした命令からの
試行的結果があれば、試行的に実行された後続の命令の
試行的結果と同じように、フラッシュされる。そのあ
と、その命令で引き起こされた最高優先度例外に対応す
る例外ハンドラに制御が渡される。
A synchronous exception is an exception that is caused in synchronization with an instruction in an instruction stream. These exceptions are raised in connection with a particular instruction and are suspended until the instruction in question is executed. In the preferred embodiment, the synchronization exception is raised during prefetch, instruction decode, or instruction execution. For prefetch exceptions, for example, TLB
There are mismatches and other VMU exceptions. The decode exception is
For example, the instruction being decoded is an illegal instruction, or the current privilege level of the processor (privilege level).
If it does not match l), it is triggered. Execution exceptions are caused, for example, by arithmetic errors such as division by zero. When these exceptions occur, the preferred embodiment associates the particular instruction that caused the exception with the exception and maintains that state until the instruction is retired. At that point, all previously completed instructions are saved and any trial results from the instruction that caused the exception are flushed, as are the trial results of subsequent trial-executed instructions. Thereafter, control is passed to the exception handler corresponding to the highest priority exception caused by the instruction.

【0156】ソフトウェア・トラップ命令はCF_DE
T274(図2)によってIデコード・ステージで検出
され、無条件コール命令その他の同期トラップと同じよ
うに処理される。つまり、ターゲット・アドレスが計算
され、プリフェッチはそのときのプリフェッチ待ち行列
(EBUFまたはMBUF)まで続けられる。これと同
時に、その例外は命令と対応づけられて記録され、命令
が退避されるとき処理される。他のタイプの同期例外は
すべて、例外を引き起こした特定命令と対応づけられて
記録され、累積されるだけで、実行時に処理される。
The software trap instruction is CF_DE
It is detected in the I decode stage by T274 (FIG. 2) and is processed like an unconditional call instruction or other synchronous trap. That is, the target address is calculated and the prefetch continues to the current prefetch queue (EBUF or MBUF). At the same time, the exception is recorded in association with the instruction and processed when the instruction is saved. All other types of synchronous exceptions are only recorded and accumulated in association with the specific instruction that caused the exception, and are handled at runtime.

【0157】2)非同期割り込み 非同期割込みは、割込みライン292を通してPCロジ
ック・ユニット270に通知される。図3に示すよう
に、これらのラインはPCロジック・ユニット270内
の割込みロジック・ユニット363に通知するためのも
ので、NMIライン、IRQラインおよび1組の割込み
レベル・ライン(LVL)からなっている。NMIライ
ンはマスク不能割込みを通知し、外部ソースを起点とし
ている。これは、ハードウェアリセットを除き最高優先
度割込みである。IRQラインも外部ソースを起点とし
ており、外部デバイスがハードウェア割込みをいつ要求
したかを通知する。好適実施例では、外部から起こされ
るハードウェア割込みは最高32個までユーザが定義す
ることができ、割込みを要求した特定外部デバイスは割
込みレベル・ライン(LVL)上に割込み番号(0−3
1)を送出する。メモリ・エラー・ラインはMCU11
0によってアクティベート(活動化)され、様々な種類
のメモリ・エラーを通知する。その他の非同期割込みラ
イン(図示せず)も割込みロジック・ユニット363に
通知するために設けられている。これらには、タイマ/
カウンタ割込み、メモリ入出力(I/O)エラー割込
み、マシン・チェック割込み、およびパフォーマンス・
モニタ割込みを要求するためのラインがある。非同期割
込みの各々は、下述する同期例外と同様に、対応する事
前定義トラップ番号が関連づけられている。これらのト
ラップ番号は32個が32個のハードウェア割込みレベ
ルと関連づけられている。これらのトラップ番号のテー
ブルは割込みロジック・ユニット363に維持されてい
る。一般に、トラップ番号が大きくなると、トラップの
優先度が高くなる。
2) Asynchronous Interrupt Asynchronous interrupt is notified to the PC logic unit 270 through the interrupt line 292. As shown in FIG. 3, these lines are for notifying the interrupt logic unit 363 in the PC logic unit 270, and include an NMI line, an IRQ line, and a set of interrupt level lines (LVL). I have. The NMI line reports a non-maskable interrupt and originates from an external source. This is the highest priority interrupt except for a hardware reset. The IRQ line also originates from an external source and signals when an external device has requested a hardware interrupt. In the preferred embodiment, up to 32 externally generated hardware interrupts can be defined by the user, and the specific external device that requested the interrupt has the interrupt number (0-3) on the interrupt level line (LVL).
Send out 1). Memory error line is MCU11
Activated by 0 to signal various types of memory errors. Other asynchronous interrupt lines (not shown) are also provided to notify the interrupt logic unit 363. These include timers /
Counter interrupt, memory input / output (I / O) error interrupt, machine check interrupt, and performance
There is a line to request a monitor interrupt. Each of the asynchronous interrupts is associated with a corresponding predefined trap number, similar to the synchronous exceptions described below. Thirty-two of these trap numbers are associated with thirty-two hardware interrupt levels. A table of these trap numbers is maintained in the interrupt logic unit 363. Generally, the higher the trap number, the higher the priority of the trap.

【0158】非同期割込みの1つが割込みロジック・ユ
ニット363に通知されると、割込み制御ユニット36
3は割込み要求をINT REQ/ACKライン340
を経由してIEU104へ送出する。また、割込み制御
ユニット363はプリフェッチ一時中止信号をライン3
43を経由してPC制御ユニット262へ送信し、PC
制御ユニット262に命令をプリフェッチすることを中
止させる。IEU104はそのとき実行中の命令をすべ
てキャンセルし、すべての試行的結果を中止するか、一
部またはすべての命令を完了させる。好適実施例では、
そのとき実行中の命令をすべてキャンセルすることによ
って、非同期割込みに対する応答を高速化している。い
ずれの場合も、実行PC制御ユニット366内のDPC
は、IEU104が割込みの受信を確認する前に、最後
に完了し、退避された命令に対応するように更新され
る。プリフェッチされてMBUF、EBUF、TBUF
およびIFIFO264に置かれている他の命令もすべ
てキャンセルされる。
When one of the asynchronous interrupts is notified to the interrupt logic unit 363, the interrupt control unit 36
3 sends an interrupt request to the INT REQ / ACK line 340
To the IEU 104 via. Also, the interrupt control unit 363 sends a prefetch suspend signal to line 3
43 and transmitted to the PC control unit 262 via the PC.
Causes control unit 262 to stop prefetching instructions. The IEU 104 cancels all currently executing instructions, aborts all trial results, or completes some or all instructions. In a preferred embodiment,
The response to the asynchronous interrupt is speeded up by canceling all the instructions being executed at that time. In any case, the DPC in the execution PC control unit 366
Is updated to correspond to the last completed and saved instruction before the IEU 104 acknowledges receipt of the interrupt. Prefetched and MBUF, EBUF, TBUF
And all other instructions located in IFIFO 264 are also canceled.

【0159】IEU104は、割込みハンドラから割込
みを受け取る準備状態にあるときだけ、割込み受信確認
信号をINT REQ/ACKライン340を経由して
割込み制御ユニット363へ送り返す。この信号を受け
取ると、割込み制御ユニット363は、以下で説明する
ように、該当するトラップ・ハンドラにディスパッチす
る。
The IEU 104 sends an interrupt acknowledgment signal back to the interrupt control unit 363 via the INT REQ / ACK line 340 only when it is ready to receive an interrupt from the interrupt handler. Upon receiving this signal, the interrupt control unit 363 dispatches to the appropriate trap handler, as described below.

【0160】3)同期例外 同期例外の場合は、割込み制御ユニット363は各命令
セットごとに4個が1組の内部例外ビット(図示せず)
をもっており、各ビットはセット内の各命令に対応づけ
られている。割込み制御ユニット363は各命令で見つ
かったとき、通知するトラップ番号も維持している。
3) Synchronous Exception In the case of a synchronous exception, the interrupt control unit 363 sets four internal exception bits (not shown) for each instruction set.
And each bit is associated with each instruction in the set. The interrupt control unit 363 also maintains the trap number to be notified when found in each instruction.

【0161】特定の命令セットがプリフェッチされてい
る途中で、VMUがTLB不一致または別のVMU例外
を通知すると、この情報はPCロジック・ユニット27
0へ、特に割込み制御ユニット334へVMU制御ライ
ン332、334を経由して送られる。割込み制御ユニ
ット363は、この信号を受信すると、以後のプリフェ
ッチを一時中止するようにライン343を経由してPC
制御ユニット362に通知する。これと同時に、割込み
制御ユニット363は、命令セットが送られる先のプリ
フェッチ・バッファに関連するVM MissまたはV
M_Excpビットのどちらか該当する方をセットす
る。そのあと、割込み制御ユニット363は、命令セッ
トの中の命令のどれも有効でないので、その命令セット
に対応する4個の内部例外標識ビット全部をセットし、
問題を起こした命令セットの中の4命令の各々に対応し
て受信した特定例外のトラップ番号をストアする。問題
のある命令より前の命令のシフトと実行は、問題の命令
セットがIFIFO264内で最低レベルに達するまで
通常通りに続行される。
If the VMU reports a TLB mismatch or another VMU exception while a particular instruction set is being prefetched, this information is used by the PC logic unit 27.
0, especially to the interrupt control unit 334 via the VMU control lines 332, 334. Upon receiving this signal, the interrupt control unit 363 transmits the signal via the line 343 to the PC so as to suspend the subsequent prefetch.
The control unit 362 is notified. At the same time, the interrupt control unit 363 sends the VM associated with the prefetch buffer to which the instruction set is sent. Miss or V
Set the corresponding one of the M_Excp bits. Thereafter, the interrupt control unit 363 sets all four internal exception indicator bits corresponding to the instruction set, since none of the instructions in the instruction set are valid,
Store the trap number of the particular exception received for each of the four instructions in the offending instruction set. Shifting and execution of instructions prior to the offending instruction continue as usual until the offending instruction set reaches the lowest level in the IFIFO 264.

【0162】同様に、プリフェッチ・バッファ260、
Iデコード・ユニット262またはIFIFO264を
通して命令をシフトしている途中で他の同期例外が検出
されると、この情報も割込み制御ユニット363へ送ら
れ、ユニット363は、例外を引き起こした命令に対応
する内部例外標識ビットをセットし、その例外に対応す
るトラップ番号をストアする。プリフェッチ同期例外の
場合と同じように、問題を起こした命令より前の命令の
シフトと実行は、問題の命令セットがIFIFO264
内で最低レベルに達するまで、通常通りに続行される。
Similarly, the prefetch buffer 260,
If another synchronization exception is detected while shifting an instruction through the I decode unit 262 or IFIFO 264, this information is also sent to the interrupt control unit 363, and the unit 363 sends the information to the internal control corresponding to the instruction that caused the exception. Set the exception indicator bit and store the trap number corresponding to the exception. As with the prefetch synchronous exception, shifting and executing the instruction prior to the offending instruction will cause the instruction set in question to
Will continue as usual until the lowest level is reached.

【0163】好適実施例では、プリフェッチ・バッファ
260、Iデコード・ユニット262またはIFIFO
264を通して命令をシフトしている途中で検出される
例外は、ソフトウェア・トラップ命令の1タイプだけで
ある。ソフトウェア・トラップ命令は、CF_DETユ
ニット274によってIデコード・ステージで検出され
る。一部の実施例では、他の形態の同期例外がIデコー
ド・ステージで検出されるが、他の同期例外の検出は、
命令が実行ユニット104に到着するまで待つようにす
るのが好ましい。このようにすれば、特権命令を処理す
ると起こるようなある種の例外が、命令が実効的に順序
内で実行される前に変化するおそれのあるプロセッサ状
態に基づいて通知されるのが防止される。違法命令のよ
うに、プロセッサ状態に左右されない例外はIデコード
・ステージで検出可能であるが、すべての実行前同期例
外(VMU例外は別として)を同じロジックで検出する
ようにすれば、最低限のハードウェアですむことにな
る。また、そのような例外の処理は時間を重視すること
がめったにないので、命令が実行ユニット104に届く
までの待ちによる時間浪費もない。
In the preferred embodiment, the prefetch buffer 260, I decode unit 262 or IFIFO
The only exception detected while shifting instructions through H.264 is one type of software trap instruction. The software trap instruction is detected by the CF_DET unit 274 at the I decode stage. In some embodiments, other forms of synchronization exceptions are detected in the I decode stage, but detection of other synchronization exceptions
It is preferable to wait until the instruction arrives at the execution unit 104. This prevents certain exceptions, such as those that occur when processing privileged instructions, from being signaled based on processor states that may change before the instructions are effectively executed in order. You. Exceptions that are not dependent on processor state, such as illegal instructions, can be detected in the I decode stage, but if all pre-execution synchronization exceptions (apart from VMU exceptions) are detected in the same logic, at a minimum, Hardware. Also, the handling of such exceptions is rarely time-critical, so there is no time wasted waiting for instructions to reach execution unit 104.

【0164】上述したように、ソフトウェア・トラップ
命令はCF_DETユニット274によってIデコード
・ステージで検出される。割込みロジック・ユニット3
63内のその命令に対応する内部例外標識ビットはセッ
トされ、0から127までの番号で、ソフトウェア・ト
ラップ命令の即値モード・フィールドに指定できるソフ
トウェア・トラップ番号はトラップ命令に対応づけられ
てストアされる。しかし、プリフェッチ同期例外と異な
り、ソフトウェア・トラップは制御フロー命令だけでな
く、同期例外としても扱われるので、割込み制御ユニッ
ト363は、ソフトウェア・トラップ命令が検出された
ときプリフェッチを一時中止するようにPC制御ユニッ
ト362に通知しない。その代わりに、命令がIFIF
O264を通してシフトされるのと同時に、IFU10
2はトラップ・ハンドラをプリフェッチしてMBUF命
令ストリーム・バッファに入れる。
As described above, the software trap instruction is detected by the CF_DET unit 274 at the I decode stage. Interrupt logic unit 3
The internal exception indicator bit corresponding to the instruction in 63 is set, and the software trap number, which is a number from 0 to 127 and can be specified in the immediate mode field of the software trap instruction, is stored in association with the trap instruction. You. However, unlike the prefetch synchronous exception, the software trap is treated not only as a control flow instruction but also as a synchronous exception. The control unit 362 is not notified. Instead, the instruction is IFIF
At the same time as shifting through O264, IFU10
2 prefetches the trap handler into the MBUF instruction stream buffer.

【0165】命令セットがIFIFO264の最低レベ
ルまで達すると、割込みロジック・ユニット363はそ
の命令セットの例外標識ビットを4ビット・ベクトルと
してSYNCH INT INFOライン341経由で
IEU104へ送り、命令セットの中で同期例外の発生
源とすでに判断されていた命令があれば、どの命令であ
るかを通知する。IEU104は即時に応答しないで、
命令セットの中のすべての命令が通常の方法でスケジュ
ールされるようにする。整数算術演算例外といった別の
例外は、実行時に引き起こされる場合がある。特権命令
が実行されたために起こる例外のように、マシンの現在
状態に左右される例外もこの時点で検出され、マシンの
状態が命令ストリーム内の以前のすべての命令に対して
最新となるようにするために、PSRに影響を与える可
能性のあるすべての命令(特殊な移動やトラップ命令か
らのリターンなど)は強制的に順序内で実行される。な
んらかの同期命令の発生源となった命令が退避される直
前にあるときだけ、例外が起こったことが割込みロジッ
ク・ユニット363に通知される。
When the instruction set reaches the lowest level of the IFIFO 264, the interrupt logic unit 363 sets the exception indicator bits of the instruction set to SYNCH as a 4-bit vector. INT The command is sent to the IEU 104 via the INFO line 341 to notify the IEU 104 of the instruction set, if any, which has been determined to be the source of the synchronous exception. The IEU 104 does not respond immediately,
Ensure that all instructions in the instruction set are scheduled in the usual way. Other exceptions, such as the integer arithmetic exception, may be raised at run time. Exceptions that depend on the current state of the machine, such as exceptions caused by the execution of privileged instructions, are also detected at this point, ensuring that the state of the machine is current for all previous instructions in the instruction stream. To do so, all instructions that can affect the PSR (such as special moves and returns from trap instructions) are forced to execute in order. The interrupt logic unit 363 is notified that an exception has occurred only immediately before the instruction that caused the source of any synchronous instruction is saved.

【0166】IEU104は試行的に実行され、同期例
外を引き起こした最初の命令に先行する命令ストリーム
に現れたすべての命令を退避し、試行的に実行され、命
令ストリームにそのあとに現れた命令からの試行的結果
をフラッシュする。例外を引き起こした特定の命令は、
トラップから戻ると再実行されるのが普通であるので、
この命令もフラッシュされる。そのあと、実行PC制御
ユニット366内のIF_PCは実際に退避された最後
の命令に対応するように更新され、例外が割込み制御ユ
ニット363に通知される。
The IEU 104 is executed on a trial basis, saving all instructions that appear in the instruction stream preceding the first instruction that caused the synchronization exception, and executing from the instruction that was executed on a trial basis and appeared later in the instruction stream. Flush the trial results of The specific instruction that caused the exception is
Since it is normal to re-execute when returning from the trap,
This instruction is also flushed. After that, the IF_PC in the execution PC control unit 366 is updated to correspond to the last instruction actually saved, and the exception is notified to the interrupt control unit 363.

【0167】例外の発生源である命令が退避されると、
IEU104は、退避される命令セット(レジスタ22
4)の中に同期例外を起こした命令があれば、どの命令
であるかを示した新しい4ビット・ベクトルを、命令セ
ット内の最初の例外の発生源を示した情報と一緒に、S
YNCH_INT_INFOライン341を経由して割
込みロジック・ユニット363に返却する。IEU10
4から返却される4ビット例外ベクトルに入っている情
報は、割込みロジック・ユニット363からIEU10
4に渡された4ビット例外ベクトルとIEU104で引
き起こされた例外を累積したものである。プリフェッチ
またはIデコード時に検出された例外が原因で割込み制
御ユニット363にすでにストアされている情報があれ
ば、その情報と一緒にIEU104から割込み制御ユニ
ット363に返却される情報の残余部分は、割込み制御
ユニット363が最高優先度同期例外の内容とそのトラ
ップ番号を判断するのに十分である。
When the instruction which is the source of the exception is saved,
The IEU 104 stores the saved instruction set (register 22
If there is an instruction that caused a synchronous exception in 4), a new 4-bit vector indicating which instruction was used, together with information indicating the source of the first exception in the instruction set, is set to S.
Return to the interrupt logic unit 363 via the YNCH_INT_INFO line 341. IEU10
The information contained in the 4-bit exception vector returned from 4 is transmitted from the interrupt logic unit 363 to the IEU 10
4 is the accumulation of the 4-bit exception vector passed to 4 and the exceptions raised in the IEU 104. If there is information already stored in the interrupt control unit 363 due to an exception detected at the time of prefetching or I-decoding, the rest of the information returned from the IEU 104 to the interrupt control unit 363 together with the information is the interrupt control unit. Sufficient for unit 363 to determine the contents of the highest priority synchronization exception and its trap number.

【0168】4)ハンドラ・ディスパッチとリターン 割込み受信確認信号がライン340経由でIEU104
から受信されるか、あるいはゼロ以外の例外ベクトルが
ライン341経由で受信された後、現DPCがリターン
・アドレスとして、特殊レジスタ412(図4)の1つ
であるxPCレジスタに一時的にストアされる。現プロ
セッサ状態レジスタ(PSR)は先のPSR(PPS
R)レジスタにもストアされ、そして現状態比較レジス
タ(CSR)が特殊レジスタ412の中の旧状態比較レ
ジスタ(PCSR)にセーブされる。
4) Handler dispatch and return An interrupt acknowledgment signal is sent via line 340 to the IEU 104
Or a non-zero exception vector is received via line 341 and the current DPC is temporarily stored as the return address in the xPC register, one of the special registers 412 (FIG. 4). You. The current processor status register (PSR) stores the previous PSR (PPS).
R) register, and the current state comparison register (CSR) is saved in the old state comparison register (PCSR) in the special register 412.

【0169】トラップ・ハンドラのアドレスはトラップ
・ベース・レジスタ・アドレスにオフセットを加えたも
のとして計算される。PCロジック・ユニット270は
トラップ用に2つのベース・レジスタをもち、これらは
共に特殊レジスタ412(図4)の一部であり、以前に
実行された特殊移動命令によって初期化される。大部分
のトラップでは、ハンドラのアドレスを計算するために
使用されるベース・レジスタはトラップ・ベース・レジ
スタTBRである。
The address of the trap handler is calculated as the trap base register address plus an offset. PC logic unit 270 has two base registers for traps, both of which are part of special register 412 (FIG. 4) and are initialized by a previously executed special move instruction. For most traps, the base register used to calculate the address of the handler is the trap base register TBR.

【0170】割込み制御ユニット363は現在保留中の
最高優先度割込みまたは例外を判断し、索引(look
−up)テーブルを通して、それに関連づけられたトラ
ップ番号を判断する。これは、選択したベース・レジス
タまでのオフセットとして、1組のINT_OFFSE
Tライン373を経由してプリフェッチPC制御ユニッ
ト364へ渡される。ベクトル・アドレスは、オフセッ
ト・ビットを下位ビットとしてTBRレジスタから得た
上位ビットに連結するだけで求められるという利点があ
る。このため、加算器の遅延が防止される。(本明細書
では、2’ビットとはi’番目のビットのことであ
る。)例えば、トラップの番号が0から255までで、
これを8ビット値で表すと、ハンドラ・アドレスは8ビ
ット・トラップ番号を22ビットのTBRストア値の最
後に連結すると求められる。トラップ番号に2桁の下位
ビットを付加すると、トラップ・ハンドラ・アドレスは
常にワード境界上に置かれることになる。このようにし
て作られた連結ハンドラ・アドレスは入力373の1つ
としてプリフェッチ・セレタタPF PCSel390
(図4)へ送られ、次のアドレスとして選択されて、そ
こから命令がプリフェッチされる。TBRレジスタを使
用したトラップのベクトル・ハンドラ・アドレスはすべ
て1ワードだけ離れている。従って、トラップ・ハンド
ラ・アドレスにある命令は、長くなったトラップ処理ル
ーチンヘの予備的ブランチ命令でなければならない。し
かし、トラップには、システム・パフォーマンスの低下
を防止するために、その扱いに注意が必要なものがいく
つかある。例えば、TLBトラップは高速に実行させる
必要がある。そのような理由から、好適実施例では、予
備的ブランチの費用を払わないで、小型のトラップ・ハ
ンドラを呼び出せるようにした高速トラップ・メカニズ
ムが組み込まれている。さらに、高速トラップ・ハンド
ラはメモリにも、例えば、オン・チップROMにも独立
に配置させることができるので、RAMの位置(ロケー
ション)に関連するメモリ・システム問題がなくなる。
The interrupt control unit 363 determines the highest priority interrupt or exception that is currently pending and
-Up) Through the table, determine the trap number associated with it. This is a set of INT_OFFSE as an offset to the selected base register.
The data is passed to the prefetch PC control unit 364 via the T line 373. The vector address has the advantage that it can be obtained simply by concatenating the offset bit as the lower bit with the upper bit obtained from the TBR register. Therefore, a delay of the adder is prevented. (In this specification, the 2 'bit is the i'th bit.) For example, if the trap number is 0 to 255,
Expressing this as an 8-bit value, the handler address is determined by concatenating the 8-bit trap number to the end of the 22-bit TBR store value. Adding the two least significant bits to the trap number will cause the trap handler address to always be on a word boundary. The concatenated handler address thus created is used as one of the inputs 373 as the prefetch selector PF. PCSel390
(FIG. 4) and is selected as the next address from which the instruction is prefetched. All vector handler addresses for traps using the TBR register are one word apart. Therefore, the instruction at the trap handler address must be a preliminary branch instruction to the longer trap handling routine. However, there are some traps that need to be handled with care to prevent degradation of system performance. For example, the TLB trap needs to be executed at high speed. For that reason, the preferred embodiment incorporates a fast trap mechanism that allows a small trap handler to be invoked without the expense of a spare branch. In addition, the fast trap handler can be independently located in memory, for example, in an on-chip ROM, eliminating memory system problems associated with RAM locations.

【0171】好適実施例では、高速トラップとなるトラ
ップは上述したVMU例外だけである。高速トラップの
番号は他のトラップと区別され、0〜7の範囲になって
いる。しかし、優先度はMMU例外と同じである。割込
み制御ユニット363は、高速トラップがそのとき保留
中の最高優先度であると認めると、特殊レジスタ(FT
B)から高速トラップ・ベース・レジスタ(FTB)を
選択し、トラップ・オフセットと結合するためにライン
416上に送出する。ライン373’経由でプリフェッ
チ・セレタタPF PC Sel390へ送られた結果
のベクトル・アドレスは、FTBレジスタからの上位2
2ビットを連結したもので、そのあとに高速トラップ番
号を表した3ビットが続き、そのあとに7個のゼロ・ビ
ットが続いている。従って、各高速トラップ・アドレス
は128バイト、つまり、32ワードだけ離れている。
呼び出されると、プロセッサは開始ワードヘブランチ
し、ブロックまたはそこから出たブランチ内でプログラ
ムを実行させる。32個またはそれ以下の命令で実現で
きる標準的なTLB処理ルーチンのような、小さなプロ
グラムの実行は、実際の実行処理ルーチンヘの予備的ブ
ランチが回避されるので、通常のトラップよりも高速化
される。
In the preferred embodiment, the only traps that result in fast traps are the VMU exceptions described above. Fast trap numbers are distinguished from other traps and range from 0-7. However, the priority is the same as the MMU exception. The interrupt control unit 363, upon recognizing that the fast trap is the highest priority then pending, a special register (FT
Select the fast trap base register (FTB) from B) and send out on line 416 to combine with the trap offset. Prefetch Seleta PF via line 373 ' The resulting vector address sent to PC Sel 390 is the upper two bits from the FTB register.
A concatenation of two bits, followed by three bits representing the fast trap number, followed by seven zero bits. Thus, each fast trap address is 128 bytes, or 32 words apart.
When called, the processor branches to the start word and causes the program to execute in the block or branch out of it. Execution of a small program, such as a standard TLB processing routine that can be implemented with 32 or fewer instructions, is faster than a normal trap because a preliminary branch to the actual execution routine is avoided. .

【0172】好適実施例では、すべての命令は同じ4バ
イト長になっているが(つまり、4つのアドレス・ロケ
ーションを占有する)、注目すべきことは、命令が可変
長になったマイクロプロセッサでも高速トラップ・メカ
ニズムが利用できることである。この場合、高速トラッ
プ・ベクトル・アドレス間には、マイクロプロセッサで
使用できる最短長の命令を少なくとも2つ、好ましくは
32個の平均サイズ命令を受け入れるだけの十分なスペ
ースが設けられることは勿論である。勿論、マイクロプ
ロセッサがトラップからのリターン命令を備えている場
合には、ベクトル・アドレス間には、ハンドラ内の少な
くとも1つの他の令令をその命令に置けるだけの十分な
スペースを設けておく必要がある。
In the preferred embodiment, all instructions are the same four bytes long (ie, occupy four address locations), but it should be noted that even in microprocessors where instructions are of variable length, A fast trap mechanism is available. In this case, of course, there is sufficient space between the fast trap vector addresses to accept at least two, and preferably 32, average size instructions available in the microprocessor. . Of course, if the microprocessor has a return from trap instruction, there must be enough space between the vector addresses to place at least one other instruction in the handler at that instruction. There is.

【0173】また、トラップ・ハンドラヘディスパッチ
すると、プロセッサはカーネル・モードと割込み状態に
入る。これと並行して、状態比較レジスタ(CSR)の
コピーが以前のキャリー状態レジスタ(PCSR)に置
かれ、PSRのコピーが以前のPSR(PPSR)にス
トアされる。カーネルと割込み状態モードはプロセッサ
状況レジスタ(PSR)内のビットで表される。現PS
Rの割込み状態ビットがセットされると、シャドウ・レ
ジスタまたはトラップ・レジスタRT〔24〕〜RT
〔31〕が上述および図7(B)に示すように、見える
ようになる。割込みハンドラは新しいモードをPSRに
書き込むだけでカーネル・モードから出ることができる
が、割込み状態から出るためには、トラップからのリタ
ーン(RTT)命令を実行する方法だけが唯一の方法で
ある。
When dispatching to the trap handler, the processor enters a kernel mode and an interrupt state. In parallel, a copy of the status comparison register (CSR) is placed in the previous carry status register (PCSR) and a copy of the PSR is stored in the previous PSR (PPSR). Kernel and interrupt state modes are represented by bits in the processor status register (PSR). Current PS
When the interrupt status bit of R is set, the shadow or trap registers RT [24] -RT
[31] becomes visible as described above and as shown in FIG. 7 (B). The interrupt handler can exit kernel mode simply by writing the new mode to the PSR, but the only way to exit the interrupt state is to execute a return from trap (RTT) instruction.

【0174】IEU104がRTT命令を実行すると、
PCSRはCSRレジスタに復元され、PPSRレジス
タはPSRレジスタに復元されるので、PSR内の割込
み状態ビットは自動的にクリアされる。PF_PC S
ELセレクタ390は特殊レジスタ・セット412の中
の特殊レジスタxPCを次にそこからプリフェッチする
アドレスとして選択する。xPCはインクリメンタ39
4とバス396を通して、MBUF PFnPCまたは
EBUF PFnPCのどちらか該当する方に復元され
る。xPCをEBUF PFnPCに復元すべきか、ま
たはMBUFPFnPCに復元すべきかの判断は、復元
されたあとのPSRの「プロシージャ進行中」ビットに
従って行われる。
When the IEU 104 executes the RTT instruction,
The interrupt status bits in the PSR are automatically cleared because the PCSR is restored to the CSR register and the PPSR register is restored to the PSR register. PF_PC S
EL selector 390 selects special register xPC in special register set 412 as the next address to prefetch therefrom. xPC is the incrementer 39
4 and the bus 396, the data is restored to MBUF PFnPC or EBUF PFnPC, whichever is applicable. The decision whether to restore the xPC to EBUF PFnPC or MBUFPFnPC is made according to the “procedure in progress” bit of the restored PSR.

【0175】注目すべきことは、プロセッサはトラップ
とプロシージャ命令の両方のリターン・アドレスをスト
アするのに同じ特殊レジスタxPCを使用しないことで
ある。トラップのリターン・アドレスは上述したように
特殊レジスタxPCにストアされるが、プロシージャ命
令のあとリータンする先のアドレスは別の特殊レジスタ
uPCにストアされる。従って、割込み状態は、プロセ
ッサがプロシージャ命令で呼び出されたエミュレーショ
ン・ストリームを実行している間でも、使用可能のまま
になっている。他方、例外処理ルーチンは、エミュレー
ション・ストリームが完了したあと例外ハンドラヘ戻る
ためのアドレスをストアする特殊レジスタがないので、
いずれのプロシージャ命令をも含んでいてはならない。
It should be noted that the processor does not use the same special register xPC to store the return address for both trap and procedure instructions. The return address of the trap is stored in the special register xPC as described above, but the address to which the return is made after the procedure instruction is stored in another special register uPC. Thus, the interrupt state remains available while the processor is executing the emulation stream called with procedural instructions. On the other hand, the exception handling routine has no special register to store the address to return to the exception handler after the emulation stream has completed,
Must not contain any procedural instructions.

【0176】5)ネスト ある種のプロセッサ状況情報は、トラップ・ハンドラ、
特に、CSR、PSR、リタンPC、およびある意味で
は“A”レジスタ・セットra〔64〕〜ra〔31〕
ヘディスパッチするとき自動的にバックアップがとられ
るが、他のコンテキスト情報は保護されていない。例え
ば、浮動小数点状況レジスタ(FSR)の内容は自動的
にバックアップがとられない。トラップ・ハンドラがこ
れらのレジスタを変更するためには、独自のバック・ア
ップを実行しなければならない。
5) Nest Certain processor status information includes trap handlers,
In particular, CSR, PSR, return PC and, in a sense, "A" register sets ra [64] -ra [31]
It is automatically backed up when dispatching, but other context information is not protected. For example, the contents of the floating point status register (FSR) are not automatically backed up. In order for the trap handler to change these registers, it must perform its own backup.

【0177】トラップ・ハンドラヘディスパッチすると
き自動的に行われるバックアップが制限されているため
に、トラップのネストは自動的に行われない。トラップ
・ハンドラは必要とするレジスタのバックアップをと
り、割込み条件をクリアし、トラップ処理のために必要
な情報をシステム・レジスタから読み取り、その情報を
適当に処理する必要がある。割込みは、トラップ・ハン
ドラヘディスパッチすると自動的に禁止される。処理を
終えると、ハンドラはバックアップをとったレジスタを
復元し、再び割込みを可能にし、RTT命令を実行して
割込みから戻ることができる。
The nesting of traps is not automatic because of the limited backups that are automatically performed when dispatching to the trap handler. The trap handler must back up necessary registers, clear interrupt conditions, read information required for trap processing from system registers, and process the information appropriately. Interrupts are automatically disabled when dispatched to a trap handler. When finished, the handler can restore the backed up registers, enable interrupts again, and execute the RTT instruction to return from the interrupt.

【0178】ネストされたトラップを可能にするには、
トラップ・ハンドラを第1部分と第2部分に分割する必
要がある。第1部分では、割込みが禁止されている間
に、特殊レジスタ移動命令を使用してxPCをコピー
し、トラップ・ハンドラが維持しているスタック上にプ
ッシュしておく必要がある。次に、特殊レジスタ移動命
令を使用して、トラップ・ハンドラの第2部分の先頭の
アドレスをxPCに移し、トラップからのリターン命令
(RTT)を実行する必要がある。RTTは割込み状態
を取り除き(PPSRをPSRに復元することによっ
て)、制御をxPC内のアドレスを移す。xPCには、
ハンドラの第2部分のアドレスが入っている。第2部分
はこの時点で割込みを可能にして、割り込み可能モード
で例外の処理を続けることができる。注目すべきこと
は、シャドウ・レジスタRT〔24〕〜RT〔31〕は
このハンドラの第1部分でのみ見ることができ、第2部
分では見えないことである。従って、第2部分では、ハ
ンドラは、“A”レジスタ値がハンドラによって変更さ
れる可能性がある場合には、その値を予約しておく必要
がある。トラップ処理ルーチンは終わったときは、バッ
クアップにとったレジスタをすべて復元し、元のxPC
をトラップ・ハンドラ・スタップからポップし、それを
特殊レジスタ移動命令を使用してxPC特殊レジスタに
戻して、別のRTTを実行する必要がある。これによ
り、制御はメインまたはエミュレーション命令ストリー
ムの中の該当命令に返される。
To enable nested traps,
The trap handler needs to be split into a first part and a second part. The first part requires that the xPC be copied using a special register move instruction and pushed onto the stack maintained by the trap handler while interrupts are disabled. Next, the start address of the second part of the trap handler must be moved to the xPC using a special register move instruction and a return from trap (RTT) instruction must be executed. The RTT removes the interrupt state (by restoring the PPSR to the PSR) and transfers control to the address in the xPC. xPC has
Contains the address of the second part of the handler. The second part can enable interrupts at this point and continue processing the exception in interrupt enabled mode. It should be noted that the shadow registers RT [24] -RT [31] are only visible in the first part of this handler and not in the second part. Thus, in the second part, the handler needs to reserve the value of the "A" register, if the value can be changed by the handler. When the trap processing routine is completed, all registers backed up are restored and the original xPC
Must be popped out of the trap handler stap and returned to the xPC special register using a move special register instruction to perform another RTT. This returns control to the corresponding instruction in the main or emulation instruction stream.

【0179】6)トラップ一覧表 次の〔表1〕は、好適実施例で認識されるトラップのト
ラップ番号、優先度および処理モードを示すものであ
る。
6) Trap List The following Table 1 shows the trap numbers, priorities and processing modes of the traps recognized in the preferred embodiment.

【0180】 〔表1〕 非同期/ トラップ番号 処理モード 同期 トラップ名 0−127 通常 同期 トラップ命令 128 通常 同期 FP例外 129 通常 同期 整数算術演算例外 130 通常 同期 MMU(TLB 不一致または修正を 除く) 135 通常 同期 不整列メモリアドレス 136 通常 同期 違法命令 137 通常 同期 特権命令 138 通常 同期 デバッグ例外 144 通常 非同期 性能モニタ 145 通常 非同期 タイマ/カウンタ 146 通常 非同期 メモリI/Oエラー 160−191 通常 非同期 ハードウェア割込み 192−253 予約 254 通常 非同期 マシン・チェック 255 通常 非同期 NMI 0 高速トラップ 同期 高速MMU TLB不一致 1 高速トラップ 同期 高速MMU TLB 修正 2−3 高速トラッア 同期 高速(予約) 4−7 高速トラップ 同期 高速(予約) III. 命令実行ユニット 図5は、IEU104の制御経路部分とデータ経路部分
を示したものである。主要データ経路は、IFU102
からの命令/オペランド・データ・バスを始端としてい
る。データ・バスとして、即値オペランドはオペランド
位置合わせユニット470へ送られ、レジスタ・ファイ
ル(REG ARRAY)472に渡される。レジスタ
・データはレジスタ・ファイル472からレジスタ・フ
ァイル出力バス476を経由し、バイパス・ユニット4
74を通って、分配バス480を経由して機能計算エレ
メント(FU0-n )の並列配列へ送られる。機能ユニッ
ト4780-n によって生成されたデータは、出力バス4
82を経由してバイパス・ユニット474またはレジス
タ配列472または両方へ送り返される。
[Table 1] Asynchronous / Trap Number Processing Mode Synchronous Trap Name 0-127 Normal Synchronous Trap Instruction 128 Normal Synchronous FP Exception 129 Normal Synchronous Integer Arithmetic Exception 130 Normal Synchronous MMU (excluding TLB mismatch or correction) 135 Normal Synchronous Unaligned memory address 136 Normal synchronous Illegal instruction 137 Normal synchronous Privileged instruction 138 Normal synchronous Debug exception 144 Normal asynchronous Performance monitor 145 Normal asynchronous Timer / counter 146 Normal asynchronous memory I / O error 160-191 Normal asynchronous Hardware interrupt 192-253 Reserved 254 Normal asynchronous machine check 255 Normal asynchronous NMI 0 High-speed trap synchronization High-speed MMU TLB mismatch 1 High-speed trap synchronization High-speed MMU TLB correction 2-3 High-speed track synchronization High-speed Reserved) 4-7 fast trap synchronous high-speed (reserved) III. Instruction execution unit Figure 5 illustrates a control path portion and a data path portion of IEU104. The main data path is IFU102
From the instruction / operand data bus. As a data bus, the immediate operand is passed to the operand alignment unit 470 and passed to the register file (REG ARRAY) 472. Register data is passed from the register file 472 via the register file output bus 476 to the bypass unit 4.
74, via a distribution bus 480 to a parallel array of functional computing elements (FU 0-n ). The data generated by the functional units 478 0-n is
It is sent back via 82 to the bypass unit 474 or the register array 472 or both.

【0181】ロード/ストア・ユニット484によって
IEU104のデータ経路部分が完成される。ロード/
ストア・ユニット484はIEU104とCCU106
間のデータ転送の管理を担当する。具体的には、CCU
106のデータ用キャッシュ134から取り出したロー
ド・データはロード/ストア・ユニット484によって
ロード・データ・バス486を経由してレジスタ配列4
72へ転送される。CCU106のデータ用キャッシュ
にストアされるデータは機能ユニットの配分バス480
から受信される。
The load / store unit 484 completes the data path portion of the IEU 104. Road/
Store unit 484 consists of IEU 104 and CCU 106
Responsible for managing data transfer between Specifically, CCU
The load data retrieved from the data cache 134 of the register 106 is transferred to the register array 4 via the load data bus 486 by the load / store unit 484.
72. Data stored in the data cache of the CCU 106 is allocated to the functional unit distribution bus 480.
Received from.

【0182】IEU104の制御経路部分はIEUデー
タ経路を通る情報の送出、管理、およびその処理を行う
ことを担当する。本発明の好適実施例では、IEU制御
経路は複数の命令の並行実行を管理する機能を備え、I
EUデータ経路は、IEU104のほぼすべてのデータ
経路エレメント間の複数のデータ転送を独立して行う機
能を備えている。IEU制御経路は命令/オペランド・
バス124を経由して命令を受信すると、それに応じて
動作する。具体的には、命令セットはEデコード・ユニ
ット490によって受信される。本発明の好適実施例で
は、Eデコード・ユニット490はIFIFOマスタ・
レジスタ216、224に保持されている両方の命令セ
ットを受信して、デコードする。8命令すべてのデコー
ドの結果は、キャリー・チェッカ(CRY CHKR)
ユニット492、依存性チェッカ(DEP CHKR)
ユニット494、レジスタ改名ユニット(REG RE
NAME)496、命令発行(ISSUER)ユニット
498および退避制御ユニット(RETIRE CL
T)500へ送られる。
The control path portion of the IEU 104 is responsible for sending, managing, and processing information passing through the IEU data path. In a preferred embodiment of the invention, the IEU control path has the function of managing the concurrent execution of multiple instructions,
The EU data path has the function of independently performing multiple data transfers between almost all data path elements of the IEU 104. The IEU control path is an instruction / operand
When a command is received via the bus 124, it operates accordingly. Specifically, the instruction set is received by E-decode unit 490. In the preferred embodiment of the present invention, E decode unit 490 includes an IFIFO master unit.
It receives and decodes both instruction sets held in registers 216 and 224. The result of decoding all eight instructions is the carry checker (CRY CHKR).
Unit 492, Dependency Checker (DEP CHKR)
Unit 494, Register Renaming Unit (REG RE
NAME) 496, an instruction issue (ISSUER) unit 498, and an evacuation control unit (RETIRE CL)
T) 500.

【0183】キャリー・チェッカ・ユニット492はE
デコード・ユニット490から制御ライン502を経由
して、係属中の保留されている8命令に関するデコード
化情報を受信する。キャリー・チェッカ492の機能
は、保留されている命令のうち、プロセッサ状況ワード
のキャリー・ビットに影響を与える、あるいはキャリー
・ビットの状態に左右される命令を識別することであ
る。この制御情報は制御ライン504を経由して命令発
行ユニット498へ送られる。
Carry checker unit 492 is E
Decode information is received from decode unit 490 via control line 502 for the eight pending pending instructions. The function of the carry checker 492 is to identify the pending instructions that affect the carry bit of the processor status word or that are dependent on the state of the carry bit. This control information is sent to the instruction issuing unit 498 via the control line 504.

【0184】保留状態の8命令によって使用されている
レジスタ・ファイル472のレジスタを示しているデコ
ード化情報は、制御ライン506を経由して直接にレジ
スタ改名ユニット496へ送られる。この情報は、依存
関係チェッカ・ユニット494へも送られる。依存関係
チェッカ・ユニット494の機能は、保留状態の命令の
どれがレジスタをデータの宛先として参照しているか、
もしあれば、どの命令がこれらの宛先レジスタのいずれ
かに依存しているかを判断することである。レジスタに
依存する命令は、制御ライン508を経由してレジスタ
改名ユニット496へ送られる制御信号によって識別さ
れる。
Decoding information indicating the registers in the register file 472 used by the eight pending instructions is sent directly to the register rename unit 496 via the control line 506. This information is also sent to the dependency checker unit 494. The function of the dependency checker unit 494 is to determine which of the pending instructions refer to a register as a data destination,
The determination, if any, of which instruction depends on any of these destination registers. Register dependent instructions are identified by control signals sent to register rename unit 496 via control line 508.

【0185】最後に、Eデコード・ユニット490は保
留状態の8命令の各々の特定の内容と機能を識別した制
御情報を制御ライン510を経由して命令発行ユニット
498へ送る。命令発行ユニット498はデータ経路資
源、特に、保留状態の命令の実行のためにどの機能ユニ
ットが使用できるかを判断することを担当する。アーキ
テクチャ100の好適実施例によれば、命令発行ユニッ
ト498はデータ経路資源が使用可能であること、キャ
リーとレジスタ依存関係の制約を条件として、8個の保
留状態命令のいずれかを順序外で実行できるようにす
る。レジスタ改名ユニット496は、実行できるように
適当に制約が解除された命令のビット・マップを制御ラ
イン512を経由して命令発行ユニット498へ送る。
すでに実行された(完了した)命令およびレジスタまた
はキャリーに依存する命令は論理的にビット・マップか
ら除かれる。
Finally, the E decode unit 490 sends control information identifying the specific contents and function of each of the eight pending instructions to the instruction issuing unit 498 via the control line 510. The instruction issuing unit 498 is responsible for determining data path resources, in particular, which functional units are available for execution of pending instructions. According to a preferred embodiment of architecture 100, instruction issuance unit 498 executes any of the eight pending instructions out of order, subject to data path resources being available and constraints on carry and register dependencies. It can be so. The register renaming unit 496 sends the bit map of the appropriately unrestricted instruction to be executed to the instruction issuing unit 498 via the control line 512.
Instructions that have already been executed (completed) and instructions that depend on registers or carry are logically removed from the bit map.

【0186】必要とする機能ユニット4780-n が使用
可能であるかどうかに応じて、命令発行ユニット498
は各システム・クロック・サイクルに複数の命令の実行
を開始することができる。機能ユニット4780-n の状
況は状況バス514を経由して命令発行ユニット498
へ送られる。命令の実行を開始し、開始後の実行管理を
行うための制御信号は命令発行ユニット498から制御
ライン516を経由してレジスタ改名ユニット496へ
送られ、また選択的に機能ユニット4780-nへ送られ
る。制御信号を受けると、レジスタ改名ユニット496
はレジスタ選択信号をレジスタ・ファイル・アクセス制
御バス518上に送出する。バス518上に送出された
制御信号でどのレジスタが割込み可能にされたかは、実
行中の命令を選択することによって、およびレジスタ改
名ユニット496がその特定命令によって参照されたレ
ジスタを判断することによって判断される。
The instruction issuing unit 498 depends on whether the required functional units 478 0-n are available.
Can start executing multiple instructions in each system clock cycle. The status of the functional units 478 0-n is transmitted via the status bus 514 to the instruction issuing unit 498.
Sent to A control signal for starting the execution of the instruction and performing the execution management after the start is sent from the instruction issuing unit 498 to the register renaming unit 496 via the control line 516, and selectively to the functional units 478 0-n . Sent. Upon receiving the control signal, the register renaming unit 496
Sends a register select signal on the register file access control bus 518. Which register is enabled by the control signal sent on bus 518 is determined by selecting the instruction being executed and by register renaming unit 496 determining the register referenced by that particular instruction. Is done.

【0187】バイパス制御ユニット(BYPASS C
TL)520は、一般的には、制御ライン524上の制
御信号を通してバイパス・データ・ルーチング・ユニッ
トト474の動作を制御する。バイパス制御ユニット5
20は機能ユニット4780- n の各々の状況をモニタ
し、制御ライン522を経由してレジスタ改名ユニット
496から送られてきたレジスタ参照に関連して、デー
タをレジスタ・ファイル472から機能ユニット478
0-n へ送るべきかどうか、あるいは機能ユニット478
0-n から出力されるデータをバイパス・ユニツト474
経由で機能ユニット宛先バス480へ即時に送って、命
令発行ユニット498によって選択された新発行の命令
の実行のために使用できるかどうかを判断する。どちら
の場合も、命令発行ユニット498は機能ユニット47
0-n の各々への特定レジスタ・データを選択的に使用
可能にすることによって、宛先バス480から機能ユニ
ット4780-n ヘデータを送ることを直接に制御する。
The bypass control unit (BYPASS C)
TL) 520 is generally controlled on control line 524.
Control signal to bypass data routing unit.
The operation of the toto 474 is controlled. Bypass control unit 5
20 is a functional unit 4780- nMonitor each situation of
And register rename unit via control line 522.
Data related to the register reference sent from 496
Data from the register file 472 to the functional unit 478
0-nWhether to send to or functional unit 478
0-nThe data output from is bypass unit 474
Immediately to the functional unit destination bus 480 via
Newly issued instruction selected by instruction issuing unit 498
To determine if it can be used for execution. Which
In this case, the instruction issuing unit 498 is
80-nSelective use of specific register data for each
By enabling it, the destination
4780-nDirect control over sending data.

【0188】IEU制御経路の残りのユニットには、退
避制御ユニット500、制御フロー制御(CF CT
L)ユニット528、および完了制御(DONE CT
L)ユニット536がある。退避制御ユニット500は
順序外で実行された命令の実行を無効または確認するよ
うに動作する。ある命令が順序外で実行されると、先行
命令もすべて退避されたならば、その命令は、確認また
は退避されることができる。現セット中の保留状態の8
命令のどれが実行されたかの識別情報が制御ライン53
2上に送出されると、その識別情報に基づいて、退避制
御ユニット500はバス518に接続された制御ライン
534上に制御御信号を送出して、レジスタ配列472
にストアされた結果データを順序外で実行された命令の
先行実行の結果として実効的に確認する。
The remaining units on the IEU control path include an evacuation control unit 500 and a control flow control (CF CT
L) Unit 528 and completion control (DONE CT)
L) There is a unit 536. The evacuation control unit 500 operates to invalidate or confirm execution of instructions executed out of order. When an instruction is executed out of order, the instruction can be acknowledged or saved if all preceding instructions have also been saved. 8 of pending status in the current set
The identification information of which of the instructions was executed is stored in the control line 53.
2, the evacuation control unit 500 sends a control signal on a control line 534 connected to the bus 518 based on the identification information, and
Is effectively confirmed as a result of pre-execution of an instruction executed out of order.

【0189】退避制御ユニット500は、各命令を退避
するとき、PCインクリメント/サイズ制御信号を制御
ライン344を経由してIFU102へ送る。複数の命
令を順序外で実行でき、従って、同時に退避する準備状
態に置くことができるので、退避制御ユニット500は
同時に退避された命令数に基づいてサイズ値を判断す
る。最後に、IFIFOマスタ・レジスタ224のすべ
ての命令が実行され、退避された場合は、退避制御ユニ
ット500はIFIFO読取り制御信号を制御ライン3
42を経由してIFU102へ送って、IFIFOユニ
ット264のシフト・オペレーションを開始することに
より、Eデコード・ユニット490に追加の4命令を実
行保留命令として与える。
The save control unit 500 sends a PC increment / size control signal to the IFU 102 via the control line 344 when saving each instruction. Since multiple instructions can be executed out of order, and thus can be placed in a ready state to be saved simultaneously, the save control unit 500 determines the size value based on the number of instructions saved at the same time. Finally, when all the instructions of the IFIFO master register 224 have been executed and saved, the save control unit 500 sends the IFIFO read control signal to the control line 3.
By sending to IFU 102 via 42 and initiating the shift operation of IFIFO unit 264, E decode unit 490 is given four additional instructions as execution pending instructions.

【0190】制御フロー制御ユニット528は各条件付
きブランチ命令の論理的ブランチ結果を検出するとい
う、特定化された機能を備えている。制御フロー制御ユ
ニット528は現在保留中の条件付きブランチ命令の8
ビット・ベクトルIDをEデコード・ユニット490か
ら制御ライン510を経由して受信する。8ビット・ベ
クトル命令完了制御信号は、同じように完了制御ユニッ
ト540から制御ライン538を経由して受信される。
この完了制御信号によって、制御フロー制御ユニット5
28は、条件付きブランチ命令が、条件付き制御フロー
状況を判断するのに十分な個所まで完了すると、それを
判別することができる。保留中の条件付きブランチ命令
の制御フロー状況結果は、その実行時に制御フロー制御
ユニット528によってストアされる。条件付き制御フ
ロー命令の結果を判断するために必要なデータは、レジ
スタ配列472内の一時状況レジスタから制御ライン5
20を経由して得られる。各条件付き制御フロー命令が
実行されると、制御フロー制御ユニット528は新しい
制御フロー結果信号を制御ライン348を経由してIF
U102へ送る。好適実施例では、この制御フロー結果
信号は2個の8ビット・ベクトルを含んでおり、このベ
クトルは、保留されている可能性のある8個の制御フロ
ー命令のそれぞれのビット位置別の状況結果が分かって
いるかどうか、また、ビット位置の対応づけによって得
られる対応する状況結果状態を定義している。
The control flow control unit 528 has a specialized function of detecting a logical branch result of each conditional branch instruction. The control flow control unit 528 determines which of the currently pending conditional branch instructions
The bit vector ID is received from the E decode unit 490 via the control line 510. An 8-bit vector instruction completion control signal is similarly received from completion control unit 540 via control line 538.
By the completion control signal, the control flow control unit 5
28 can determine when a conditional branch instruction has completed to a point sufficient to determine a conditional control flow status. The control flow status result of the pending conditional branch instruction is stored by the control flow control unit 528 during its execution. The data required to determine the result of the conditional control flow instruction is obtained from the temporary status register in register array 472 from control line 5.
Obtained via 20. As each conditional control flow instruction is executed, control flow control unit 528 outputs a new control flow result signal via control line 348 to the IF.
Send to U102. In the preferred embodiment, the control flow result signal includes two 8-bit vectors, which are the status results for each of the eight potentially pending control flow instructions by bit position. Are defined, and the corresponding status result states obtained by the bit position mapping.

【0191】最後に、完了制御ユニット540は機能ユ
ニット4780-n の各々のオペレーションに関する実行
状況をモニタするためのものである。機能ユニット47
0- n のいずれかが命令実行オペレーションの完了を通
知すると、完了制御ユニット540は対応する完了制御
信号を制御ライン542上に送出して、レジスタ改名ユ
ニット496、命令発行ユニット498、退避制御ユニ
ット500およびバイパス制御ユニット520にアラー
ト(警告)する。
Finally, the completion control unit 540 is a function unit.
Knit 4780-nExecution for each operation of
It is for monitoring the situation. Function unit 47
80- nEither of
Upon completion, the completion control unit 540 determines the corresponding completion control
A signal is sent out on the control line 542 to change the register
Unit 496, instruction issuing unit 498, evacuation control unit
Alarm to the cut unit 500 and the bypass control unit 520.
(Warning).

【0192】機能ユニット478o-n を並列配列構成に
することにより、IEU104の制御の一貫性を向上し
ている。命令を正しく認識して、実行のためのスケジュ
ールするためには、個々の機能ユニット478o-n の特
性を命令発行ユニット498に知らせる必要がある。機
能ユニット478o-n は、必要とする機能を実行するた
めに必要な特定制御フロー・オペレーションを判別し、
実行することを担当する。従って、命令発行ユニット4
98以外は、IEU制御ユニットには、命令の制御フロ
ー処理を独立して知らせる必要はない。命令発行ユニッ
ト498と機能ユニット478o-n は共同して、残りの
制御フロー管理ユニット496、500、520、52
8、540に実行させる機能を必要な制御信号のプロン
プトで知らせる。従って、機能ユニット478o-n の特
定の制御フロー・オペレーションの変更は、IEU10
4の制御オペレーションに影響しない。さらに、既存の
機能ユニット478o-n の機能を強化する場合や、拡張
精度浮動小数点乗算ユニットや拡張精度浮動小数点AL
U、高速フーリェ計算機能ユニット、三角関数計算ユニ
ットなどの、別の機能ユニット478o-n を1つまたは
2つ以上を追加する場合でも、命令発行ユニット498
を若干変更するだけですむ。必要なる変更を行うには、
Eデコード・ユニット490によって隔離された対応す
る命令フィールドに基づいて、特定の命令を認識し、そ
の命令と必要とする機能ユニット478o-n とを関係づ
ける必要がある。レジスタ・データの選択の制御、デー
タのルーチング、命令完了と退避は、機能ユニット47
o-n の他の機能ユニットすべてに対して実行される他
のすべての命令の処理と矛盾がないようになっている。
By making the functional units 478 on in a parallel array configuration, the control consistency of the IEU 104 is improved. In order to correctly recognize an instruction and schedule it for execution, it is necessary to inform the instruction issuing unit 498 of the characteristics of the individual functional units 478 on . The functional unit 478 on determines the specific control flow operation required to perform the required function,
Responsible for performing. Therefore, the instruction issuing unit 4
Other than 98, the IEU control unit need not be independently informed of the control flow processing of instructions. The instruction issuing unit 498 and the functional unit 478 on work together to form the remaining control flow management units 496, 500, 520, 52
8 and 540 are notified of the function to be executed by a prompt of a necessary control signal. Therefore, a change in the specific control flow operation of the functional unit 478 on is
4 does not affect the control operation. Furthermore, when enhancing the function of the existing functional unit 478 on , the extended precision floating point multiplication unit and the extended precision floating point AL
U, a fast Fourier calculation function unit, a trigonometric function calculation unit, etc., even if one or more additional function units 478 on are added, the instruction issuing unit 498
Only needs to be changed slightly. To make the necessary changes,
Based on the corresponding instruction field isolated by the E-decode unit 490, it is necessary to recognize a particular instruction and associate that instruction with the required functional unit 478 on . The control of register data selection, data routing, instruction completion and saving are performed by the functional unit 47.
8 on is compatible with the processing of all other instructions executed for all other functional units.

【0193】A)IEUデータ経路の詳細 IEUデータ経路の中心となるエレメントはレジスタ・
ファイル472である。しかし、本発明によれば、IE
Uデータ経路内には、個々の機能用に最適化された並列
データ経路がいくつか用意されている。主要データ経路
は整数と浮動小数点の2つである。各並列データ経路内
では、レジスタ・ファイル472の一部がそのデータ経
路内で行われるデータ操作をサポートするようになって
いる。
A) Details of the IEU data path The element at the center of the IEU data path is a register
File 472. However, according to the present invention, the IE
Within the U data path, there are several parallel data paths that are optimized for individual functions. There are two main data paths, integer and floating point. Within each parallel data path, a portion of the register file 472 is adapted to support data operations performed within that data path.

【0194】1)レジスタ・ファイルの詳細 図6(A)は、データ経路レジスタ・ファイル550の
好適アーキテクチャの概要図である。データ経路レジス
タ・ファイル550は一時バッファ552、レジスタ・
ファイル配列564、入力セレタタ559、および出力
セレクタ556を含んでいる。最終的にレジスタ配列5
64へ送られるデータは、結合データ入力バス558’
を経由して一時バッファ552によって最初に受信され
るのが代表例である。つまり、データ経路レジスタ・フ
ァイル550へ送られるデータはすべて入力セレタタ5
59によって多重化されて、複数の入力バス558(好
ましくは2つの)から入力バス558’上に送出され
る。制御バス518上に送出されたレジスタ選択および
イネーブル制御信号は一時バッファ552内の受信デー
タのレジスタ・ロケーションを選択する。一時バッファ
にストアされるデータを生成した命令が退避されると、
再び制御バス518上に送出された制御信号は一時バッ
ファ552からレジスタ・ファイル配列564内の論理
的に対応づけられたレジスタヘデータ・バス560を経
由してデータを転送することを許可する。しかし、命令
が退避される前は、一時バッファ552にストアされた
データは一時バッファにストアされたデータをデータ・
バス560のバイパス部分を経由して出力データ・セレ
タタ556へ送ることにより、後続の命令の実行時に使
用することが可能である。制御バス518経由で送られ
る制御信号によって制御されるセレタタ556は、一時
バッファ552のレジスタからのデータとレジスタ・フ
ァイル配列564のレジスタからのデー夕のどちらかを
選択する。結果のデータはレジスタ・ファイル出力バス
554上に送出される。また、実行中の命令が完了と同
時に退避される場合は、つまり、その命令が順序内で実
行された場合は、結果データをバイパス延長部分55
8”を経由して直接にレジスタ配列564へ送るように
指示することができる。
1) Details of Register File FIG. 6A is a schematic diagram of a preferred architecture of the data path register file 550. The data path register file 550 contains a temporary buffer 552, a register
It includes a file array 564, an input selector 559, and an output selector 556. Finally register array 5
64 is coupled to the combined data input bus 558 '.
Is received first by the temporary buffer 552 via the. That is, all data sent to data path register file 550 is input selector 5
And multiplexed by 59 on a plurality of input buses 558 (preferably two) on input bus 558 '. The register select and enable control signals provided on control bus 518 select the register location of the received data in temporary buffer 552. When the instruction that generated the data stored in the temporary buffer is saved,
The control signal transmitted again on the control bus 518 permits the transfer of data from the temporary buffer 552 to the logically associated registers in the register file array 564 via the data bus 560. However, before the instruction is saved, the data stored in the temporary buffer 552 is the same as the data stored in the temporary buffer.
By sending it to the output data selector 556 via the bypass portion of the bus 560, it can be used when executing subsequent instructions. The selector 556 controlled by a control signal sent via the control bus 518 selects either data from a register in the temporary buffer 552 or data from a register in the register file array 564. The resulting data is sent out on register file output bus 554. If the instruction being executed is saved simultaneously with completion, that is, if the instruction is executed in order, the result data is transferred to the bypass extension 55.
It can be instructed to send directly to register array 564 via 8 ".

【0195】本発明の好適実施例によれば、各データ経
路レジスタ・ファイル550は2つのレジスタ操作を同
時に行えるようになっている。従って、入力バス558
を通して2つの全レジスタ幅データ値を一時バッファ5
52に書き込むことができる。内部的には、一時バッフ
ァ552はマルチプレクサ配列になっているので、入力
データを一時バッファ552内の任意の2レジスタヘ同
時に送ることができる。同様に、内部マルチプレクサに
より一時バッファ552の任意の5レジスタを選択し
て、データをバス560上に出力することができる。レ
ジスタ・ファイル配列564は同じように人出力マルチ
プレクサを備えているので、2つのレジスタを選択し
て、それぞれのデータを同時にバス560から受信する
ことも、5つのレジスタ・を選択してバス562経由で
送ることもできる。最後に、レジスタ・ファイル出力セ
レクタ556は、バス560、562から受信した10
レジスタ・データ値のうち任意の5つがレジスタ・ファ
イル出力バス554上に同時に出力されるように実現す
るのが好ましい。
According to a preferred embodiment of the present invention, each datapath register file 550 is capable of performing two register operations simultaneously. Therefore, the input bus 558
Through the temporary buffer 5
52. Internally, since the temporary buffer 552 has a multiplexer arrangement, input data can be sent to any two registers in the temporary buffer 552 at the same time. Similarly, any five registers of the temporary buffer 552 can be selected by the internal multiplexer to output data on the bus 560. Since register file array 564 also has a human output multiplexer, two registers can be selected to receive their respective data simultaneously from bus 560, or five registers can be selected via bus 562. You can also send it. Finally, the register file output selector 556 receives the 10
Preferably, any five of the register data values are output simultaneously on register file output bus 554.

【0196】一時バッファ内のレジスタ・セットは図6
(B)にその概要が示されている。レジスタ・セット5
52’は8個のシングル・ワード(32ビット)レジス
タI0RD、I1RD...I7RDから構成されてい
る。レジスタ・セット552’は4個のダブル・ワード
・レジスタI0RD、I0RD+1(I0RD4)、I
1RD、I1RD+1(ISRD...I3RD、I3
RD+1(I7RD)のセットとして使用することも可
能である。
The register set in the temporary buffer is shown in FIG.
(B) shows the outline. Register set 5
52 'are eight single word (32 bit) registers I0RD, I1RD. . . It consists of I7RD. Register set 552 'comprises four double word registers I0RD, I0RD + 1 (I0RD4), I
1RD, I1RD + 1 (ISRD ... I3RD, I3
It is also possible to use it as a set of RD + 1 (I7RD).

【0197】本発明の好適実施例によれば、レジスタ・
ファイル配列564内の各レジスタを重複して設ける代
わりに、一時バッファ・レジスタ・セット552内のレ
ジスタは2個のIFIFOマスタ・レジスタ216、2
24内のそれぞれの命令の相対ロケーションに基づい
て、レジスタ改名ユニット496によって参照される。
本アーキテクチャ100で実現される各命令は、最高2
つまでのレジスタまたは1つのダブル・ワード・レジス
タを出力として参照して、命令の実行によって生成され
たデータの宛先とすることができる。代表例として、命
令は1つの出力レジスタだけを参照する。従って、その
位置を図6(C)に示しているように、8個の保留中命
令のうち1つの出力レジスタを参照する命令2(I2
の場合は、データ宛先レジスタI2RDが選択されて、
命令の実行によって生成されたデータを受け入れる。命
令I2 によって生成されたデータが後続の命令、例え
ば、I 5 によって使用される場合は、I2RDレジスタ
にストアされたデータはバス560を経由して転送さ
れ、結果のデータは一時バッファ552に送り返され
て、I5RDで示したレジスタにストアされる。特に、
命令I5 は命令I2 によって決まるので、命令I5 は、
2 からの結果データが得られるまでは実行することが
できない。しかし、理解されるように、命令I5 は必要
とする入力データを一時バッファ552’の命令I2
データ・ロケーションから得れば、命令I2 の退避前に
実行することが可能である。
According to a preferred embodiment of the present invention, the register
The cost of providing duplicate registers in the file array 564
Instead, the buffer in temporary buffer register set 552
The register has two IFIFO master registers 216, 2
Based on the relative location of each instruction in 24
And referenced by the register rename unit 496.
Each instruction implemented in the architecture 100 has a maximum of two instructions.
Up to one register or one double-word register
Data generated by the execution of the instruction, referring to the
Data destination. A typical example is life
The instruction references only one output register. Therefore,
As shown in FIG. 6 (C), eight pending lives
Instruction 2 (ITwo)
In the case of, the data destination register I2RD is selected and
Accept the data generated by the execution of the instruction. life
Ordinance ITwoThe data generated by the
If I FiveIf used by the I2RD register
The data stored in the bus is transferred via the bus 560.
And the resulting data is sent back to temporary buffer 552.
And stored in the register indicated by I5RD. In particular,
Instruction IFiveIs the instruction ITwoInstruction IFiveIs
ITwoCan be executed until the result data from
Can not. However, as will be appreciated, instruction IFiveIs necessary
Of the temporary buffer 552 'Twoof
Given the data location, instruction ITwoBefore evacuation of
It is possible to do.

【0198】最後に、命令I2 が退避されると、レジス
タI2RDからのデータは、退避個所の命令の論理位置
から判断されて、レジスタ・ファイル配列564内のレ
ジスタ・ロケーションに書かれる。すなわち、退避制御
ユニット560は、制御ライン510経由でEデコード
・ユニット490から与えれたレジスタ参照フィールド
・データからレジスタ・ファイル配列内の宛先レジスタ
のアドレスを判断する。命令I0-3 が退避されると、I
4RD−I7RDに入っている値は、IFIFOユニッ
ト264のシフトと同時にシフトされて、I0RD−I
3RDに移される。
Finally, when the instruction I 2 is saved, the data from the register I 2 RD is written to the register location in the register file array 564, as determined from the logical position of the instruction at the save location. That is, the save control unit 560 determines the address of the destination register in the register file array from the register reference field data provided from the E decode unit 490 via the control line 510. When instruction I 0-3 is saved, I
The value contained in the 4RD-I7RD is shifted simultaneously with the shift of the IFIFO unit 264, so that the I0RD-I
Moved to 3RD.

【0199】命令I2 からダブル・ワード結果値が得ら
れる場合は、さらに複雑になる。本発明の好適実施例に
よれば、ロケーションI2RDとI6RDの組合わせ
が、命令I2 が退避されるか、さもなければキャンセル
まで、その命令から得た結果データをストアしておくた
めに使用される。好適実施例では、命令I4-7 の実行
は、命令I0-3 のいずれかによるダブル・ワード出力の
参照がレジスタ改名ユニット496によって検出された
場合には、保留される。これにより、一時バッファ55
2’全体をダブル・ワード・レジスタのシングル・ラン
クとして使用することが可能になる。命令I0-3 が退避
されると、一時バッファ552’はシングル・ワード・
レジスタの2ランクとして再び使用するこができる。さ
らに、いずれかの命令I4-7 の実行は、ダブル・ワード
出力レジスタが必要な場合には、命令が対応するI0-3
にシフトされるまで保留される。
[0199] If a double word result value is obtained from the instruction I 2 is further complicated. According to a preferred embodiment of the present invention, location I2RD a combination of I6RD is either the instruction I 2 is retracted, or otherwise until canceled, is used to keep stores the result data from the instruction You. In the preferred embodiment, execution of instructions I 4-7 is suspended if a reference to the double word output by any of instructions I 0-3 is detected by register rename unit 496. Thereby, the temporary buffer 55
The entire 2 'can be used as a single rank of double word register. When instructions I 0-3 are evacuated, temporary buffer 552 'becomes single word
It can be used again as two ranks of registers. Furthermore, the execution of any instruction I 4-7 depends on whether the instruction corresponds to the corresponding I 0-3 if a double word output register is required.
Held until shifted to.

【0200】レジスタ・ファイル配列564の論理的編
成は図7(A)〜図7(B)に示されている。本発明の
好適実施例によれば、整数データ経路用のレジスタ・フ
ァイル配列564は40個の32ビット幅レジスタから
構成されている。このレジスタ・セットはレジスタ・セ
ット“A”を構成し、ベース・レジスタ・セットra
〔0..23〕565、汎用レジスタra〔24..3
1〕566からなるトップ・セット、および8個の汎用
トラップ・レジスタra〔24..31〕からなるシャ
ドウ・レジスタ・セットとして編成されている。通常の
オペレーションでは、汎用レジスタra〔0..31〕
565、566は整数データ経路用のレジスタ・ファイ
ル配列のアクティブ“A”レジスタ・セットを構成して
いる。
The logical organization of the register file array 564 is shown in FIGS. According to a preferred embodiment of the present invention, the register file array 564 for the integer data path is comprised of 40 32-bit wide registers. This register set constitutes register set “A” and base register set ra
[0. . 23] 565, general-purpose register ra [24. . 3
1] 566, and eight general-purpose trap registers ra [24. . 31] as a shadow register set. In normal operation, the general-purpose register ra [0. . 31]
Reference numerals 565 and 566 constitute an active "A" register set of the register file array for the integer data path.

【0201】図7(B)に示すように、トラップ・レジ
スタra〔24..31〕567をスワップしてアクテ
ィブ・レジスタ・セット“A”に移しておけば、レジス
タra〔0..23〕565のアクティブ・ベース・セ
ットと一緒にアクセスすることが可能である。“A”レ
ジスタ・セットのこの構成は、割込みの受信が確認され
るか、例外トラップ処理ルーチンが実行されると、選択
される。レジスタ・セット“A”のこの状態は、割込み
許可命令の実行またはトラップからのリターン命令の実
行によって図7(A)に示す状態に明示によって戻るま
で維持される。
As shown in FIG. 7B, the trap register ra [24. . 31] If 567 is swapped and moved to the active register set “A”, the register ra [0. . 23] 565 with the active base set. This configuration of the "A" register set is selected upon receipt of an interrupt or execution of an exception trap handling routine. This state of register set "A" is maintained until it explicitly returns to the state shown in FIG. 7A by execution of an interrupt enable instruction or execution of a return instruction from a trap.

【0202】アーキテクチャ100によって実現された
本究明の好適実施例では、浮動小数点データ経路は図8
にその概要を示すように拡張精度レジスタ・ファイル配
列572を使用する。レジスタ・ファイル配列572
は、各々が64ビット幅の32個のレジスタrf
〔0..31〕から構成されている。浮動小数点レジス
タ・ファイル572は整数レジスタrb〔0..31〕
の“B”セットとして論理的に参照することも可能であ
る。アーキテクチャ100では、この“B”セットのレ
ジスタは浮動小数点レジスタrf〔0..31〕の各々
の下位32ビットに相当している。
In the preferred embodiment of the present invention implemented by architecture 100, the floating point data path is shown in FIG.
The extended precision register file array 572 is used as shown in FIG. Register file array 572
Are 32 registers rf, each 64 bits wide
[0. . 31]. The floating-point register file 572 stores the integer register rb [0. . 31]
Can be logically referred to as the “B” set. In architecture 100, this "B" set of registers is a floating point register rf [0. . 31], respectively, corresponding to the lower 32 bits.

【0203】第3のデータ経路を表すものとして、ブー
ル演算子レジスタ・セット574が図9に示すように設
けられている。これは、ブール演算の論理結果をストア
する。この“C”レジスタ・セット574は32個の1
ビット・レジスタrc〔0..31〕から構成されてい
る。ブール・レジスタ・セット574のオペレーション
は、ブール演算の結果をブール・レジスタ・セット57
4の任意の命令選択レジスタヘ送ることができる点でユ
ニークである。これは、等しい、等しくない、より大、
その他単純なブール状況値などの条件を表す1ビット・
フラグをストアするシングル・プロセッサ状況ワード・
レジスタを使用するのと対照的である。
A Boolean operator register set 574 is provided to represent the third data path, as shown in FIG. It stores the logical result of a Boolean operation. This "C" register set 574 contains 32 1
Bit register rc [0. . 31]. The operation of Boolean register set 574 stores the result of the Boolean operation in Boolean register set 574.
4 is unique in that it can be sent to any instruction select register. This is equal, unequal, greater,
1 bit that represents a condition such as other simple Boolean status values
A single processor status word that stores the flag
In contrast to using registers.

【0204】浮動小数点レジスタ・セット572とブー
ル・レジスタ・セット574は、双方共、図6(B)に
示す整数一時バッファ552と同じアーキテクチャの一
時バッファによって補数がとられる。基本的違いは、一
時バッファ・レジスタの幅が補数をとるレジスタ・ファ
イル配列572、574の幅と同じなるように定義され
ていることである。好適実施例では、幅はそれぞれ64
ビットと1ビットになっている。
The floating point register set 572 and the Boolean register set 574 are both complemented by a temporary buffer of the same architecture as the integer temporary buffer 552 shown in FIG. The basic difference is that the width of the temporary buffer register is defined to be the same as the width of the complemented register file arrays 572,574. In the preferred embodiment, the widths are 64
Bit and 1 bit.

【0205】多数の追加の特殊レジスタが、レジスタ配
列472に少なくとも論理的に存在している。図7
(C)に示すように、レジスタ配列472に物理的に存
在するレジスタはカーネル・スタック・ポインタ(ke
rnelstackpointer)568、プロセッ
サ状態レジスタ(PSR)569、旧プロセッサ状態レ
ジスタ(PPSR)570および8個の一時プロセッサ
状態レジスタの配列(tPSR〔0..7〕)571か
らなっている。残りの特殊レジスタはアーキテクチャ1
00の各所に分散している。特殊アドレスおよびデータ
・バス354はデータを選択して、特殊レジスタおよび
“A”と“B”レジスタ・セット間で転送するためのも
のである。特殊レジスタ移動命令は“A”または“B”
レジスタ・セットからレジスタを選択し、転送の方向を
選択し、特殊レジスタのアドレスIDを指定するための
ものである。
A number of additional special registers are at least logically present in register array 472. FIG.
As shown in (C), a register physically present in the register array 472 is a kernel stack pointer (ke).
renstackpointer) 568, a processor status register (PSR) 569, an old processor status register (PPSR) 570, and an array of eight temporary processor status registers (tPSR [0.7]) 571. The remaining special registers are architecture 1
00 are distributed in each place. The special address and data bus 354 is for selecting and transferring data between the special registers and the "A" and "B" register sets. Special register move instruction is “A” or “B”
This is for selecting a register from a register set, selecting a transfer direction, and specifying an address ID of a special register.

【0206】カーネル・スタック・ポインタ・レジスタ
とプロセッサ状態レジスタは、他の特殊レジスタとは異
なっている。カーネル・スタック・ポインタは、カーネ
ル状態にあるとき、標準のレジスタ間移動命令を実行す
ることによってアクセス可能である。一時プロセッサ状
態レジスタは直接にアクセスすることはできない。その
代わりに、このレジスタ配列はプロセッサ状態レジスタ
の値を伝播して、順序外で実行される命令で使用できる
ようにする縦承メカニズム(inheritance
mechanism)を実現するために使用される。初
期伝播値はプロセッサ状態レジスタの値である。つま
り、最後に退避された命令から得た値である。この初期
値は一時プロセッサ状態レジスタから前方向に伝播さ
れ、順序外で実行される命令が対応する位置にある一時
プロセッサ状態レジスタ内の値をアクセスできるように
する。命令が依存し、変更できる条件コード・ビット
は、その命令がもつ特性によって定義される。命令が依
存関係、レジスタまたは条件コードによって制約されな
いことが、レジスタ依存関係チェッカ・ユニット494
とキャリー依存関係チェッカ492によって判断された
場合は、命令は順序外で実行することができる。プロセ
ッサ状態レジスタの条件コード・ビットの変更は論理的
に対応する一時プロセッサ状態レジスタに指示される。
具体的には、変更の可能性があるビットだけが一時プロ
セッサ状態レジスタに入っている値に適用され、上位の
すべての一時プロセッサ状態レジスタに伝播される。そ
の結果、順序外で実行されるすべての命令は介在するP
SR変更命令によって適切に変更されたプロセッサ状態
レジスタ値から実行される。命令が退避されたときは、
対応する一時プロセッサ状態レジスタ値だけがPSRレ
ジスタ569に転送される。
The kernel stack pointer register and the processor status register are different from other special registers. The kernel stack pointer, when in kernel state, is accessible by executing standard inter-register move instructions. The temporary processor status register cannot be accessed directly. Instead, this register array propagates the value of the processor status register and makes it available to out-of-order instructions for use by an inheritance mechanism.
used to implement the mechanism. The initial propagation value is the value of the processor status register. That is, the value obtained from the last saved instruction. This initial value is propagated forward from the temporary processor status register to allow an out-of-order instruction to access the value in the corresponding temporary processor status register. The condition code bits on which an instruction depends and which can be changed are defined by the characteristics of the instruction. That the instruction is not constrained by a dependency, register or condition code, the register dependency checker unit 494
Are determined by the carry dependency checker 492, the instructions can be executed out of order. Changes in the condition code bits of the processor status register are indicated in the logically corresponding temporary processor status register.
In particular, only bits that may change are applied to the value in the temporary processor status register and propagated to all higher order temporary processor status registers. As a result, all instructions executed out of order will have
It is executed from the processor state register value appropriately changed by the SR change instruction. When an instruction is evacuated,
Only the corresponding temporary processor status register value is transferred to PSR register 569.

【0207】その他の特殊レジスタは〔表2〕に説明さ
れている。
The other special registers are described in [Table 2].

【0208】 〔表2〕 特殊レジスタ 特殊移動 レジスタ R/W 説明 PC R プログラム・カウンタ:一般的には、PCは現在実行 中のプログラム命令ストリームの次のアドレスを格納 している。 IF_PC R/W IFUプログラム・カウンタ:IF PCは正確な次 の実行アドレスを格納している。 PFnPC R プリフェッチ・プログラム・カウンタ:MBUF、T BUFおよびEBUFPFnPCはそれぞれのプリフ ェッチ命令ストリームの次のプリフェッチ命令アドレ スを格納している。 uPC R/W マイクロ・プログラム・カウンタ:プロシージャ命令 のあとに続く命令のアドレスを格納している。これは プロシージャ命令がリターンしたとき最初に実行され る命令のアドレスである。 xPC R/W 割込み/例外プログラム・カウンタ:割込みまたは例 外(または両方)のリターン・アドレスを格納してい る。リターン・アドレスはトラップ発生時のIF_P Cのアドレスである。 TBR W トラップ・ベース・アドレス:トラップ処理ルーチン ヘディスパッチするとき使用されるベクトル・テーブ ルのベース・アドレス。各エントリは1ワード長であ る。割込みロジック・ユニット363から与えられる トラップ番号は、このアドレスが指しているテーブル までのインデックスとして使用される。 FTB W 高速トラップ・ベース・レジスタ:即時トラップ処理 ルーチン・テーブルのベース・レジスタ。各テーブル ・エントリは32ワードであり、トラップ処理ルーチ ンを直接に実行するために使用される。割込みロジッ ク・ユニット363から与えられるトラップ番号を3 2倍したものは、このアドレスが指しているテーブル までのオフセットとして使用される。 PBR W プロシージャ・ベース・レジスタ:プロシージャ・ル ーチンヘディスパッチするとき使用されるベクトル・ テーブルのベース・アドレス。各エントリは1ワード 長であり、4ワード境界に位置合わせされている。プ ロシージャ命令フィールドとして与えられるプロシー ジャ番号はこのアドレスが指しているテーブルまでの インデックスとして使用される。 PSR R/W プロセッサ状態レジスタ:プロセッサ状況ワードを格 納している。状況データ・ビットは、キヤリー、オー バフロー、ゼロ、負、プロセッサ・モード、現割込み レベル、実行中のプロシージャ・ルーチン、0による 除算、オーバフロー例外、ハードウェア機能割込み可 能、プロシージャ割込み可能、割込み可能などのビッ トがある。 PPSR R/W 旧プロセッサ状態レジスタ:命令が正しく完了するか 、割込みまたはトラップが引き起こされると、PSR からロードされる。 CSR R/W 状態比較(ブール)レジスタ:シングル・ワードとし てアクセス可能なブール・レジスタ・セット。 PCSR R/W 旧状態比較レジスタ:命令が正しく完了するか、割込 みまたはトラップが引き起こされると、CSRからロ ードされる。Table 2 Special Registers Special Move Register R / W Description PC R Program Counter: Generally, the PC stores the next address of the currently executing program instruction stream. IF_PC R / W IFU program counter: IF The PC stores the exact next execution address. PFnPC R Prefetch Program Counters: MBUF, TBUF, and EBUFPFnPC store the next prefetch instruction address of each prefetch instruction stream. uPC R / W Micro program counter: Stores the address of the instruction following the procedure instruction. This is the address of the first instruction to be executed when the procedure instruction returns. xPC R / W Interrupt / Exception Program Counter: Stores interrupt or exception (or both) return addresses. The return address is the address of IF_PC at the time of occurrence of the trap. TBR W Trap Base Address: The base address of the vector table used when dispatching to the trap handling routine. Each entry is one word long. The trap number provided by the interrupt logic unit 363 is used as an index to the table pointed to by this address. FTB W fast trap base register: base register for immediate trap processing routine table. Each table entry is 32 words and is used to directly execute a trap handling routine. The value obtained by multiplying the trap number given by the interrupt logic unit 363 by 32 is used as an offset to the table pointed to by this address. PBR W Procedure Base Register: Base address of the vector table used when dispatching to the procedure routine. Each entry is one word long and is aligned on a four word boundary. The procedure number given as the procedure instruction field is used as an index to the table pointed to by this address. PSR R / W Processor status register: Stores the processor status word. Status data bits include carry, overflow, zero, negative, processor mode, current interrupt level, running procedure routine, divide by zero, overflow exception, hardware function interrupt enabled, procedure interrupt enabled, and interrupt enabled. And so on. PPSR R / W Old Processor Status Register: Loaded from PSR when an instruction completes successfully or an interrupt or trap is triggered. CSR R / W State Compare (Boolean) Register: A set of Boolean registers accessible as a single word. PCSR R / W Old State Compare Register: Loaded from CSR when an instruction completes successfully or an interrupt or trap is triggered.

【0209】2)整数データ経路の詳細 本発明の好適実施例に従って構築されるIEU104の
整数データ経路は図10に示されている。説明の便宜
上、整数データ経路580と結ばれる多数の制御経路は
図には示していない。これらの接続関係は図5を参照し
て説明したとおりである。
2) Details of the Integer Data Path The integer data path of the IEU 104 constructed in accordance with the preferred embodiment of the present invention is shown in FIG. For convenience of explanation, a number of control paths connected to the integer data path 580 are not shown. These connection relationships are as described with reference to FIG.

【0210】データ経路580の入力データは位置合わ
せユニット582、584および整数ロード/ストア・
ユニット586から得られる。整数即値(intege
rimmediate)データ値は、最初は命令埋込み
(embedded)データ・フィールドとして与えら
れ、バス588経由でオペランド・ユニット470から
得られる。位置合わせユニット582は整数ータ値を隔
離し、その結果値を出力バス590を経由してマルチプ
レクサ592へ送られる。マルチプレクサ592への別
の入力は特殊レジスタ・アドレスとデータ・バス354
である。
The input data on data path 580 is aligned with alignment units 582, 584 and integer load / store
Obtained from unit 586. Integer immediate (integer
The limited data value is initially provided as an instruction embedded data field and is obtained from the operand unit 470 via bus 588. Alignment unit 582 isolates the integer data value and the resulting value is sent to multiplexer 592 via output bus 590. Another input to multiplexer 592 is a special register address and data bus 354.
It is.

【0211】命令ストリームから得られる即値(imm
ediate)オペランドも、データ・バス594経由
でオペランド・ユニット570から得られる。これらの
値は、出力バス596上に送出される前に、位置合わせ
ユニット584によって再度右寄せされる。
The immediate value (imm
edit) operands are also obtained from operand unit 570 via data bus 594. These values are right justified again by the alignment unit 584 before being sent out on the output bus 596.

【0212】整数ロード/ストア・ユニット586は外
部データ・バス598を通してCCU106と双方向で
やりとりする。IEU104へのインバウンド・データ
は整数ロード/ストア・ユニット586から入力データ
・バス600を経由して入力ラッチ602へ転送され
る。マルチプレクサ592とラッチ602からの出力デ
ータは、マルチプレクサ608のマルチプレクサ入力バ
ス604、606上に送出される。機能ユニット出力バ
ス482’からのデータもマルチプレクサ608に送ら
れる。このマルチプレクサ608はアーキテクチャ10
0の好適実施例では、データを同時に出力マルチプレク
サ・バス610へ送る2つの通路を備えている。さら
に、マルチプレクサ608を通るデータ転送は、システ
ム・クロックの各半サイクル以内に完了することができ
る。本アーキテクチャ100で実現される大部分の命令
は、1つの宛先レジスタを利用するので、最大4つまで
の命令によって各システム・クロック・サイクルの間デ
ータを一時バッファ612へ送ることができる。
[0212] The integer load / store unit 586 interacts with the CCU 106 via the external data bus 598 in both directions. Inbound data to IEU 104 is transferred from integer load / store unit 586 via input data bus 600 to input latch 602. The output data from multiplexer 592 and latch 602 is provided on multiplexer input buses 604,606 of multiplexer 608. Data from the functional unit output bus 482 'is also sent to the multiplexer 608. The multiplexer 608 has the architecture 10
In the preferred embodiment, there are two paths to send data to the output multiplexer bus 610 simultaneously. Further, the data transfer through multiplexer 608 can be completed within each half cycle of the system clock. Most instructions implemented in the present architecture 100 utilize one destination register, so up to four instructions can send data to the temporary buffer 612 during each system clock cycle.

【0213】一時バッファ612からのデータは一時レ
ジスタ出力バス616を経由して整数レジスタ・ファイ
ル配列614へ、あるいは代替一時バッファ・レジスタ
・バス618を経由して出力マルチプレクサ620へ転
送することができる。整数レジスタ配列出力バス622
は整数レジスタ・データをマルチプレクサ620へ転送
することができる。一時バッファ612と整数レジスタ
・ファイル配列614に接続された出力バスは、それぞ
れ5個のレジスタ値を同時に出力することを可能にす
る。つまり、合計5個までのソース・レジスタを参照す
る2つの命令を同時に出すことができる。一時バッファ
612、レジスタ・ファイル配列614およびマルチプ
レクサ620は、アウトバウンド・レジスタ・データの
転送を半システム・クロック・サイクルごとに行うこと
を可能にする。従って、最高4個までの整数および浮動
小数点命令を各クロック・サイクルの間に出すことがで
きる。
Data from the temporary buffer 612 can be transferred to the integer register file array 614 via the temporary register output bus 616 or to the output multiplexer 620 via the alternative temporary buffer register bus 618. Integer register array output bus 622
Can transfer integer register data to multiplexer 620. Output buses connected to the temporary buffer 612 and the integer register file array 614 each allow the simultaneous output of five register values. That is, two instructions referring to a total of up to five source registers can be issued simultaneously. Temporary buffer 612, register file array 614, and multiplexer 620 enable the transfer of outbound register data to occur every half system clock cycle. Thus, up to four integer and floating point instructions can be issued during each clock cycle.

【0214】マルチプレクサ620はアウトバウンド・
レジスタ・データ値をレジスタ・ファイル配列614か
ら、あるいは一時バッファ612から直接に選択する働
きをする。これにより、以前に順序外で実行された命令
に依存する順序外実行命令をIEU104によって実行
させることができる。これにより、保留状態の命令を順
序外で実行することによってIEU整数データ経路の実
行スループット能力を最大化すると共に、順序外のデー
タ結果を、実行され退避された命令から得たデータ結果
から正確に分離するという2目標を容易に達成すること
ができる。マシンの正確な状態を復元する必要のあるよ
うな割込みや他の例外条件が起こると、本発明によれ
ば、一時バッファ612に存在するデータ値を簡単にク
リアすることができる。従って、レジスタ・ファイル配
列614は、割込みまたは他の例外条件が発生する以前
に完了し、退避された命令の実行によってのみ得られた
データ値を正確に収めたままになっている。
Multiplexer 620 is an outbound
It serves to select register data values directly from the register file array 614 or from the temporary buffer 612. This allows the IEU 104 to execute out-of-order execution instructions that depend on previously executed out-of-order instructions. This maximizes the execution throughput capability of the IEU integer data path by executing pending instructions out-of-order, while accurately resolving out-of-order data results from data results obtained from executed and evacuated instructions. The two goals of separation can be easily achieved. When an interrupt or other exceptional condition occurs that requires the correct state of the machine to be restored, the present invention allows the data values present in temporary buffer 612 to be easily cleared. Thus, the register file array 614 has completed prior to the occurrence of the interrupt or other exceptional condition, and remains accurately populated with data values obtained only by execution of the saved instruction.

【0215】マルチプレクサ620の各半システム・サ
イクル・オペレーション時に選択されたレジスタ・デー
タ値は最高5つまでがマルチプレクサ出力バス624を
経由して整数バイパス・ユニット626へ送られる。こ
のバイパス・ユニット626は、基本的に、マルチプレ
クサが並列の配列からなり、その入力のいずれかに現れ
たデータをその出力のいずれかへ送ることができる。バ
イパス・ユニット626の入力は、マルチプレクサ59
2から出力バス604を経由する特殊レジスタ・アドレ
ス指定データ値または即値の整数値、バス624上に送
出される最高5つまでのレジスタ・データ値、整数ロー
ド/ストア・ユニット586からダブル整数バス600
を経由するロード・オペランド・データ、その出力バス
596を経由して位置合わせユニット584から得た即
値オペランド値、最後に、機能ユニット出力バス482
からのバイパス・データ経路からなっている。このバイ
パス経路とデータ・バス482はシステム・クロック・
サイクルごとに4個のレジスタ値を同時に転送すること
ができる。
During each half-system cycle operation of multiplexer 620, up to five selected register data values are sent to integer bypass unit 626 via multiplexer output bus 624. The bypass unit 626 basically consists of a parallel arrangement of multiplexers, which can send data appearing at any of its inputs to any of its outputs. The input of the bypass unit 626 is
2 to special register addressing data value or immediate integer value via output bus 604; up to five register data values sent out on bus 624; double integer bus 600 from integer load / store unit 586.
, The immediate operand value obtained from the alignment unit 584 via its output bus 596, and finally the functional unit output bus 482
From the bypass data path. This bypass path and data bus 482 are connected to the system clock
Four register values can be transferred simultaneously in each cycle.

【0216】データはバイパス・ユニット626から浮
動小数点データ・バスに接続された整数バイパス・バス
628上に出力されて、最高5つまでのレジスタ・デー
タ値を同時に転送する機能をもつ2つのオペランド・デ
ータ・バスと、整数ロード/ストア・ユニット586ヘ
データを送るために使用されるストア・データ・バス6
32へ送られる。
Data is output from the bypass unit 626 onto an integer bypass bus 628 connected to the floating point data bus for two operands having the ability to transfer up to five register data values simultaneously. A data bus and a store data bus 6 used to send data to the integer load / store unit 586.
32.

【0217】機能ユニット分配バス480はルータ・ユ
ニット634のオペレーションを通して実現されてい
る。また、ルータ・ユニット634はその入力から受信
された5個のレジスタ値を整数データ通路に設けられた
機能ユニットヘ送ることを可能にする並列のマルチプレ
クサ配列によって実現される。具体的には、ルータ・ユ
ニット634はバイパス・ユニット626からバス63
0を経由して送られてきた5個のレジスタ・データ値、
アドレス・バス352を経由して送られてきた現IF
PCアドレス値、PC制御ユニット362によって判断
され、ライン378’上に送出された制御フロー・オフ
セット値を受信する。ルータ・ユニット634は、浮動
小数点データ経路内に設けられたバイパス・ユニットか
ら取り出されたオペランド・データ値をデータ・バス6
36を経由して受信することもできる(オプション)。
The functional unit distribution bus 480 is implemented through the operation of the router unit 634. Router unit 634 is also implemented by a parallel multiplexer arrangement that allows the five register values received from its input to be sent to functional units provided in the integer data path. Specifically, the router unit 634 sends the bus 63 from the bypass unit 626 to the bus 63.
5 register data values sent via 0,
Current IF sent via address bus 352
The PC address value, determined by the PC control unit 362, receives the control flow offset value sent on line 378 '. The router unit 634 transmits the operand data value extracted from the bypass unit provided in the floating-point data path to the data bus 6.
It is also possible to receive the data via an optional (optional).

【0218】ルータ・ユニット634によって受信され
たレジスタ・データ値は、特殊レジスタ・アドレスおよ
びデータ・バス354上を転送されて、機能ユニット6
40、642、644へ送られる。具体的には、ルータ
・ユニット634は最高3つまでのレジスタ・オペラン
ド値をルータ出力バス646、648、650を経由し
て機能ユニット640、642、644の各々へ送る機
能を備えている。本アーキテクチャ100の一般的アー
キテクチャによれば、最高2つまでの命令を同時に機能
ユニット640、642、644に対して出すことが可
能である。本発明の好適実施例によれば、3つの専用整
数機能ユニットに、それぞれプログラマブル・シフト機
能と2つの算術演算ロジック・ユニット機能をもたせる
ことができる。
The register data values received by the router unit 634 are transferred over the special register address and data bus 354 to the functional unit 6
40, 642, 644. In particular, router unit 634 has the ability to send up to three register operand values to each of functional units 640, 642, 644 via router output buses 646, 648, 650. According to the general architecture of the present architecture 100, up to two instructions can be issued to functional units 640, 642, 644 simultaneously. According to a preferred embodiment of the present invention, the three dedicated integer functional units can each have a programmable shift function and two arithmetic logic unit functions.

【0219】ALU0機能ユニット644、ALU1機
能ユニット642およびシフタ機能ユニット640はそ
れぞれの出力レジスタ・データを機能ユニット・バス4
82I上に送出する。ALU0とシフタ機能ユニット6
44、640から得た出力データも浮動小数点データ経
路に接続された共用整数機能ユニット・バス650上に
送出される。類似の浮動小数点機能ユニット出力値デー
タ・バス652が浮動小数点データ経路から機能ユニッ
ト出力バス482’へ設けられている。
The ALU0 function unit 644, ALU1 function unit 642 and shifter function unit 640 transfer their output register data to the function unit bus 4
Send on 82I. ALU0 and shifter function unit 6
Output data from 44, 640 is also provided on a shared integer functional unit bus 650 connected to the floating point data path. A similar floating point functional unit output value data bus 652 is provided from the floating point data path to functional unit output bus 482 '.

【0220】ALU0機能ユニット644はIFU10
2のプリフェッチ操作と整数ロード/ストア・ユニット
586のデータ操作の両方をサポートするために仮想ア
ドレス値を生成する場合にも使用される。ALU0機能
ユニット644によって計算された仮想アドレス値はI
FU102のターゲット・アドレス・バス346とCC
U106の両方に接続された出力バス654上に送出さ
れ、実行ユニットの物理アドレス(EX PADDR)
が得られる。ラッチ656は、ALU0機能ユニット6
44によって生成されたアドレスの仮想化部分をストア
するためのものである。アドレスのこの仮想化部分は出
力バス658上に送出されて、VMU108へ送られ
る。
ALU0 functional unit 644 is IFU10
It is also used when generating virtual address values to support both prefetch operations of 2 and data operations of the integer load / store unit 586. The virtual address value calculated by ALU0 functional unit 644 is I
FU102 target address bus 346 and CC
It is sent out on the output bus 654 connected to both of the U106, and the physical address of the execution unit (EXPADDR)
Is obtained. The latch 656 is connected to the ALU0 functional unit 6
44 to store the virtualized portion of the address generated by 44. This virtualized portion of the address is sent out on output bus 658 and sent to VMU 108.

【0221】3)浮動小数点データ経路の詳細 次に、図11は浮動小数点データ経路を示したものであ
る。初期データは、この場合も、即値整数オペランド・
バス588、即値オペランド・バス594および特殊レ
ジスタ・アドレス・データ・バス354を含む、複数の
ソースから受信される。外部データの最終的ソースは外
部データ・バス598を通してCCU106に接続され
た浮動小数点ロード/ストア・ユニット622である。
3) Details of the floating point data path FIG. 11 shows the floating point data path. The initial data is again the immediate integer operand
Received from multiple sources, including bus 588, immediate operand bus 594, and special register address data bus 354. The ultimate source of external data is a floating point load / store unit 622 connected to CCU 106 via external data bus 598.

【0222】即値整数オペランドは、位置合わせ出力デ
ータ・バス668を経由してマルチプレクサ666に渡
す前に整数データ・フィールドを右寄せする働きをする
位置合わせユニット664によって受信される。マルチ
プレクサ666は特殊レジスタ・アドレス・データ・バ
ス354も受信する。即値オペランドは第2の位置合わ
せユニット670へ送られ、右寄せされてから出力バス
672上に送出される。浮動小数点ロード/ストア・ユ
ニット662からのインバウンド・データ(inbou
nd data)は、ロード・データ・バス676から
ラッチ674によって受信される。マルチプレクサ66
6、ラッチ674および機能ユニット・データ・リター
ン・バス482”からのデータはマルチプレクサ678
の入力から受信される。マルチプレクサ678は選択可
能なデータ経路を備え、2つのレジスタ・データ値がシ
ステム・クロックの半サイクルごとに、マルチプレクサ
出力バス682を経由して一時バッファ680に書き込
まれることを可能にする。一時バッファ680は図6
(B)に示す一時バッファ552’と論理的に同じレジ
スタ・セットを備えている。一時バッファ680はさら
に、最高5個までのレジスタ・データ値を一時バッファ
680から読み取って、データ・バス686を経由して
浮動小数点レジスタ・ファイル配列684と、出力デー
タ・バス690を経由して出力マルチプレクサ688へ
送ることができる。マルチプレクサ688は、データ・
バス692を経由して、浮動小数点ファイル配列684
から最高5個までのレジスタ・データ値も同時に受信す
る。マルチプレクサ688は最高5個までのレジスタ・
データ値を選択して、データ・バス696を経由してバ
イパス・ユニット694へ同時に転送する働きをする。
バイパス・ユニット694は、データ・バス672、マ
ルチプレクサ666からの出力データ・バス698、ロ
ード・データ・バス676および機能ユニット・データ
・リターン・バス482”のバイパス延長部分を経由し
て、位置合わせユニット670から与えられた即値オペ
ランド値も受信する。バイパス・ユニット694は最高
5個までのレジスタ・オペランド・データ値を同時に選
択して、バイパス・ユニット出力バス700、浮動小数
点ロード/ストア・ユニット662に接続されたストア
・データ・バス702、および整数デー夕経路580の
ルータ・ユニット634に接続された浮動小数点バイパ
ス・バス636上に出力するように働く。
[0222] The immediate integer operand is received by the alignment unit 664, which serves to right justify the integer data field before passing to the multiplexer 666 via the alignment output data bus 668. Multiplexer 666 also receives special register address data bus 354. The immediate operand is sent to second alignment unit 670, right justified, and sent out on output bus 672. Inbound data (inbou) from floating point load / store unit 662
nd data) is received by the latch 674 from the load data bus 676. Multiplexer 66
6, latch 674 and data from functional unit data return bus 482 "
Is received from the input. Multiplexer 678 has a selectable data path and allows two register data values to be written to temporary buffer 680 via multiplexer output bus 682 every half cycle of the system clock. The temporary buffer 680 is shown in FIG.
It has the same register set as the temporary buffer 552 'shown in FIG. Temporary buffer 680 also reads up to five register data values from temporary buffer 680 and outputs via floating-point register file array 684 via data bus 686 and output data bus 690. To the multiplexer 688. Multiplexer 688 provides a data
Floating point file array 684 via bus 692
And up to five register data values are also received at the same time. Multiplexer 688 has up to five registers
It serves to select data values and simultaneously transfer them to bypass unit 694 via data bus 696.
The bypass unit 694 is connected to the alignment unit via the data bus 672, the output data bus 698 from the multiplexer 666, the load data bus 676, and the bypass extension of the functional unit data return bus 482 ″. It also receives the immediate operand value provided from 670. Bypass unit 694 simultaneously selects up to five register operand data values and provides them to bypass unit output bus 700 and floating point load / store unit 662. It serves to output on the connected store data bus 702 and the floating point bypass bus 636 connected to the router unit 634 of the integer data path 580.

【0223】浮動小数点ルータ・ユニット704は、バ
イパス・ユニット出力バス700と整数データ経路バイ
パス・バス628とそれぞれの機能ユニット712、7
14、716に接続された機能ユニット入力バス70
6、708、710との間で同時にデータ経路を選択で
きる機能を備えている。アーキテクチャ100の好適実
施例による入力バス706、708、710の各々は、
最高3個までのレジスタ・オペランド・データ値を機能
ユニット712、714、716の各々へ同時に転送す
ることが可能である。これらの機能ユニット712、7
14、716の出力バスは機能ユニット・データ・リタ
ーン・バス482”に結合され、データをレジスタ・フ
ァイル入力マルチプレクサ678へ戻すようになってい
る。整数データ経路機能ユニット出力バス650を、機
能ユニット・データ・リターン・バス482”に接続す
るために設けることも可能である。本発明のアーキテク
チャ100によれば、マルチプレクサ機能ユニット71
2と浮動小数点ALU714の機能ユニット出力バスを
浮動小数点データ経路機能ユニット・バス652を経由
して整数データ経路500の機能ユニット・データ・リ
ターン・バス482”に接続することが可能である。
The floating point router unit 704 includes a bypass unit output bus 700, an integer data path bypass bus 628, and respective functional units 712,7.
14, 716 connected to the functional unit input bus 70
6, 708, and 710. Each of the input buses 706, 708, 710 according to the preferred embodiment of the architecture 100 includes:
Up to three register operand data values can be transferred simultaneously to each of the functional units 712, 714, 716. These functional units 712, 7
14, 716 are coupled to the functional unit data return bus 482 "for returning data to the register file input multiplexer 678. The integer data path functional unit output bus 650 is connected to the functional unit data return bus 482". It may be provided for connection to the data return bus 482 ". According to the architecture 100 of the present invention, the multiplexer function unit 71
2 and the functional unit output bus of the floating point ALU 714 can be connected to the functional unit data return bus 482 "of the integer data path 500 via the floating point data path functional unit bus 652".

【0224】4) ブール・レジスタ・データ経路の詳
細 ブール演算データ経路720は図12に示されている。
このデータ経路720は基本的に2種類の命令の実行を
サポートするために利用される。最初のタイプは、オペ
ランド比較命令であり、この命令では、整数レジスタ・
セットと浮動小数点レジスタ・セットから選択された、
あるいは即値オペランドとして与えられた2つのオペラ
ンドが、ALU機能ユニットの1つで整数と浮動小数点
データ経路を減算することによって比較される。この比
較は、ALU機能ユニット642、644、714、7
16のいずかによる減算によって行われ、その結果の符
号とゼロ状況ビットは入力セレクタと比較演算子結合ユ
ニット722へ送られる。このユニット722は、制御
信号を指定した命令をEデコード・ユニット490から
受け取ると、ALU機能ユニット642、644、71
4、716の出力を選択し、符号およびゼロ・ビットを
結合し、ブール比較結果値を抽出する。出力バス723
を通して比較演算の結果を入力マルチプレクサ726と
バイパス・ユニット742へ同時に転送することができ
る。整数および浮動小数点データ経路と同じように、バ
イパス・ユニット742は並列のマルチプレクサ配列と
して実現され、バイパス・ユニット742の入力間で複
数のデータ経路を遇択して、複数の出力と結ぶことがで
きる。バイパス・ユニット742の他の人力はブール演
算結果リターン・データ・バス724とデータ・バス7
44上の2つのブール・オペランドからなっている。バ
イパス・ユニット742は、最高2つまでの同時に実行
中のブール命令を表したブール・オペランドを、オペラ
ンド・バス748を経由してブール演算機能ユニット7
46へ転送することができる。また、バイパス・ユニッ
ト746は最高2個までのシングル・ビット・ブール・
オペランド・ビット(CF0、CF1)を制御フロー結
果制御ライン750、752を経由して同時に転送する
ことができる。
4) Boolean Register Data Path Details The Boolean operation data path 720 is shown in FIG.
This data path 720 is basically used to support the execution of two types of instructions. The first type is an operand compare instruction, which uses an integer register
Set and floating-point register set,
Alternatively, two operands, provided as immediate operands, are compared by subtracting the integer and floating point data paths in one of the ALU functional units. This comparison is made between ALU functional units 642, 644, 714, 7
The subtraction by any of sixteen results in the sign and zero status bits being sent to the input selector and comparison operator combining unit 722. When the unit 722 receives an instruction designating a control signal from the E-decode unit 490, the ALU function unit 642, 644, 71
4, the output of 716 is selected, the sign and the zero bit are combined, and the Boolean comparison result value is extracted. Output bus 723
, The result of the comparison operation can be transferred to the input multiplexer 726 and the bypass unit 742 at the same time. As with the integer and floating point data paths, the bypass unit 742 is implemented as a parallel multiplexer array, which allows multiple data paths between the inputs of the bypass unit 742 to connect to multiple outputs. . Another input of the bypass unit 742 is a Boolean operation result return data bus 724 and a data bus 7.
44 consists of two Boolean operands. The bypass unit 742 converts the Boolean operands representing up to two concurrently executing Boolean instructions to the Boolean operation unit 7 via the operand bus 748.
46. Also, the bypass unit 746 has up to two single-bit Boolean
Operand bits (CF0, CF1) can be transferred simultaneously via control flow result control lines 750, 752.

【0225】ブール演算データ経路の残り部分は、比較
結果バス723とブール結果バス724上に送出された
比較およびブール演算結果値を、その入力として受信す
る入力マルチプレクサ726を含んでいる。このバス7
24は最高2個までのブール結果ビットを同時にマルチ
プレクサ726へ転送することができる。さらに、最高
2個までの比較結果ビットをバス723を経由してマル
チプレクサ726へ転送することができる。マルチプレ
クサ726はマルチプレクサの入力端に現れた任意の2
個の信号ビットをマルチプレクサの出力端を経由して、
システム・クロックの各半サイクル時にブール演算一時
バッファ728へ転送することができる。一時バッファ
728は、2つの重要な点が異なることを除けば、図6
(B)に示した一時バッファ752’と論理的に同じで
ある。第1の相違点は、一時バッファ728内の各レジ
スタ・エントリがシングル・ビットからなることであ
る。第2の相違点は、8個の保留中命令スロットの各々
に1つのレジスタだけが設けられていることである。こ
れは、ブール演算の結果全部が定義によって1つの結果
ビットによって定義されるためである。
The remainder of the Boolean data path includes an input multiplexer 726 that receives as input its comparison and Boolean result values output on comparison result bus 723 and Boolean result bus 724. This bus 7
24 can transfer up to two Boolean result bits to multiplexer 726 simultaneously. Further, up to two comparison result bits can be transferred to the multiplexer 726 via the bus 723. Multiplexer 726 is an optional 2x that appears at the input of the multiplexer.
Via the output of the multiplexer
Each half cycle of the system clock can be transferred to a Boolean temporary buffer 728. The temporary buffer 728 differs from FIG. 6 except that two important points are different.
This is logically the same as the temporary buffer 752 'shown in FIG. The first difference is that each register entry in temporary buffer 728 consists of a single bit. The second difference is that only one register is provided for each of the eight pending instruction slots. This is because the entire result of a Boolean operation is defined by one result bit by definition.

【0226】一時バッファ728は最高4個までの出力
オペランド値を同時に出力する。これにより、各々2つ
のソース・レジスタヘのアクセスを必要とする2個のブ
ール命令を同時に実行させることができる。4個のブー
ル・レジスタ値はシステム・クロックの各半サイクルご
とにオペランド・バス736上に送出し、マルチプレク
サ738へあるいはブール・オペランド・データ・バス
734を経由してブール・レジスタ・ファイル配列73
2へ転送することができる。ブール・レジスタ・ファイ
ル配列732は、図9に論理的に示すように、1個の3
2ビット幅データ・レジスタであり、任意に組み合わせ
た最高4個までのシングル・ビット・ロケーションを、
一時バッファ728からのデータで修正し、システム・
クロックの各半サイクルごとにブール・レジスタ・ファ
イル配列732から読み取って出力バス740上に送出
することができる。マルチプレクサ738はバス73
6、740経由でその出力端から受信したブール・オペ
ランドの任意のペアを、オペランド出力バス744上に
送出してバイパス・ユニット742へ転送する。
The temporary buffer 728 simultaneously outputs up to four output operand values. This allows two Boolean instructions each requiring access to two source registers to be executed simultaneously. The four Boolean register values are sent out on operand bus 736 every half cycle of the system clock, and are output to Boolean register file array 73 to multiplexer 738 or via Boolean operand data bus 734.
2 can be forwarded. The Boolean register file array 732 contains one 3's, as logically shown in FIG.
A 2-bit wide data register that stores up to four single bit locations in any combination.
Corrected by the data from the temporary buffer 728, the system
Each half cycle of the clock can be read from the Boolean register file array 732 and output on the output bus 740. Multiplexer 738 is connected to bus 73
Any pair of Boolean operands received from its output via 6, 740 is sent out on operand output bus 744 for transfer to bypass unit 742.

【0227】ブール演算機能ユニット746は2個のソ
ース値についてブール演算を幅広く実行する機能を備え
ている。比較命令の場合には、ソース値は整数および浮
動小数点レジスタ・セットのいずれかから得たペアのオ
ペランドとIEU104へ送られる任意の即値オペラン
ドであり、ブール命令の場合は、ブール・レジスタ・オ
ペランドの任意の2つである。〔表3〕と〔表4〕は、
本発明のアーキテクチャ100の好適実施例における論
理比較演算を示すものである。〔表5〕は本発明のアー
キテクチャ100の好適実施例における直接ブール演算
を示すものである。〔表2〕ー〔表5〕に示されている
命令条件コードと機能コードは対応する命令のセグメン
トを表している。また、命令はペアのソース・オペラン
ド・レジスタと、対応するブール演算結果をストアする
ための宛先ブール・レジスタを指定する。
The Boolean operation function unit 746 has a function of performing a wide range of Boolean operations on two source values. In the case of a compare instruction, the source value is a pair of operands from either the integer and floating point register set and any immediate operand sent to the IEU 104; Any two. [Table 3] and [Table 4]
4 illustrates a logical comparison operation in a preferred embodiment of the architecture 100 of the present invention. Table 5 illustrates direct Boolean operations in the preferred embodiment of the architecture 100 of the present invention. The instruction condition codes and function codes shown in [Table 2]-[Table 5] represent the corresponding instruction segments. The instruction also specifies a pair of source operand registers and a destination Boolean register for storing the corresponding Boolean result.

【0228】 〔表3〕 整数の比較 命令 条件* 記号 条件コード rs1はrs2より大 > 0000 rs1はrs2より大か ≧ 0001 等しい rs1はrs2より小 < 0010 rs1はrs2より小か ≦ 0011 等しい rs1はrg2と等しくない != 0100 rs1はrs2と等しい == 0101 予備 0110 無条件 1111 *rs = レジスタ・ソース 〔表4〕 浮動小数点の比較 命令 条件 記号 条件コード rs1はrs2より大 > 0000 rs1はrs2より大か等しい ≧ 0001 rs1はrs2より小 < 0010 rs1はrs2より小か等しい ≦ 0011 rs1はrs2と等しくない != 0100 rs1はrs2と等しい == 0101 無順序 ? 1000 無順序またはrs1はrs2より大 ?> 1001 無順序、rs1はrs2 より大か ?≧ 1010 等しい 無順序またはrs1はrs2より小 ?< 1011 無順序、rs1はrs2より小か ?≦ 1100 等しい 無順序またはrs1はrs2と等しい ?= 1101 予備 1110−1111 〔表5〕 ブール演算 命令 演算* 記号 条件コード 0 Zero 0000 bs1&bs2 AND 0001 bs1&−bs2 ANN2 0010 bs1 bs1 0011 −bs1&bs2 ANN1 0100 bs2 bs2 0101 bs1 bs2 XOR 0110 bs1 bs2 OR 0111 −bs1&−bs2 NOR 1000 −bs1 bs2 XNOR 1001 −bs2 NOT2 1010 bs1 −bs2 ORN2 1011 −bs1 NOT1 1100 −bs1 bs2 ORN1 1101 −bs1 −bs2 NAND 1110 1 ONE 1111 *bs = ブール・ソース・レジスタ。[Table 3] Comparison of Integer Instruction Condition * Symbol Condition Code rs1 is greater than rs2> 0000 rs1 is greater than rs2 ≧≧ 0001 equal rs1 is smaller than rs2 <0010 rs1 is smaller than rs2 ≦≦ 0011 rs1 is equal to Not equal to rg2! = 0100 rs1 is equal to rs2 == 0101 Reserved 0110 unconditional 1111 * rs = register source [Table 4] Floating point comparison Instruction Condition Symbol Condition code rs1 is greater than rs2> 0000 rs1 is greater than or equal to rs2 ≧ 0001 rs1 is smaller than rs2 <0010 rs1 is smaller than or equal to rs2 ≦ 0011 rs1 is not equal to rs2! = 0100 rs1 is equal to rs2 == 0101 unordered? 1000 Unordered or rs1 greater than rs2? > 1001 unordered, is rs1 greater than rs2? ≧ 1010 equal unordered or rs1 less than rs2? <1011 Unordered, is rs1 smaller than rs2? ≦ 1100 equal unordered or rs1 equals rs2? = 1101 Preliminary 1110-1111 Table 5] Boolean instruction operations * Symbol Conditions Code 0 Zero 0000 bs1 & bs2 AND 0001 bs1 & -bs2 ANN2 0010 bs1 bs1 0011 -bs1 & bs2 ANN1 0100 bs2 bs2 0101 bs1 bs2 XOR 0110 bs1 bs2 OR 0111 -bs1 & - bs2 NOR 1000 -bs1 bs2 XNOR 1001 -bs2 NOT2 1010 bs1 -bs2 ORN2 1011 -bs1 NOT1 1100 -bs1 bs2 ORN1 1101 -bs1 -bs2 NAND register 1101 * 1 ONE * 1 Boolean

【0229】B)ロード/ストア制御ユニット 図13はロード/ストア・ユニット760の例を示した
ものである。データ経路580、660に別々に示され
ているが、ロード/ストア・ユニット586、662は
1つの共用ロード/ストア・ユニット760として実現
するのが好ましい。それぞれのデータ経路580、66
0からのインタフェースはアドレス・バス762および
ロードとストア・データ・バス764(600、67
6)、766(632、702)を経由している。
B) Load / Store Control Unit FIG. 13 shows an example of the load / store unit 760. Although shown separately in data paths 580, 660, load / store units 586, 662 are preferably implemented as one shared load / store unit 760. Each data path 580, 66
Interfaces from address 0 include address bus 762 and load and store data bus 764 (600, 67).
6), 766 (632, 702).

【0230】ロード/ストア・ユニット760によって
使用されるアドレスは、IFU102およびIEU10
4の残り部分で使用される仮想アドレスとは対照的に、
物理アドレスである。IFU102は仮想アドレスで動
作し、CCU106とVMU108間の調整に依存して
物理アドレスを生成するのに対し、IEU104ではロ
ード/ストア・ユニット760を物理アドレス・モード
で直接に動作させる必要がある。この要件が必要になる
のは、順序外で実行されるために、物理アドレス・デー
タとストア・オペレーションがオーバラップするような
命令が存在する場合、およびCCU106からロード/
ストア・ユニット760への順序外のデータ・リターン
が存在する場合に、データ保全性を保つためである。デ
ータ保全性を保つために、ロード/ストア・ユニット7
60はストア命令がIEU104によって退避されるま
で、ストア命令から得たデータをバッファに置いてお
く。その結果、ロード/ストア・ユニット760によっ
てバッファに置かれたストア・デー夕はロード/ストア
・ユニット760に1つだけ存在することができる。実
行されたが退避されていないストア命令と同じ物理アド
レスを参照するロード命令は、ストア命令が実際に退避
されるまで実行が遅延される。その時点で、ストア・デ
ータをロード/ストア・ユニット760からCCU10
6へ転送し、CCUデータ・ロード・オペレーションの
実行によって即時にロード・バックすることができる。
The addresses used by load / store unit 760 are the IFU 102 and IEU 10
4, as opposed to the virtual addresses used in the rest of
It is a physical address. IFU 102 operates at virtual addresses and generates physical addresses depending on coordination between CCU 106 and VMU 108, whereas IEU 104 requires load / store unit 760 to operate directly in physical address mode. This requirement is necessary if there are instructions that are executed out of order, causing physical address data and store operations to overlap, and load / load from CCU 106.
This is to maintain data integrity when there is an out-of-order data return to the store unit 760. Load / store unit 7 to maintain data integrity
60 stores the data obtained from the store instruction in a buffer until the store instruction is saved by the IEU 104. As a result, only one store data stored in the buffer by the load / store unit 760 can exist in the load / store unit 760. The execution of a load instruction that refers to the same physical address as the executed but not saved store instruction is delayed until the store instruction is actually saved. At that point, the store data is loaded from the load / store unit 760 to the CCU 10
6 and can be immediately loaded back by performing a CCU data load operation.

【0231】具体的には、物理アドレス全体がVMU1
08からロード/ストア・アドレス・バス762上に送
出される。ロード・アドレスは、一般的には、ロード・
アドレス・レジスタ7680-3 にストアされる。ストア
・アドレスはストア・アドレス・レジスタ7703-0
ラッチされる。ロード/ストア制御ユニット774は命
令発行ユニット498から受信した制御信号を受けて動
作し、ロード・アドレスとストア・アドレスをレジスタ
7683-0 、7703-0 にラッチすることを調整する。
ロード/ストア制御ユニット774はロード・アドレス
をラッチするための制御信号を制御ライン778上に送
出し、ストア・アドレスをラッチするための制御信号を
制御ライン780上に送出する。ストア・データはスト
ア・データ・レジスタ・セット7823-0 の論理的に対
応するスロットにストア・アドレスをラッチするのと同
時にラッチされる。4x4x32ビット幅アドレス比較
ユニット772には、ロードおよびストア・アドレス・
レジスタ76833-0 、7703-0 に入っているアドレ
スの各々が同時に入力される。システム・クロックの各
半サイクル時の完全マトリックス・アドレス比較の実行
は、制御ライン776を介してロード/ストア制御ユニ
ット774によって制御される。ストア・アドレスに一
致するロード・アドレスの存在と論理ロケーションは、
制御ライン776を経由してロード/ストア制御ユニッ
ト774へ送られる。
Specifically, the entire physical address is VMU1
08 on the load / store address bus 762. The load address is generally
Stored in address register 768 0-3 . Store address is latched into store address registers 770 3-0. The load / store control unit 774 operates in response to the control signal received from the instruction issuing unit 498, and adjusts the latch of the load address and the store address into the registers 768 3-0 and 770 3-0 .
The load / store control unit 774 sends a control signal for latching a load address on a control line 778, and sends a control signal for latching a store address on a control line 780. Store data is latched at the same time that the store address is latched into the logically corresponding slot of the store data register set 782 3-0 . The 4x4x32 bit width address comparison unit 772 includes load and store address
Each of the addresses in the registers 7683 3-0 and 770 3-0 is input simultaneously. The execution of a full matrix address comparison during each half cycle of the system clock is controlled by load / store control unit 774 via control line 776. The presence and logical location of the load address that matches the store address is
It is sent to the load / store control unit 774 via the control line 776.

【0232】ロード・アドレスがVMU108から与え
られ、保留中のストアがない場合は、ロード・アドレス
は、CCUロード・オペレーションの開始と同時に、バ
ス762から直接にアドレス・セレクタ786ヘバイパ
スされる。しかし、ストア・データが保留されている場
合は、ロード・アドレスは使用可能なロード・アドレス
・ラッチ7680-3 にラッチされる。対応するストア・
データ命令が退避されるとの制御信号を退避制御ユニッ
ト500から受信すると、ロード/ストア制御ユニット
774はCCUデータ転送操作を開始し、制御ライン7
84を通してCCU106へのアクセスの仲裁を行う。
CCU106がレディ(ready)を通知すると、ロ
ード/ストア制御ユニット774はCCU物理アドレス
をCCUPADDRアドレス・バス788上に送出する
ようにセレクタ786に指示する。このアドレスはアド
レス・バス790を経由して対応するストア・レジスタ
7703-0 から得られる。対応するストア・データ・レ
ジスタ7823-0 からのデータはCCUデータ・バス7
92上に送出される。
If a load address is provided by the VMU 108 and there are no pending stores, the load address is bypassed directly from the bus 762 to the address selector 786 at the beginning of the CCU load operation. However, if store data is pending, the load address is latched into the available load address latches 768 0-3 . Corresponding store
Upon receiving a control signal from the save control unit 500 that the data instruction is saved, the load / store control unit 774 starts the CCU data transfer operation, and
Arbitrate access to CCU 106 through 84.
When the CCU 106 signals ready, the load / store control unit 774 instructs the selector 786 to send the CCU physical address on the CCUPADDR address bus 788. This address can be obtained from the store register 770 3-0 corresponding via the address bus 790. Data from the corresponding store data register 782 3-0 is transferred to the CCU data bus 7
92.

【0233】ロード命令が命令発行ユニット498から
出されると、ロード/ストア制御ユニット774はロー
ド・アドレス・ラッチ7683-0 の1つが要求されたロ
ード・アドレスをラッチすることを許可する。選択され
た特定のラッチ7680-3 は関係命令セット内のロード
命令の位置に論理的に対応している。命令発行ユニット
498は保留中の可能性のある2命令セットのどちらか
の中のロード命令を示している5ビット・ベクトルをロ
ード/ストア制御ユニット774へ渡す。コンパレータ
772が一致するストア・アドレスを示していない場合
は、ロード・アドレスはアドレス・バス794を経由し
てセレクタ786へ送られ、CCU PADDRアドレ
ス・バス788上に出力される。アドレスの提供は、ロ
ード/ストア制御ユニット774とCCU106間でや
りとりされるCCU要求とレディ制御信号に従って行わ
れる。実行ID値(ExID値)もロード/ストア制御
ユニット774によって準備されてCCU106に対し
て出され、CCU106がExID値を含む要求データ
をそのあとで返却するときロード要求を識別する。この
ID値は4ビット・ベクトルからなり、現ロード要求を
出したそれぞれのロード・アドレス・ラッチ7680-3
を固有ビットで指定している。5番目のビットはロード
命令を収めている命令セットを識別するために使用され
る。このID値は、従って、命令発行ユニット498か
らロード要求と一緒に送られるビット・ベクトルと同じ
である。
When a load instruction is issued from instruction issue unit 498, load / store control unit 774 allows one of load address latches 768 3-0 to latch the requested load address. The particular latch 768 0-3 selected logically corresponds to the location of the load instruction in the relevant instruction set. Instruction issue unit 498 passes to load / store control unit 774 a 5-bit vector indicating a load instruction in either of the two potentially pending instruction sets. If comparator 772 does not indicate a matching store address, the load address is sent to selector 786 via address bus 794 and output on CCU PADDR address bus 788. The provision of the address is performed according to a CCU request and a ready control signal exchanged between the load / store control unit 774 and the CCU 106. An execution ID value (ExID value) is also prepared by the load / store control unit 774 and issued to the CCU 106 to identify the load request when the CCU 106 subsequently returns request data containing the ExID value. This ID value consists of a 4-bit vector, and each load address latch 768 0-3 that issued the current load request.
Is specified by a unique bit. The fifth bit is used to identify the instruction set containing the load instruction. This ID value is therefore the same as the bit vector sent with the load request from the instruction issue unit 498.

【0234】先行する要求ロード・データが使用可能で
あることがCCU106からロード/ストア制御ユニッ
ト774へ通知されると、ロード/ストア制御ユニット
774は、位置合わせユニットがデータを受信し、それ
をロード・データ・バス764上に送出することを許可
する。位置合わせユニット798はロード・データを右
寄せする働きをする。
When the CCU 106 notifies the load / store control unit 774 that the preceding requested load data is available, the load / store control unit 774 receives the data from the alignment unit and loads it. -Allow sending on data bus 764. Alignment unit 798 serves to right justify the load data.

【0235】CCU106からデータが返却されると同
時に、ロード/ストア制御ユニット774はCCU10
6からExID値を受信する。他方、ロード/ストア制
御ユニット774はロード・データがロード・データ・
バス764上に送出されることを知らせる制御信号を命
令発行ユニット498へ送り、さらに、どのロード命令
に対してロード・データが返却されるのかを示したビッ
ト・ベクトルを返却する。
At the same time that the data is returned from the CCU 106, the load / store control unit 774
6 receives the ExID value. On the other hand, the load / store control unit 774 stores the load data in the load data
A control signal is sent to the instruction issuing unit 498 indicating that the load data is to be sent out on the bus 764, and further, a bit vector indicating which load instruction is to return the load data is returned.

【0236】C)IEU制御経路の詳細 再び図5を参照して、IEU制御経路のオペレーション
を、図14に示したタイミング図と関連づけて説明す
る。図14に示した命令の実行タイミングは本発明のオ
ペレーションを例示したもので、種々態様に変更可能で
あることは勿論である。
C) Details of the IEU Control Path Referring to FIG. 5 again, the operation of the IEU control path will be described with reference to the timing chart shown in FIG. The execution timing of the instruction shown in FIG. 14 is an example of the operation of the present invention, and it is needless to say that the execution timing can be changed to various modes.

【0237】図14のタイミング図はプロセッサ・シス
テム・クロック・サイクルP0-6 のシーケンスを示して
いる。各プロセッサ・サイクルは内部TサイクルT。か
ら始まる。本発明の好適実施例によるアーキテクチャ1
00では、各プロセッサ・サイクルは2つのTサイクル
からなっている。
The timing diagram of FIG. 14 shows the sequence of the processor system clock cycle P0-6 . Each processor cycle is an internal T cycle T. start from. Architecture 1 according to preferred embodiment of the present invention
At 00, each processor cycle consists of two T cycles.

【0238】プロセッサ・サイクル0のときは、IFU
102とVMU108は物理アドレスを生成するように
動作する。この物理アドレスはCCU106へ送られ、
命令用キャッシュ・アクセス・オペレーションが開始さ
れる。要求された命令セットが命令用キャッシュ132
にあると、命令セットはプロセッサ・サイクル1のほぼ
中間でIFU102へ戻される。そのあと、IFU10
2はプリフェッチ・ユニット260とIFIFO264
を経由する命令セットの転送を管理し、転送された命令
セットはまずIEU104へ実行のために渡される。
In processor cycle 0, IFU
102 and VMU 108 operate to generate physical addresses. This physical address is sent to the CCU 106,
An instruction cache access operation is initiated. The requested instruction set is the instruction cache 132
, The instruction set is returned to the IFU 102 approximately halfway through processor cycle 1. After that, IFU10
2 is a prefetch unit 260 and an IFIFO 264
, And the transferred instruction set is first passed to the IEU 104 for execution.

【0239】1)Eデコード・ユニットの詳細 Eデコード・ユニット490は全命令セットを並列に受
け取って、プロセッサ・サイクル1が完了する前にデコ
ードする。Eデコード・ユニット490は、好適アーキ
テクチャ100では、バス124を経由して受け取った
すべての有効命令を並列に直接デコードする機能を備え
た順列組合せ理論に基づくロジック・ブロックとして実
現されている。アーキテテクチヤ100によって認識さ
れる命令は、各タイプ別に、命令、レジスタ要件および
必要な資源の仕様と共に〔表6〕に示されてる。
1) Details of the E Decode Unit The E decode unit 490 receives the entire instruction set in parallel and decodes it before processor cycle 1 is completed. The E-decode unit 490 is implemented in the preferred architecture 100 as a logic block based on permutation combination theory with the ability to directly decode all valid instructions received via the bus 124 in parallel. The instructions recognized by the architecture 100 are listed in Table 6 for each type, along with instructions, register requirements and required resource specifications.

【0240】 〔表6〕 命令/仕様 命令 制御とオペランド情報* レジスタ間移動 論理/算術演算機能コード: 加算、減算、乗算、シフトその他の指定。 [Table 6] Instruction / Specification Instruction Control and operand information * Move between registers Logical / arithmetic operation function code: Addition, subtraction, multiplication, shift and other designations.

【0241】 宛先レジスタ PSRのみセット ソース・レジスタ1 ソース・レジスタ2または即値定数値 レジスタ・セットA/B選択 即値からレジスタヘ 宛先レジスタ 移動 即値整数または浮動小数点定数値 レジスタ・セットA/B 選択 ロード/ストア・レジスタ オペレーション機能コード: ロードまたはストアの指定、即値、ベースと 即値、またはベースとオフセットの使用 ソース/宛先レジスタ ベース・レジスタ インデックス・レジスタまた 即値定数値 レジスタ・セットA/B選択 即値コール 符号付き即値変位 制御フロー オペレーション機能コード: ブランチ・タイプとトリガ条件の指定 ベース・レジスタ インデックス・レジスタ、即値定数変位値、また はトラップ番号 レジスタ・セットA/B選択 特殊レジスタ移動 オペレーション機能コード: 特殊/整数レジスタとの間の移動の指定 特殊レジスタ・アドレス識別子ソース/宛先レジ スタ レジスタ・セットA/B選択 整数変換移動 オペレーション機能コード: 浮動小数点から整数への変換タイプの指定 ソース/宛先レジスタ レジスタ・セットトA/B選択 ブール関数 ブール関数コード:AND、ORなどの指定 宛先ブール・レジスタ ソース・レジスタ1 ソース・レジスタ2 レジスタ・セットA/B 選択 拡張プロシージャ ブロシージャ指定子: プロシージャ・ベース値からのアドレス・オ フセットの指定 オペレーション: 値をプロシージャ・ルーチンヘ渡す アトミック・プロシージャ プロシージャ指定子:アドレス値の指定 *−命令は、デコードされて命令を識別するフィールドのほかにこれらのフィー ルドを含んでいる。Destination register Set only PSR Source register 1 Source register 2 or immediate constant value Select register set A / B Select immediate to register destination register Move immediate integer or floating-point constant value Select register set A / B Load / Store • Register operation function code: Load or store specification, immediate, base and immediate, or use of base and offset Source / destination register Base register Index register or immediate constant value Register set A / B selection Immediate call Signed immediate Displacement control flow Operation function code: Specification of branch type and trigger condition Base register Index register, immediate constant displacement value, or trap number Register set A / B selection Special register move e Operation function code: Specification of transfer to / from special / integer register Special register / address identifier Source / destination register Register set A / B selection Integer conversion move Operation function code: Specification of conversion type from floating point to integer Source / destination register Register set A / B selection Boolean function Boolean function code: Specification of AND, OR, etc. Destination Boolean register Source register 1 Source register 2 Register set A / B selection Extended procedure Broker specifier: Procedure Specifying the address offset from the base value Operation: Pass the value to the procedure routine Atomic procedure Procedure specifier: Specifying the address value *-The instruction is decoded and identifies the instruction. These fields are included.

【0242】Eデコード・ユニット490は命令セット
の各命令を並列にデコードする。その結果の命令の識
別、命令機能、レジスタ参照および機能要件はEデコー
ド・ユニット490の出力から得られる。この情報は再
生成され、命令セット内のすべての命令が退避されるま
で、プロセッサ・サイクルの各半サイクル期間、Eデコ
ード・ユニット490によってラッチされる。従って、
保留状態の8命令すべてに関する情報が、Eデコード・
ユニット490の出力から絶えず得られるようになって
いる。この情報は、8エレメント・ビット・ベクトルの
形式で表示され、各ベクトルのビットまたはサブフィー
ルドは2つの保留中命令セット内の対応する命令の物理
ロケーションに論理的に対応している。従って、8個の
ベクトルが制御ライン502を経由してキャリー・チェ
ッカ492へ送られる。この場合、各ベクトルは、対応
する命令がプロセッサ状況ワードのキャリー・ビットに
作用を及ぼしているか、あるいはそれに依存しているか
を指定している。8個のベクトルが各命令の特定の内容
と機能ユニット要件を示すために制御ライン510を経
由して送られる。8個のベクトルが制御ライン506を
経由して送られ、8個の保留中命令の各々によって使用
されたレジスタ参照を指定している。これらのベクトル
はプロセッサ・サイクル1が終了する前に送られる。
E decode unit 490 decodes each instruction in the instruction set in parallel. The resulting instruction identification, instruction function, register references and functional requirements are available from the output of E-decode unit 490. This information is regenerated and latched by the E decode unit 490 during each half cycle of the processor cycle until all instructions in the instruction set have been evacuated. Therefore,
The information on all eight pending instructions is
It is continuously obtained from the output of the unit 490. This information is represented in the form of an 8-element bit vector, with the bits or subfields of each vector logically corresponding to the physical location of the corresponding instruction in the two pending instruction sets. Thus, eight vectors are sent to carry checker 492 via control line 502. In this case, each vector specifies whether the corresponding instruction affects or depends on the carry bit of the processor status word. Eight vectors are sent via control line 510 to indicate the specific content and functional unit requirements of each instruction. Eight vectors are sent via control line 506, specifying the register references used by each of the eight pending instructions. These vectors are sent before the end of processor cycle 1.

【0243】2)キャリー・チェッカ・ユニットの詳細 キャリー・チェッカ・ユニット492は図14に示すオ
ペレーションのデータ依存関係フェーズ期間の間に依存
関係検査ユニット494と並列に動作する。キャリー・
チェッカ・ユニット492は好適アーキテクチャ100
では順列組合せ理論に基づくロジックとして実現されて
いる。従って、キャリー・チェッカ・ユニット492に
よるオペレーションの各繰返し時に、命令がプロセッサ
状態レジスタのキヤリー・フラグを変更したかどうかに
ついて8個の命令すべてが考慮される。これが必要とさ
れるのは、その前の命令によって設定されたキャリー・
ビットの状況に依存する命令を順序外で実行することを
可能にするためである。制御ライン504上に送出され
た制御信号により、キャリー・チェッカ・ユニット49
2は、キャリー・フラグに対する先行命令の実行に依存
する特定の命令を識別することができる。
2) Carry Checker Unit Details Carry checker unit 492 operates in parallel with dependency check unit 494 during the data dependency phase of the operation shown in FIG. carry·
The checker unit 492 includes the preferred architecture 100
Is implemented as logic based on permutation combination theory. Thus, at each iteration of the operation by carry checker unit 492, all eight instructions are considered as to whether the instruction has changed the carry flag in the processor status register. This is required because the carry and
This is to allow instructions that depend on the status of the bits to be executed out of order. The control signal transmitted on the control line 504 causes the carry-checker unit 49
2 can identify a particular instruction that depends on the execution of the preceding instruction on the carry flag.

【0244】さらに、キャリー・チェッカ・ユニット4
92は8個の保留中命令の各々についてキャリー・ビッ
トの一時的コピーをもっている。キャリー・ビットを変
更していない命令については、キャリー・チェッカ・ユ
ニット492はプログラム命令ストリームの順序でキャ
リー・ビットを次の命令に伝える。従って、順序外で実
行され、キャリー・ビットを変更する命令を実行させる
ことが可能であり、さらに、その順序外で実行される命
令に依存する後続の命令も、キャリー・ビットを変更す
る命令のあとに置かれていても、実行することが可能で
ある。さらに、キャリー・ビットがキャリー・チェッカ
・ユニット492によって維持されているので、これら
の命令の退避以前に例外が起こったとき、キャリー・チ
ェッカ・ユニットは内部一時キャリー・ビット・レジス
タをクリアするだけでよいことから、順序外で実行する
ことが容易になる。その結果、プロセッサ状況レジスタ
は、順序外で実行される命令の実行による影響を受けな
い。キャリー・チェッカ・ユニット492が維持してい
る一時キャリー・ビット・レジスタは、順序外で実行さ
れる各命令が完了すると更新される。順序外で実行され
る命令が退避されると、プログラム命令ストリームの中
で最後に退避された命令に対応するキャリー・ビットは
プロセッサ状況レジスタのキャリー・ビット・ロケーシ
ョンヘ転送される。
Further, carry checker unit 4
92 has a temporary copy of the carry bit for each of the eight pending instructions. For instructions that have not changed the carry bit, carry checker unit 492 passes the carry bit to the next instruction in the order of the program instruction stream. Thus, it is possible to cause an instruction that is executed out of order to change the carry bit to be executed, and that subsequent instructions that depend on the instruction being executed out of order also execute instructions that change the carry bit. It can be executed even if it is placed after. In addition, because the carry bit is maintained by carry checker unit 492, the carry checker unit only needs to clear the internal temporary carry bit register when an exception occurs before these instructions are saved. The good news is that it is easy to run out of order. As a result, the processor status register is unaffected by the execution of instructions executed out of order. The temporary carry bit register maintained by carry checker unit 492 is updated as each instruction executed out of order is completed. When an instruction executed out of order is saved, the carry bit corresponding to the last saved instruction in the program instruction stream is transferred to the carry bit location of the processor status register.

【0245】3)データ依存関係チェッカ・ユニットの
詳細 データ依存関係チェッカ・ユニット494はEデコード
・ユニット490から制御ライン506を経由して8個
のレジスタ参照識別ベクトルを受け取る。各レジスタの
参照は32個のレジスタを一度に1つを識別するのに適
した5ビット値と、“A”、“B”またはブール・レジ
スタ・セット内に置かれているレジスタ・バンクを識別
する2ビット値によって示されている。浮動小数点レジ
スタ・セットは“B”レジスタ・セットとも呼ばれる。
各命令は最高3つまでのレジスタ参照フィールドをもつ
ことができる。2つのソース・レジスタ・フィールドと
1つの宛先レジスタ・フィールドである。ある種の命
令、特にレジスタ間移動命令は、宛先レジスタを指定し
ている場合があっても、Eデコード・ユニット490に
よって認識される命令ビット・フィールドは、実際に作
成される出力データがないことを意味している場合があ
る。むしろ、命令の実行は、プロセツサ状況レジスタの
値の変更を判断することだけを目的としている。
3) Details of the data dependency checker unit The data dependency checker unit 494 receives eight register reference identification vectors from the E decode unit 490 via the control line 506. Each register reference identifies a 5-bit value suitable for identifying one of the 32 registers at a time, and a register bank located within an "A", "B", or Boolean register set. Is indicated by a two-bit value. The floating point register set is also called "B" register set.
Each instruction can have up to three register reference fields. Two source register fields and one destination register field. Even though certain instructions, particularly inter-register move instructions, may specify a destination register, the instruction bit field recognized by E-decode unit 490 has no output data actually created. May mean. Rather, execution of the instruction is only intended to determine a change in the value of the processor status register.

【0246】データ依存関係チェッカ494も好適アー
キテクチャ100において純然たる組合せロジック(p
urecombinatorial logic)で実
現されているが、これはプログラム命令ストリーム内に
後に現れる命令のソース・レジスタ参照と相対的に前に
置かれた命令の宛先レジスタ参照との間の依存関係を同
時に判断するように動作する。ビット配列は、どの命令
が他の命令に依存するかを識別するだけでなく、各依存
関係がどのレジスタに基づいて生じたかを識別するデー
タ依存関係チェッカ494によって作られる。
The data dependency checker 494 is also a pure combinational logic (p
urecombinatorial logic, which simultaneously determines the dependencies between the source register reference of an instruction appearing later in the program instruction stream and the destination register reference of an earlier instruction. Works. The bit array is created by a data dependency checker 494 that identifies not only which instructions depend on other instructions, but also on which register each dependency originated.

【0247】キャリーとレジスタ・データの依存関係
は、第2プロセッサ・サイクルの開始直後に判別され
る。
The dependencies between carry and register data are determined immediately after the start of the second processor cycle.

【0248】4)レジスタ改名ユニットの詳細 レジスタ改名ユニット496は8個の保留中の命令すべ
てのレジスタ参照のIDを制御ライン506を経由し
て、レジスタ依存関係を制御ライン508を経由して受
け取る。8個のエレメントからのマトリックスも制御ラ
イン542を経由して受け取る。これらのエレメント
は、保留中命令の現セットの中でどの命令が実行された
か(完了したか)を示している。この情報から、レジス
タ改名ユニット496は制御信号の8エレメント配列を
制御ライン512を経由して命令発行ユニット498へ
送る。このようにして送られた制御情報は、現セットの
データ依存関係が判別された場合に、まだ実行されてい
ない現在保留中の命令のうちどの命令の実行が可能にな
ったかについてレジスタ改名ユニット496が行った判
断を反映している。レジスタ改名ユニット496は実行
のために同時に出される最高6個までの命令を識別した
選択制御信号をライン516を経由して受信する。つま
り、2個の整数命令、2個の浮動小数点命令および2個
のブール命令である。
4) Details of Register Renaming Unit The register renaming unit 496 receives the IDs of the register references of all eight pending instructions via the control line 506 and the register dependencies via the control line 508. Matrices from the eight elements are also received via control line 542. These elements indicate which instruction in the current set of pending instructions has been executed (completed). From this information, the register rename unit 496 sends an eight element array of control signals to the instruction issuing unit 498 via the control line 512. The control information sent in this manner provides a register renaming unit 496 that indicates which of the currently pending instructions that have not been executed can be executed when the data dependency of the current set is determined. Reflects the decisions made. Register renaming unit 496 receives via line 516 a select control signal identifying up to six instructions issued simultaneously for execution. That is, two integer instructions, two floating point instructions and two Boolean instructions.

【0249】レジスタ改名ユニット496はバス518
を経由してレジスタ・ファイル配列472へ送られた制
御信号を通して、識別された命令を実行する際にアクセ
スするソース・レジスタを選択するという、もう1つの
機能を備えている。順序外で実行される命令の宛先レジ
スタは対応するデータ経路の一時バッファ612、68
0、728に置かれているものとして選択される。順序
内で実行される命令は完了すると退避され、その結果デ
ータはレジスタ・ファイル614、684、732にス
トアされていく。ソース・レジスタの選択は、レジスタ
が以前に宛先として選択され、対応する以前の命令がま
だ退避されていないかどうかによって決まる。そのよう
な場合には、ソース・レジスタは対応する一時バッファ
612、680、728から選択される。以前の命令が
退避されていた場合は、対応するレジスタ・ファイル6
14、684、732のレジスタが選択される。その結
果、レジスタ改名ユニット496は、順序外で実行され
る命令の場合には、レジスタ・ファイル・レジスタの参
照を一時バッフア・レジスタの参照に実効的に置き換え
るように動作する。
The register renaming unit 496 is connected to the bus 518.
Through the control signal sent to the register file array 472 via the... To select the source register to be accessed when executing the identified instruction. The out-of-order executed instruction destination registers are stored in the corresponding data path temporary buffers 612, 68.
0,728. Instructions executed in sequence are saved upon completion, and the resulting data is stored in register files 614, 684, 732. The choice of source register depends on whether the register was previously selected as the destination and the corresponding previous instruction has not yet been saved. In such a case, the source register is selected from the corresponding temporary buffer 612, 680, 728. If the previous instruction was saved, the corresponding register file 6
14, 684 and 732 registers are selected. As a result, register rename unit 496 operates to effectively replace references to register file registers with references to temporary buffer registers in the case of instructions executed out of order.

【0250】アーキテクチャ100によれば、一時バッ
ファ612、680、728は対応するレジスタ・ファ
イル配列のレジスタ構造と重複していない。むしろ、8
個の保留命令の各々に対して1つの宛先レジスタ・スロ
ットが用意されている。その結果、一時バッファ宛先レ
ジスタ参照の置換は、保留レジスタ・セット内の対応す
る命令のロケーションによって判断される。そのあとの
ソース・レジスタ参照はソース依存関係が発生した命令
に対してデータ依存関係チェッカ494によって識別さ
れる。従って、一時バッファ・レジスタ内の宛先スロッ
トはレジスタ改名ユニット496によって容易に判断す
ることが可能である。
According to the architecture 100, the temporary buffers 612, 680, 728 do not overlap with the register structure of the corresponding register file array. Rather, 8
One destination register slot is provided for each of the pending instructions. As a result, the replacement of the temporary buffer destination register reference is determined by the location of the corresponding instruction in the pending register set. Subsequent source register references are identified by the data dependency checker 494 for the instruction in which the source dependency occurred. Accordingly, the destination slot in the temporary buffer register can be easily determined by the register renaming unit 496.

【0251】5)命令発行ユニットの詳細 命令発行ユニット498は発行できる命令のセットをレ
ジスタ改名ユニット496の出力とEデコード・ユニッ
ト490によって識別された命令の機能要件に基づいて
判断する。命令発行ユニット498は制御ライン514
を経由して報告された機能ユニット4780-n の各々の
状況に基づいてこの判断を行う。従って、命令発行ユニ
ット498は発行すべき使用可能な命令セットをレジス
タ改名ユニット496から受信すると、オペレーション
を開始する。各命令を実行するためにレジスタ・ファイ
ルヘのアクセスが必要であるとすると、命令発行ユニッ
ト498は現在命令を実行中の機能ユニット4980-n
が使用可能であることを予想する。レジスタ改名ユニッ
ト496へ発行すべき命令を判別する際の遅延を最小に
するために、命令発行ユニット498は専用の組合せロ
ジックで実現されている。
5) Details of Instruction Issuing Unit The instruction issuing unit 498 determines the set of instructions that can be issued based on the output of the register rename unit 496 and the functional requirements of the instruction identified by the E decode unit 490. The instruction issuing unit 498 controls the control line 514.
This determination is made based on the status of each of the functional units 478 0-n reported via. Accordingly, instruction issuance unit 498 begins operation upon receiving a usable instruction set to be issued from register renaming unit 496. Assuming that access to the register file is required to execute each instruction, instruction issuance unit 498 causes functional units 498 0-n that are currently executing the instruction to execute.
Expect to be usable. In order to minimize a delay in determining an instruction to be issued to the register renaming unit 496, the instruction issuing unit 498 is realized by a dedicated combination logic.

【0252】発行すべき命令を判別すると、レジスタ改
名ユニット496はレジスタ・ファイルヘのアクセスを
開始し、このアクセスは第3プロセッサ・サイクルP2
が終了するまで続けられる。プロセッサ・サイクルP3
が開始すると、命令発行ユニット498は、「Exec
ute 0」で示すように1つまたは2つ以上の機能ユ
ニット4780-n によるオペレーションを開始し、レジ
スタ・ファイル配列472から送られてきたソース・デ
ータを受信して処理する。
Upon determining the instruction to be issued, register rename unit 496 begins accessing the register file, which access is in the third processor cycle P 2
Is continued until it ends. Processor cycle P 3
Is started, the instruction issuing unit 498 outputs “Exec”
The operation by one or more functional units 478 0-n is started, as indicated by “ute 0”, and the source data sent from the register file array 472 is received and processed.

【0253】代表例として、アーキテクチャ100で処
理される大部分の命令は1プロセッサ・サイクルで機能
ユニットを通して実行される。しかし、一部の命令は、
「Execute 1」で示すように、同時に出された
命令を完了するのに複数のプロセッサ・サイクルを必要
とする。Execute 0命令とExecute1命
令は、例えば、それぞれALUと浮動小数点乗算機能ユ
ニットに実行させることができる。ALU機能ユニット
は、図14に示すように、1プロセッサ・サイクル内で
出力データを発生し、この出力データはラッチしておく
だけで、第5プロセッサ・サイクルP4 時に別の命令を
実行する際に使用することができる。浮動小数点乗算機
能ユニットは内部パイプライン化機能ユニットにするこ
とが好ましい。従って、次のプロセッサ・サイクルで別
の浮動小数点命令を出すことができる。しかし、最初の
命令の結果はデータに依存するプロセッサ・サイクル数
の間使用することができない。図14に示す命令は、機
能ユニットでの処理を完了するためには、3プロセッサ
・サイクルを必要とする。
Typically, most instructions processed in architecture 100 are executed through functional units in one processor cycle. However, some instructions
As shown by "Execute 1", multiple processor cycles are required to complete a simultaneously issued instruction. The Execute 0 instruction and the Execute 1 instruction can be executed by, for example, the ALU and the floating-point multiplication function unit, respectively. ALU functional unit, as shown in FIG. 14, 1 generates output data at the processor cycle, the output data just previously latched when executing another instruction during the fifth processor cycle P 4 Can be used for Preferably, the floating point multiplication function unit is an internal pipelined function unit. Thus, another floating point instruction can be issued in the next processor cycle. However, the result of the first instruction is not available for a data-dependent number of processor cycles. The instruction shown in FIG. 14 requires three processor cycles to complete processing in a functional unit.

【0254】各プロセッサ・サイクルの間に、命令発行
ユニット498の機能は繰り返される。その結果、現在
の保留中の命令セットの状況と機能ユニット4780-n
の全セットの使用可能状況は各プロセッサ・サイクルの
間に再評価される。従って、最適条件のとき、好適アー
キテクチャ100はプロセッサ・サイクルごとに最高6
個までの命令を実行することができる。しかし、代表的
な命令ミックスから得られる総平均実行命令数は、1プ
ロセッサ・サイクル当たり1.5個ないし2.0個であ
る。
During each processor cycle, the function of instruction issue unit 498 is repeated. As a result, the current pending instruction set status and functional units 478 0-n
Are reevaluated during each processor cycle. Thus, under optimal conditions, the preferred architecture 100 may have up to six per processor cycle.
Up to instructions can be executed. However, the total average number of executed instructions from a typical instruction mix is between 1.5 and 2.0 per processor cycle.

【0255】命令発行ユニット498の機能で最後に考
慮すべきことは、このユニットがトラップ条件の処理と
特定命令の実行に関与することである。トラップ条件を
発生するためには、まだ退避されていないすペての命令
をIEU104からクリアする必要がある。このような
事態は、算術演算エラーに応答して機能ユニット478
0-n のいずれからか、あるいは例えば、違法命令をデコ
ードしたときにEデコード・ユニット490から、外部
割込みを受信し、それが割込み要求/受信確認制御ライ
ン340を経由してIEU104へ中継されたのに応答
して、起こることがある。トラップ条件が発生したと
き、命令発行ユニット498は現在IEU104で保留
されているすべての非退避命令を中止または無効にする
ことを受け持つ。同時に退避できない命令はすべて無効
にされる。この結果は、プログラム命令ストリームを順
序内で実行する従来の方式に対して割込みを正確に発生
させるために不可欠である。IEU104がトラップ処
理プログラム・ルーチンの実行を開始する準備状態にな
ると、命令発行ユニット498は制御ライン340を経
由するリターン制御信号によって割込みの受信を確認す
る。また、従来の純然たる順序内ルーチンにおいてある
命令が実行される前に変更されたプロセッサ状態ビット
に基づいて、その命令に対する例外条件が認識される可
能性を防止するために、命令発行ユニット498はPS
Rを変更する可能性のあるすべての命令(特殊移動やト
ラップからのリターンなど)が厳格に順序内で実行され
るようにすることを受け持つ。
The last consideration in the function of the instruction issuing unit 498 is that it is involved in processing trap conditions and executing specific instructions. In order to generate a trap condition, it is necessary to clear from the IEU 104 all instructions that have not been saved yet. Such a situation may occur when the functional unit 478 responds to the arithmetic error.
An external interrupt was received from E-decode unit 490 from either 0-n or, for example, when an illegal instruction was decoded, which was relayed to IEU 104 via interrupt request / acknowledgement control line 340. May happen in response to When a trap condition occurs, instruction issue unit 498 is responsible for aborting or invalidating all non-evacuated instructions currently pending in IEU 104. All instructions that cannot be saved at the same time are invalidated. This result is essential for accurately generating interrupts over conventional schemes of executing the program instruction stream in order. When the IEU 104 is ready to begin execution of the trap processing program routine, the instruction issuing unit 498 acknowledges receipt of the interrupt by a return control signal via control line 340. Also, in order to prevent the possibility that an exception condition for an instruction will be recognized based on the changed processor state bits before the execution of the instruction in a conventional purely in-order routine, the instruction issue unit 498 will PS
Responsible for ensuring that all instructions that can change R (such as special moves and returns from traps) are executed in strict order.

【0256】プログラム制御の流れを変更するある種の
命令は、Iデコード・ユニット262によって判別され
ない。この種の命令には、サブルーチン・リターン、プ
ロシージャ命令からのリターン、トラップからのリター
ンがある。命令発行ユニット498は判別制御信号をI
EUリターン制御ライン350を経由してIFU102
へ送る。特殊レジスタ412のうち対応するものが選択
されて、コール命令の実行時、トラップの発生時または
プロシージャ命令の出現時に存在していたIF_PC実
行アドレスを出力する。
Certain instructions that alter the flow of program control are not identified by I-decode unit 262. Such instructions include subroutine return, procedure instruction return, and trap return. The instruction issuing unit 498 outputs the discrimination control signal to I
IFU 102 via EU return control line 350
Send to The corresponding one of the special registers 412 is selected, and outputs the IF_PC execution address existing at the time of execution of the call instruction, occurrence of the trap, or appearance of the procedure instruction.

【0257】6)完了制御ユニットの詳細 完了制御ユニット540は機能ユニット4780-n をモ
ニタして、現在のオペレーションの完了状況を調べる。
好適アーキテクチャ100では、完了制御ユニット54
0は各機能ユニットによるオペレーションの完了を予想
して、現在保留中の命令セットの中の各命令の実行状況
を示した完了ベクトルを、機能ユニット4780-n によ
る命令の実行完了よりも約半プロセッサ・サイクル前に
レジスタ改名ユニット496、バイパス制御ユニット5
20および退避制御ユニット500へ送る。これによ
り、命令発行ユニット498はレジスタ改名ユニット4
96を通して、実行を完了する機能ユニットを次の命令
発行サイクルに対して使用可能な資源として考慮するこ
とができる。バイパス制御ユニット520は、機能ユニ
ットから出力されたデータをバイパス・ユニット474
を通るようにバイパスする準備を行うことができる。最
後に、退避制御ユニット500は、機能ユニツト478
0-n からレジスタ・ファイル配列472ヘデータを転送
するのと同時に対応する命令を退避するように動作す
る。
6) Details of Completion Control Unit Completion control unit 540 monitors functional units 478 0-n to check the completion status of the current operation.
In the preferred architecture 100, the completion control unit 54
0 predicts the completion of the operation by each functional unit, and sets the completion vector indicating the execution status of each instruction in the currently pending instruction set to about half the completion of the instruction execution by the functional units 478 0-n. Register Renaming Unit 496, Bypass Control Unit 5 Before Processor Cycle
20 and the evacuation control unit 500. As a result, the instruction issuing unit 498 causes the register renaming unit 4
Through 96, functional units that complete execution can be considered as available resources for the next instruction issue cycle. The bypass control unit 520 converts the data output from the functional unit into a bypass unit 474.
Preparations can be made to bypass it. Finally, the evacuation control unit 500 includes the function unit 478.
At the same time as transferring data from 0-n to the register file array 472, the corresponding instruction is saved.

【0258】7)退避制御ユニットの詳細 完了制御ユニット540から送られた命令完了ベクトル
のほかに、退避制御ユニット500はEデコード・ユニ
ット490から出力された最も古い命令セットをモニタ
する。命令ストリーム順序の中の各命令に完了制御ユニ
ット540によって完了の印(マーク)がつけられる
と、退避制御ユニット500は、制御ライン534上に
送出された制御信号を通して、一時バッファ・スロット
からレジスタ・ファイル配列472内の対応する命令が
指定したファイル・レジスタ・ロケーションヘデータを
転送することを指示する。1つまたは複数の命令が同時
に退避されると、PC Inc/Size制御信号が制
御ライン344上に送出される。各プロセッサ・サイク
ルごとに最高4個までの命令を退避することが可能であ
る。命令セット全体が退避されると、IFIFO読取り
制御信号が制御ライン342上に送出されてIFIFO
264を前進させる。
7) Details of the Evacuation Control Unit In addition to the instruction completion vector sent from the completion control unit 540, the evacuation control unit 500 monitors the oldest instruction set output from the E decode unit 490. When each instruction in the instruction stream order is marked complete by the completion control unit 540, the evacuation control unit 500 causes the temporary buffer slot to register from the temporary buffer slot via a control signal sent on the control line 534. The corresponding instruction in file array 472 directs the transfer of data to the specified file register location. When one or more instructions are saved at the same time, a PC Inc / Size control signal is sent out on control line 344. Up to four instructions can be saved in each processor cycle. When the entire instruction set has been retired, an IFIFO read control signal is sent out on control line 342 to
264 is advanced.

【0259】8)制御フロー制御ユニットの詳細 制御フロー制御ユニット528は、現在の保留中命令セ
ット内の制御フロー命令が解決されたかどうか、さら
に、その結果ブランチが行われたかどうかを指定した情
報をIFU102に絶えず与えるように動作する。制御
フロー制御ユニット528は、Eデコード・ユニット4
90による制御フロー・ブランチ命令の識別情報を制御
ライン510を経由して取得する。現在のレジスタ依存
関係のセットは、制御ライン536を経由してデータ依
存関係チェッカ・ユニット494から制御フロー制御ユ
ニット528へ送られるので、制御フロー制御ユニット
528はブランチ命令の結果が依存関係に拘束されてい
るかどうか、あるいは判明しているかどうかを判断する
ことができる。レジスタ改名ユニット496からバス5
18を経由して送られたレジスタの参照は制御フロー制
御ユニット528によってモニタされ、ブランチ決定を
定義するブール・レジスタが判別される。従って、ブラ
ンチ決定は、制御フロー命令の順序外の実行以前でも判
断することが可能である。
8) Control Flow Control Unit Details The control flow control unit 528 provides information specifying whether the control flow instructions in the current pending instruction set have been resolved, and whether the resulting branch has taken place. It operates to constantly supply the IFU 102. The control flow control unit 528 includes the E decode unit 4
The identification information of the control flow branch instruction by 90 is obtained via the control line 510. The current set of register dependencies is sent from the data dependency checker unit 494 to the control flow control unit 528 via the control line 536, so that the control flow control unit 528 ties the result of the branch instruction to the dependency. Can be determined whether it is known or known. Register rename unit 496 to bus 5
Register references sent via 18 are monitored by control flow control unit 528 to determine the Boolean registers that define branch decisions. Therefore, the branch decision can be determined even before execution of the out-of-order control flow instruction.

【0260】制御フロー命令の実行と同時に、バイパス
・ユニット472は、制御フロー1と制御フロー2の制
御ライン750、752からなる制御ライン530を経
由して制御フローの結果を制御フロー制御ユニット52
8へ送るように指示される。最後に、制御フロー制御ユ
ニット528は各々が8ビットの2個のベクトルを制御
ライン348を経由してIFU102へ連続して送る。
これらのベクトルは、ベクトル内のビットに対応する論
理ロケーションに置かれた命令が解決されたか否か、お
よびその結果ブランチが行われたか否かを定義してい
る。
Simultaneously with the execution of the control flow instruction, the bypass unit 472 transmits the result of the control flow to the control flow control unit 52 via the control line 530 including the control lines 750 and 752 of the control flow 1 and the control flow 2.
8 is sent. Finally, the control flow control unit 528 continuously sends two vectors, each of 8 bits, to the IFU 102 via the control line 348.
These vectors define whether the instruction located at the logical location corresponding to the bit in the vector has been resolved, and whether a branch has taken place as a result.

【0261】好適アーキテクチャ100では、制御フロ
ー制御ユニット528は制御ユニット528への入力制
御信号を受けて連続的に動作する組合せロジックとして
実現されている。
In the preferred architecture 100, the control flow control unit 528 is implemented as a combinational logic that operates continuously upon receiving input control signals to the control unit 528.

【0262】9)バイパス制御ユニットの詳細 命令発行ユニット498はバイパス制御ユニット520
と緊密に協働して、レジスタ・ファイル配列472と機
能ユニット4780-n 間のデータのルーチング(経路指
定)を制御する。バイパス制御ユニット520は、図1
4に示すオペレーションのレジスタ・ファイル・アクセ
ス、出力およびストア・フェーズと関連して動作する。
レジスタ・ファイル・アクセスの間には、バイパス制御
ユニット520は命令の実行の出力フェーズの間に書き
込まれている途中にあるレジスタ・ファイル配列472
内の宛先レジスタのアクセスを、制御ライン522を通
して認識することができる。この場合、バイパス制御ユ
ニット520は、バイパスして機能ユニット配布バス4
80に返すように、機能ユニット出力バス482上に送
出されたデータを選択することを指示する。バイパス・
ユニット520に対する制御は、制御ライン542を通
して命令発行ユニット498によって行われる。
9) Details of the bypass control unit The instruction issuing unit 498 includes the bypass control unit 520.
In close cooperation with the control of the routing of data between the register file array 472 and the functional units 4780 -n . The bypass control unit 520 is configured as shown in FIG.
4 operates in conjunction with the register file access, output and store phases of the operation shown in FIG.
During a register file access, the bypass control unit 520 causes the register file array 472 to be written during the output phase of execution of the instruction.
The access of the destination register within can be recognized through control line 522. In this case, the bypass control unit 520 bypasses the functional unit distribution bus 4
Instructing to select the data sent on functional unit output bus 482 to return to 80. bypass·
Control over unit 520 is provided by instruction issuing unit 498 via control line 542.

【0263】IV.仮想メモリ制御ユニット VMU108のインタフェース定義は図15に示されて
いる。VMU108は主にVMU制御ロジック・ユニッ
ト800と内容アドレス(content addre
ssable)メモリ(CAM)802から構成されて
いる。VMU108の一般的機能は図16にブロック図
で示してある。同図において、仮想アドレスの表現は、
スペースID(sID〔31:28〕)、仮想ページ番
号(VADDR〔27:14〕)、ページ・オフセット
(PADDR〔13:4〕)、および要求ID(rID
〔3:0〕)に分割されている。物理アドレスを生成す
るためのアルゴリズムでは、スペースIDを使用して、
スペース・テーブル842内の16個のレジスタから1
つを選択するようになっている。選択したスペース・レ
ジスタの内容と仮想ページ番号とを組み合わせて、テー
ブル索引バッファ(TLB)844をアクセスするとき
のアドレスとして使用される。34ビット・アドレスは
内容アドレス・タグの働きをし、バッファ844内の対
応するバッファ・レジスタを指定するために使用され
る。タグに一致するものが見つかると、18ビット幅レ
ジスタ値が物理アドレス846の上位18ビットとして
得られる。ページ・オフセットと要求IDは物理アドレ
ス846の下位14ビットとして得られる。
IV. The interface definition of the virtual memory control unit VMU 108 is shown in FIG. The VMU 108 mainly includes a VMU control logic unit 800 and a content address (content address).
ssable) memory (CAM) 802. The general function of the VMU 108 is shown in a block diagram in FIG. In the figure, the expression of the virtual address is
Space ID (sID [31:28]), virtual page number (VADDR [27:14]), page offset (PADDR [13: 4]), and request ID (rID)
[3: 0]). The algorithm for generating the physical address uses the space ID,
1 from 16 registers in space table 842
To choose one. The contents of the selected space register and the virtual page number are combined and used as an address when accessing the table look-up buffer (TLB) 844. The 34-bit address serves as a content address tag and is used to specify the corresponding buffer register in buffer 844. If a match is found for the tag, the 18-bit wide register value is obtained as the upper 18 bits of the physical address 846. The page offset and request ID are obtained as the lower 14 bits of the physical address 846.

【0264】タグに一致するものがテーブル索引バッフ
ァ844に見つからないとVMU不一致が通知される。
この場合は、MAU112に維持されている完全ページ
・テーブル・データ構造をアクセスする従来のハッシュ
・アルゴリズム848を採用したVMU高速トラップ処
理ルーチンを実行させる必要がある。このページ・テー
ブル850はアーキテクチャ100によって現在使用中
のすべてのメモリ・ページのエントリを含んでいる。ハ
ッシュ・アルゴリズム848は、現在の仮想ページ変換
操作を満たすために必要なページ・テーブル・エントリ
を判別する。これらのページ・テーブル・エントリはM
AU112からレジスタ・セット“A”のトラップ・レ
ジスタヘロードされ、そのあと特殊レジスタ移動命令に
よってテーブル索引バッファ844へ転送される。例外
処理ルーチンから戻ると、VMU不一致例外を引き起こ
した命令はIEU104によって再実行される。仮想ア
ドレスから物理アドレスヘの変換操作は例外を引き起こ
さないで完了するはずである。
If no tag match is found in table index buffer 844, a VMU mismatch is reported.
In this case, it is necessary to execute a VMU fast trap processing routine employing the conventional hash algorithm 848 for accessing the complete page table data structure maintained in the MAU 112. This page table 850 contains entries for all memory pages currently being used by the architecture 100. Hash algorithm 848 determines the page table entries needed to satisfy the current virtual page translation operation. These page table entries are M
The data is loaded from the AU 112 to the trap register of the register set “A”, and then transferred to the table look-up buffer 844 by a special register move instruction. On return from the exception handling routine, the instruction that caused the VMU mismatch exception is re-executed by the IEU 104. The translation operation from virtual address to physical address should complete without raising an exception.

【0265】VMU制御ロジック800はIFU102
およびIEU104とのデュアル・インタフェースとな
る。準備信号は制御ライン822を経由してIEU10
4へ送られ、VMU108がアドレス変換のために使用
可能であることを通知する。好適実施例では、VMU1
08は常にIFU102の変換要求を受け付ける準備状
態にある。IFU102およびIEU104は共に、制
御ライン328および804を経由して要求を提示する
ことができる。好適アーキテクチャ100では、IFU
は優先してVMU108をアクセスすることができる。
その結果、ビジー(使用中)制御ライン820は1つだ
けがIEU104に出力される。
[0265] The VMU control logic 800
And a dual interface with the IEU 104. The preparation signal is transmitted via the control line 822 to the IEU10
4 to inform that the VMU 108 is available for address translation. In the preferred embodiment, VMU1
08 is always ready to accept a conversion request from the IFU 102. IFU 102 and IEU 104 can both submit requests via control lines 328 and 804. In the preferred architecture 100, the IFU
Can access the VMU 108 with priority.
As a result, only one busy (busy) control line 820 is output to the IEU 104.

【0266】IFU102およびIEU104は共に、
スペースIDと仮想ページ番号フィールドを、それぞ
れ、制御ライン326および808を経由してVMU制
御ロジック800へ送る。さらに、IEU104は読み
書き制御信号を制御ライン806で出力する。この制御
信号は、参照された仮想メモリのメモリ・アクセス保護
属性を変更するために、そのアドレスをロード・オペレ
ーションに使用すべきか、ストア・オペレーションに使
用すべきを必要に応じて定義している。仮想アドレスの
スペースIDと仮想ページ・フィールドはCAMユニッ
ト802に渡されて、実際の変換操作が行われる。ペー
ジ・オフセットとExIDフィールドは最終的にIEU
104から直接にCCU106へ送られる。物理ページ
と要求IDフィールドはアドレス・ライン836を経由
してCAMユニット802へ送られる。テーブル索引バ
ッファに一致するものが見つかると、ヒット・ラインと
制御出力ライン830を経由してVMU制御ロジック・
ユニット800に通知される。その結果の18ビット長
の物理アドレスはアドレス出力ライン824上に出力さ
れる。
Both IFU 102 and IEU 104
The space ID and virtual page number fields are sent to VMU control logic 800 via control lines 326 and 808, respectively. Further, the IEU 104 outputs a read / write control signal on a control line 806. This control signal defines, as necessary, whether the address should be used for a load operation or a store operation to change the memory access protection attribute of the referenced virtual memory. The space ID of the virtual address and the virtual page field are passed to the CAM unit 802, where the actual conversion operation is performed. The page offset and ExID fields will eventually be
Sent directly from the 104 to the CCU 106. The physical page and request ID fields are sent to CAM unit 802 via address line 836. When a match is found in the table look-up buffer, the VMU control logic
The unit 800 is notified. The resulting 18-bit physical address is output on address output line 824.

【0267】VMU制御ロジック・ユニット800は、
ライン830からヒットおよび制御出力制御信号を受け
ると、仮想メモリ不一致と仮想メモリ例外制御信号をラ
イン334、332上に出力する。仮想メモリ変換不一
致とは、テーブル索引バッファ844内のページ・テー
ブルIDと一致しなかったことを意味する。その他の変
換エラーはすべて仮想メモリ例外として報告される。
The VMU control logic unit 800
Upon receiving a hit and control output control signal from line 830, it outputs a virtual memory mismatch and a virtual memory exception control signal on lines 334,332. The virtual memory conversion mismatch means that the page table ID in the table index buffer 844 does not match. All other translation errors are reported as virtual memory exceptions.

【0268】最後に、CAMユニット802内のデータ
・テーブルは特殊レジスタ間移動命令をIEU104が
実行することによって変更することができる。読み書
き、レジスタ選択、リセット、ロードおよびクリア制御
信号はIEU104から制御ライン810、812、8
14、816、818を経由して出力される。CAMユ
ニット・レジスタに書くべきデータは特殊アドレス・デ
ータ・バス354に接続されたアドレス・バス808を
経由してIEU104からVMU制御ロジック・ユニッ
ト800によって受信される。このデータは初期設定、
レジスタ選択、および読み書き制御信号を制御する制御
信号と同時にバス836を経由してCAMユニット80
2へ転送される。その結果、CAMユニット802内の
データ・レジスタは、より高レベルのオペレーティング
・システムで定義されているコンテキスト・スイッチを
処理するとき必要になるストアのための読出しを含め
て、アーキテクチャ100の動的オペレーションの間に
必要に応じて即座に書き出すことができる。
Finally, the data table in the CAM unit 802 can be changed by executing a special register move instruction by the IEU 104. Read / write, register select, reset, load and clear control signals are sent from IEU 104 to control lines 810, 812, 8
14, 816, and 818. Data to be written to the CAM unit registers is received by the VMU control logic unit 800 from the IEU 104 via an address bus 808 connected to the special address data bus 354. This data is the default setting,
At the same time as the control signal for controlling the register selection and the read / write control signal, the CAM unit 80 via the bus 836
2 As a result, the data registers in the CAM unit 802 are dynamically operated by the architecture 100, including reads for stores required when processing context switches defined in higher level operating systems. Can be exported immediately if needed.

【0269】V.キャッシュ制御ユニット CCU106のデータ・インタフェースに対する制御は
図17に示されている。この場合も、IFU102とI
EU104用に別々のインタフェースが設けられてい
る。さらに、論理的に別個のインタフェースがCCU1
06に用意され、命令とデータ転送のためにMCU11
0と結ばれている。
V. The control over the data interface of the cache control unit CCU 106 is shown in FIG. Again, IFU 102 and I
A separate interface is provided for EU 104. In addition, a logically separate interface is
MCU11 for command and data transfer
Connected to 0.

【0270】IFUインタフェースはアドレス・ライン
324上に送出される物理ページ・アドレス、アドレス
・ライン824上に送出されるVMU変換ページ・アド
レス、および制御ライン294、296上を別々に転送
される要求IDからなっている。単方向データ転送バス
114は命令セット全体をIFU102と並列に転送す
るためのものである。最後に、読取り/使用中および準
備制御信号は制御ライン298、300、302を経由
してCCU106へ送られる。
The IFU interface includes a physical page address sent on address line 324, a VMU translated page address sent on address line 824, and a request ID transferred separately on control lines 294 and 296. Consists of The unidirectional data transfer bus 114 is for transferring the entire instruction set in parallel with the IFU 102. Finally, the read / busy and ready control signals are sent to CCU 106 via control lines 298, 300, 302.

【0271】同様に、物理アドレス全体は物理アドレス
・バス788を経由してIEU102へ送られる。要求
ExIDは制御ライン796を経由してIEU104の
ロード/ストア・ユニットとの間で別々に受渡される。
80ビット幅単方向データ・バスはCCU106からI
EU104に出力される。しかし、アーキテクチャ10
0の好適実施例では、下位の64ビットだけがIEU1
04によって使用される。全80ビット・データ転送バ
スをCCU106内で使用できるようにし、かつサポー
トしているのは、本アーキテクチャ100の引き続いて
の実行をサポートするためであり、浮動小数点データ経
路660を変更することによって、IEEE標準754
に準拠する浮動小数点のオペレーションをサポートす
る。
Similarly, the entire physical address is sent to IEU 102 via physical address bus 788. The request ExID is passed separately to and from the load / store unit of the IEU 104 via the control line 796.
The 80-bit wide unidirectional data bus is
Output to the EU 104. However, architecture 10
0, only the lower 64 bits are IEU1
Used by 04. The full 80-bit data transfer bus is made available and supported in the CCU 106 to support subsequent execution of the architecture 100 and by changing the floating point data path 660, IEEE Standard 754
Supports floating-point operations that conform to.

【0272】IEU制御インタフェースは要求、使用
中、準備、読み書きを通して、および制御信号784を
通して確立され、実質的には、IFU102によって使
用される対応する制御信号と同じである。例外は、ロー
ド・オペレーションとストア・オペレーションを区別す
るための読み書き制御信号が設けられていることであ
る。幅制御信号はIEU104による各CCU106へ
のアクセス時に転送されるバイト数を指定している。こ
れに対して、命令用キャッシュ132のすべてのアクセ
スは固定した128ビット幅データ・フェッチ・オペレ
ーションになっている。
The IEU control interface is established through request, busy, prepare, read / write, and through control signal 784, and is substantially the same as the corresponding control signal used by IFU 102. The exception is that a read / write control signal is provided to distinguish between a load operation and a store operation. The width control signal specifies the number of bytes to be transferred when the IEU 104 accesses each CCU 106. In contrast, all accesses to the instruction cache 132 are fixed 128-bit wide data fetch operations.

【0273】CCU106は命令用キャッシュ132と
データ用キャッシュ134に対して従来とほぼ同じキャ
ッシュ制御機能を備えている。好適アーキテクチャ10
0では、命令用キャッシュ132は256個の128ビ
ット幅命令セットをストアする機能を備えた高速メモリ
になっている。データ用キャッシュ134は1024個
の32ビット幅ワードのデータをストアする機能を備え
ている。命令用キャッシュ132とデータ用キャッシュ
134の内容から即時に満足できない命令要求とデータ
要求はMCU110に渡される。命令用キャッシュがミ
スした場合は、28ビット幅物理アドレスがアドレス・
バス860を経由してMCU110に渡される。要求I
DおよびCCU106とMCU110のオペレーション
を調整するための追加制御信号は制御ライン862上に
送出される。MCU110がMAU112の必要な読取
りアクセスを調整すると、2つの連続する64ビット幅
データ転送が直接にMAU112から命令用キャッシュ
132へ行われる。2つの転送が必要になるのは、デー
タ・バス136が好適アーチキチャ100では、64ビ
ット幅バスになっているためである。要求したデータが
MCU110を通して返却されると、要求オペレーショ
ンの保留中に維持されていた要求IDも制御ライン86
2を経由してCCU106へ返却される。
The CCU 106 has almost the same cache control function as the conventional one for the instruction cache 132 and the data cache 134. Preferred Architecture 10
At 0, the instruction cache 132 is a high-speed memory having the function of storing 256 128-bit instruction sets. The data cache 134 has a function of storing 1024 32-bit words of data. Instruction requests and data requests that cannot be immediately satisfied from the contents of the instruction cache 132 and the data cache 134 are passed to the MCU 110. If the instruction cache misses, the 28-bit wide physical address is
It is passed to the MCU 110 via the bus 860. Request I
D and additional control signals for coordinating the operation of CCU 106 and MCU 110 are provided on control line 862. When the MCU 110 coordinates the required read access of the MAU 112, two consecutive 64-bit wide data transfers are made directly from the MAU 112 to the instruction cache 132. Two transfers are required because the data bus 136 is a 64-bit wide bus in the preferred architecture 100. When the requested data is returned through the MCU 110, the request ID maintained during the pending request operation is also returned to the control line 86.
2 and is returned to the CCU 106.

【0274】データ用キャッシュ134とMCU110
との間のデータ転送オペレーションは、命令用キャッシ
ュの転送オペレーションとほぼ同じである。データ・ロ
ードとストア・オペレーションは単一バイトを参照でき
るので、全32ビット幅の物理アドレスがアドレス・バ
ス864を経由してMCU110へ送られる。インタフ
ェース制御信号と要求ExIDは制御ライン866を経
由して転送される。双方向の64ビット幅データ転送は
データ用キャッシュ・バス138を経由して行われる。
Data Cache 134 and MCU 110
The data transfer operation between the two is almost the same as the transfer operation of the instruction cache. Since data load and store operations can reference a single byte, the full 32-bit wide physical address is sent to MCU 110 via address bus 864. The interface control signal and the request ExID are transferred via the control line 866. The bidirectional 64-bit data transfer is performed via the data cache bus 138.

【0275】VI.要約および結論 高性能RISCをベースとしたマイクロプロセッサ・ア
ーキテクチャは以上に説明したとおりである。本発明の
アーキテクチャによれば、命令を順序外に実行すること
ができ、メインとターゲット命令ストリームのプリフェ
ッチ命令転送経路を別々に設け、およびプロシージャ命
令認識と専用プリフェッチ経路を設けることができる。
命令実行ユニットは最適化されているので、最適化され
た複数のデータ処理経路で整数、浮動小数点およびブー
ル演算をサポートすることができ、またそれぞれの一時
レジスタ・ファイルが設けられているので、容易に設定
されるマシン状態の状況を正確に維持しながら、順序外
の実行と命令取消しを容易に行うことができる。
VI. SUMMARY AND CONCLUSION The high performance RISC based microprocessor architecture has been described above. The architecture of the present invention allows instructions to be executed out of order, provides separate prefetch instruction transfer paths for the main and target instruction streams, and provides procedural instruction recognition and dedicated prefetch paths.
Optimized instruction execution unit allows multiple optimized data processing paths to support integer, floating point and Boolean operations, and has a temporary register file for each Out-of-order execution and instruction cancellation can be easily performed while accurately maintaining the state of the machine state set to.

【0276】従って、上述した説明では、本発明の好適
実施例を開示しているが、当業者にとって本発明の範囲
内で種々変更および改良することが可能であることは勿
論である。
Therefore, although the above description discloses the preferred embodiment of the present invention, it is obvious that those skilled in the art can make various changes and improvements within the scope of the present invention.

【図面の簡単な説明】[Brief description of the drawings]

【図1】 本発明を実施する好適実施例のマイクロプロ
セッサ・アーキテクチャを示す簡略ブロック図である。
FIG. 1 is a simplified block diagram illustrating a microprocessor architecture of a preferred embodiment embodying the present invention.

【図2】 本発明に従って構築された命令フェッチ・ユ
ニットを示す詳細ブロック図である。
FIG. 2 is a detailed block diagram illustrating an instruction fetch unit constructed in accordance with the present invention.

【図3】 本発明に従って構築されたプログラム・カウ
ンタ・ロジック・ユニットを示すブロック図である。
FIG. 3 is a block diagram illustrating a program counter logic unit constructed in accordance with the present invention.

【図4】 プログラム・カウンタ・データと制御経路ロ
ジックを示す別の詳細ブロック図である。
FIG. 4 is another detailed block diagram illustrating program counter data and control path logic.

【図5】 本発明の命令実行ユニットを示す簡略ブロッ
ク図である。
FIG. 5 is a simplified block diagram showing an instruction execution unit of the present invention.

【図6】 (A)は本発明の好適実施例において使用さ
れているレジスタ・ファイル・アーキテクチャを示す簡
略ブロック図、(B)は本発明の好適実施例において使
用されている一時バッファ・レジスタ・ファイルの記憶
レジスタ形式を図形で示す図、(C)は本発明の命令F
IFOユニットの最後の2ステージに存在しているとき
の1次および2次命令セットを図形で示す図である。
FIG. 6A is a simplified block diagram illustrating the register file architecture used in the preferred embodiment of the present invention, and FIG. 6B is a temporary buffer register used in the preferred embodiment of the present invention. FIG. 3C is a diagram showing the format of a file storage register, and FIG.
FIG. 7 is a diagram showing the primary and secondary instruction sets in the last two stages of the IFO unit in graphic form.

【図7】 本発明の好適実施例に従って設けられた1次
整数レジスタ・セットの再構成可能ステート(状態)を
図形で示す図である。
FIG. 7 graphically illustrates a reconfigurable state of a primary integer register set provided in accordance with a preferred embodiment of the present invention.

【図8】 本発明の好適実施例に従って設けられた再構
成可能浮動小数点および2次整数レジスタ・セットを図
形で示す図である。
FIG. 8 graphically illustrates a reconfigurable floating point and secondary integer register set provided in accordance with a preferred embodiment of the present invention.

【図9】 本発明の好適実施例において設けられた3次
ブール・レジスタ・セットを図形で示す図である。
FIG. 9 graphically illustrates a tertiary Boolean register set provided in a preferred embodiment of the present invention.

【図10】 本発明の好適実施例に従って構成された命
令実行ユニットの1次整数処理データ経路部分を示す詳
細ブロック図である。
FIG. 10 is a detailed block diagram illustrating a primary integer processing data path portion of an instruction execution unit configured in accordance with a preferred embodiment of the present invention.

【図11】 本発明の好適実施例に従って構成された命
令実行ユニットの1次浮動小数点データ経路部分を示す
詳細ブロック図である。
FIG. 11 is a detailed block diagram illustrating a primary floating point data path portion of an instruction execution unit constructed in accordance with a preferred embodiment of the present invention.

【図12】 本発明の好適実施例に従って構成された命
令実行ユニットのブール演算データ経鈷部分を示す詳細
ブロック図である。
FIG. 12 is a detailed block diagram illustrating a Boolean operation data transmission portion of an instruction execution unit configured according to a preferred embodiment of the present invention.

【図13】 本発明の好適実施例に従って構成されたロ
ード/ストア・ユニットを示す詳細ブロック図である。
FIG. 13 is a detailed block diagram illustrating a load / store unit configured in accordance with a preferred embodiment of the present invention.

【図14】 本発明に従って複数の命令を実行する際
の、本発明の好適実施例の好ましいオペレーション・シ
ーケンスを示すタイミング図である。
FIG. 14 is a timing diagram illustrating a preferred operation sequence of a preferred embodiment of the present invention when executing instructions in accordance with the present invention.

【図15】 本究明の好適実施例に従って構成された仮
想メモリ制御ユニットを示す簡略ブロック図である。
FIG. 15 is a simplified block diagram illustrating a virtual memory control unit configured in accordance with a preferred embodiment of the present invention.

【図16】 本発明の好適実施例で使用される仮想メモ
リ制御アルゴリズムを図形で示す図である。
FIG. 16 graphically illustrates a virtual memory control algorithm used in a preferred embodiment of the present invention.

【図17】 本発明の好適実施例で使用されるキャッシ
ュ制御ユニットを示す簡略ブロック図である。
FIG. 17 is a simplified block diagram illustrating a cache control unit used in a preferred embodiment of the present invention.

【符号の説明】[Explanation of symbols]

100…アーキテクチャ、102…命令フェッチ・ユニ
ット(IFU)、104…命令実行ユニット(IE
U)、106…キャッシュ制御ユニット(CUU)、1
08…仮想メモリ・ユニット(VMU)、112…メモ
リ・アレイ・ユニット(MAU)
100 architecture, 102 instruction fetch unit (IFU), 104 instruction execution unit (IE)
U), 106: Cache control unit (CUU), 1
08: virtual memory unit (VMU), 112: memory array unit (MAU)

───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) G06F 9/46 310 G06F 9/46 310H (72)発明者 ミヤヤマ, ヨシユキ アメリカ合衆国 95050 カリフォルニア 州 サンタ クララ ランチョ マコーミ ック ブールバード 2171 (72)発明者 ガルク, サンジブ アメリカ合衆国 94539 カリフォルニア 州 フリーモント センティネル ドライ ブ 46820 (72)発明者 ハギワラ, ヤスアキ アメリカ合衆国 95050 カリフォルニア 州 サンタ クララ モンロー ストリー ト 2250 アパート 274 (72)発明者 ワン, ジョハネス アメリカ合衆国 94062 カリフォルニア 州 レッドウッド シティ キング スト リート 25 (72)発明者 トラン, クワン エイチ. アメリカ合衆国 95130 カリフォルニア 州 サン ノゼ メイフィールド アヴェ ニュー 2045──────────────────────────────────────────────────続 き Continued on the front page (51) Int.Cl. 7 Identification symbol FI Theme coat ゛ (Reference) G06F 9/46 310 G06F 9/46 310H (72) Inventor Miyayama, Yoshiyuki United States 95050 Santa Clara Rancho Macomi, California California Boulevard 2171 (72) Inventor Garc, Sanjib United States 94539 Fremont Sentinel Drive, California 46820 (72) Inventor Hagiwara, Yasaki United States 95050 Santa Clara Monroe Street, California 2250 Apartment 274 (72) Inventor One, Johannes United States 94062 Redwood City, California King Street 25 (72) Inventor Trang, Kwan H. USA 95130 San Jose, Mayfield Avenue, California 2045

Claims (11)

【特許請求の範囲】[Claims] 【請求項1】 マイクロプロセッサにおいてトラップを
処理するトラップ処理方法であって、メイン・バッファ
部分と、ターゲット・バッファ部分と、プロシージャ・
バッファ部分のグループを有する複数のプリフェッチ・
バッファの任意の1つへ、前記マイクロプロセッサによ
り後続の実行のため、命令ストリームから命令をプリフ
ェッチするステップと、実行時間中に実行するため、プ
リフェッチした命令を前記バッファ部分の1つからプリ
フェッチ・バッファ出力バスへ転送するステップと、前
記プリフェッチ命令の所定の1つのための実行時間であ
る所定実行時間の前に、前記命令の前記所定の1つが第
1クラスの同期例外のいずれかを含んでいたかどうかを
検出するステップと、前記命令の前記所定の1つが同期
例外を含んでいたときは、前記所定の実行時間中に例外
ハンドラを呼出すステップとを有することを特徴とする
トラップ処理方法。
1. A trap processing method for processing traps in a microprocessor, comprising: a main buffer portion, a target buffer portion, and a procedure buffer.
Multiple prefetches with groups of buffer parts
Prefetching instructions from an instruction stream into any one of the buffers for subsequent execution by the microprocessor; and prefetching the prefetched instructions from one of the buffer portions for execution during execution time. Prior to a step of transferring to an output bus and a predetermined execution time being an execution time for a predetermined one of the prefetch instructions, the predetermined one of the instructions included any of a first class of synchronous exceptions. Detecting whether or not the instruction includes a synchronous exception, and invoking an exception handler during the predetermined execution time if the predetermined one of the instructions includes a synchronous exception.
【請求項2】 前記プリフェッチするステップは、一時
に複数の命令をプリフェッチするステップを有すること
を特徴とする請求項1記載のトラップ処理方法。
2. The trap processing method according to claim 1, wherein said prefetching step includes a step of prefetching a plurality of instructions at a time.
【請求項3】 前記第1クラスの例外は、前記プリフェ
ッチするステップ中に発生する障害であることを特徴と
する請求項1記載のトラップ処理方法。
3. The trap processing method according to claim 1, wherein the first class exception is a fault that occurs during the step of prefetching.
【請求項4】 さらに、前記所定実行時間中に、前記命
令の前記所定の1つが、実行クラスの同期例外のいずれ
かを含んでいたかどうかを検出するステップを有するこ
とを特徴とする請求項1記載のトラップ処理方法。
4. The method according to claim 1, further comprising the step of detecting whether said predetermined one of said instructions includes any of an execution class synchronization exception during said predetermined execution time. 2. The trap processing method according to 1.
【請求項5】 さらに、前記ストリーム中でのその順序
に関して、順序に従わずに命令を実行するステップを有
することを特徴とする請求項1記載のトラップ処理方
法。
5. The trap processing method according to claim 1, further comprising the step of executing an instruction out of order in the order in the stream.
【請求項6】 さらに、前記実行時間中、ならびに後続
の実行時間中に複数の命令を実行するステップを有する
ことを特徴とする請求項1記載のトラップ処理方法。
6. The trap processing method according to claim 1, further comprising the step of executing a plurality of instructions during said execution time and during a subsequent execution time.
【請求項7】 前記マイクロプロセッサが単一の実行時
間中に、一連の命令から複数の命令を実行することがで
き、さらに、前記所定実行時間中に実行するため所定の
複数の命令のスケジュールを設定するステップと、同期
例外を含んでいた前記所定の複数の命令中の、順序から
見て最初の命令として、前記命令の前記所定の1つを決
定するステップとを有することを特徴とする請求項1記
載のトラップ処理方法。
7. The microprocessor can execute a plurality of instructions from a series of instructions during a single execution time, and further schedules a plurality of predetermined instructions for execution during the predetermined execution time. Setting, and determining the predetermined one of the instructions as the first instruction from the order among the plurality of instructions including a synchronization exception. Item 7. The trap processing method according to Item 1.
【請求項8】 さらに、前記所定実行時間中および前記
決定ステップの前に、前記所定の複数の命令の中の各命
令が実行クラスの例外を含んでいたかどうかを検出する
ステップを有することを特徴とする請求項7記載のトラ
ップ処理方法。
8. The method according to claim 8, further comprising the step of detecting whether each of the plurality of instructions includes an exception of an execution class during the predetermined execution time and before the determining step. 8. The trap processing method according to claim 7, wherein:
【請求項9】 前記実行クラスの例外は、前記所定実行
時間中に少なくとも1プロセッサ状態ビットの状態に依
存する第2例外タイプを有し、前記マイクロプロセッサ
が前記命令の順序に関して、順序に従わずに命令を実行
することができ、さらに、前記命令の順序に関して、同
じ順序で実行するために、前記プロセッサ状態ビットを
変更することのできるすべての命令のスケジュール設定
ステップを有することを特徴とする請求項8記載のトラ
ップ処理方法。
9. The execution class exception has a second exception type that depends on a state of at least one processor status bit during the predetermined execution time, wherein the microprocessor does not follow the instruction order. Further comprising the step of scheduling all instructions capable of changing the processor state bits to execute in the same order with respect to the order of the instructions. Item 10. The trap processing method according to Item 8.
【請求項10】 前記実行ステップは、さらに、実行の
ためスケジュールが設定された複数の命令を暫定的に実
行し、前記暫定的実行の結果を一時レジスタに記憶する
ステップと、命令の棄却時に、結果を前記一時レジスタ
から永続的レジスタにコピーするステップとを有し、さ
らに、順序から見て前記所定の命令の前にある前記所定
の複数の命令中のすべての命令を棄却するステップと、
前記順序から見て最初の命令に続く前記所定の複数の命
令中のすべての命令を取消すステップとを有することを
特徴とする請求項7記載のトラップ処理方法。
10. The execution step further includes: temporarily executing a plurality of instructions scheduled for execution, and storing a result of the temporary execution in a temporary register; Copying the result from the temporary register to a permanent register, further comprising rejecting all instructions in the predetermined plurality of instructions that precede the predetermined instruction in order.
Canceling all instructions in said predetermined plurality of instructions following said first instruction in said order.
【請求項11】 さらに、前記順序から見て最初の命令
を取消すステップを有することを特徴とする請求項10
記載のトラップ処理方法。
11. The method according to claim 10, further comprising a step of canceling a first instruction in the order.
The trap processing method as described.
JP11336929A 1999-11-29 1999-11-29 Trap processing method Pending JP2000122867A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP11336929A JP2000122867A (en) 1999-11-29 1999-11-29 Trap processing method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP11336929A JP2000122867A (en) 1999-11-29 1999-11-29 Trap processing method

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP50215493A Division JP3333196B2 (en) 1991-07-08 1992-07-07 Trap processing method

Publications (1)

Publication Number Publication Date
JP2000122867A true JP2000122867A (en) 2000-04-28

Family

ID=18303944

Family Applications (1)

Application Number Title Priority Date Filing Date
JP11336929A Pending JP2000122867A (en) 1999-11-29 1999-11-29 Trap processing method

Country Status (1)

Country Link
JP (1) JP2000122867A (en)

Similar Documents

Publication Publication Date Title
JP3552995B2 (en) Data processing device
JP3441071B2 (en) Microprocessor and data processing device
JP3654139B2 (en) Superscalar microprocessor and data processing device
JP2000122867A (en) Trap processing method
JP2000122866A (en) Data processor
JP2000122865A (en) Instruction executing processing method
JP2000039996A (en) Superscalar processing system and data processing method
JP2000029697A (en) Super scalar processor, data processing method and computer system
JP2000029698A (en) Super scalar processing system and data processing method