JP2000029698A - Super scalar processing system and data processing method - Google Patents

Super scalar processing system and data processing method

Info

Publication number
JP2000029698A
JP2000029698A JP11192703A JP19270399A JP2000029698A JP 2000029698 A JP2000029698 A JP 2000029698A JP 11192703 A JP11192703 A JP 11192703A JP 19270399 A JP19270399 A JP 19270399A JP 2000029698 A JP2000029698 A JP 2000029698A
Authority
JP
Japan
Prior art keywords
instruction
unit
register
address
data
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP11192703A
Other languages
Japanese (ja)
Inventor
Re Toron Guen
トロン グエン,レ
Derek J Lenz
ジェイ. レンツ,デレク
Yoshiyuki Miyayama
ミヤヤマ,ヨシユキ
Sanjibu Gargu
ガルグ,サンジブ
Yasuaki Hagiwara
ハギワラ,ヤスアキ
Johannes Wang
ワン,ジョハネス
Tiiri Lau
ラウ,ティーリ
Kuwan H Toran
エイチ. トラン,クワン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Seiko Epson Corp
Original Assignee
Seiko Epson Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Seiko Epson Corp filed Critical Seiko Epson Corp
Priority to JP11192703A priority Critical patent/JP2000029698A/en
Publication of JP2000029698A publication Critical patent/JP2000029698A/en
Pending legal-status Critical Current

Links

Abstract

PROBLEM TO BE SOLVED: To provide a super scalar processing system and a data processing system easily reinforcing an architecture function. SOLUTION: This system is provided with an instruction fetch unit 102 for fetching an instruction set and an execution unit 104 provided with a function for simultaneously and parallelly executing plural instructions through the parallel array of function units. The instruction fetch unit 102 holds the prescribed number of the instructions in an instruction buffer and the execution unit 104 is provided with an instruction selection unit connected to the instruction buffer for selecting the instruction to be executed and the plural function units for executing an operation specified by the instruction. The instruction selection unit is provided with an instruction scheduler connected to an instruction decoder for judging whether or not the instruction to be executed is usable, relating logic and the function unit for judging respective execution statuses respectively, for scheduling the start of processing of the instruction through the function unit.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、RISC型マイク
ロプロセッサ・アーキテクチャの設計に関し、特に、特
定の計算機能に合わせてチューン(調整)したものを含
めて、機能的な計算エレメントをアーキテクチャに追加
することによって計算スループットを向上するために容
易に拡張することのできるスーパースカラ処理システム
及びデータ処理方法に関する。
FIELD OF THE INVENTION The present invention relates to the design of RISC-type microprocessor architectures, and in particular, adds functional computing elements to the architecture, including those tuned for specific computing functions. The present invention relates to a superscalar processing system and a data processing method which can be easily expanded to improve a calculation throughput.

【0002】以下に列挙した米国特許出願は本件特許出
願と同時に米国特許出願され、係属中のものであるが、
これらの米国特許出願に開示されており、かつそれぞれ
対応して出願された日本での特許出願に開示されている
事項は、その出願番号を本明細書で引用することにより
本明細書の一部を構成するものとする。 (1)発明の名称「高性能RISCマイクロプロセッサ・ア
ーキテクチャ」(High-Performance RISC Microprocess
or Architecture) SMOS 7984 MCF/GBR, 米国特許出願第
07/727,006号、1991年7月8日出願、発明者Le T.Nguye
n 他、及びこれに対応する特願平5ー502150号
(特表平6ー501122号公報)。 (2)「アーキテクチャ上の依存関係を隔離したRISCマ
イクロプロセッサ・アーキテクチャ」(RISC Micropro
cessor Architecture with IsolatedArchitectural Dep
endencies) SMOS 7987 MCF/GBR, 米国特許出願第07/72
6,744号、1991年7月8日出願、発明者Le T. Nguyen
他、及びこれに対応する特願平5ー502152号(特
表平6ー502034号公報)。 (3)発明の名称「複数型レジスタ・セットを採用した
RISCマイクロプロセッサ・アーキテクチャ」(RISC Mi
croprocessor Architecture ImplementingMultiple Typ
ed Register Sets) SMOS 7988 MCF/GBR/RCC,米国特許出
願第07/726,773号、1991年7月8日出願、発明者Sanjiv
Garg 他、及びこれに対応する特願平5ー502403
号(特表平6ー501805号公報)。 (4)発明の名称「高速トラップと例外状態をインプリ
メントしたRISCマイクロプロセッサ・アーキテクチャ」
(RISC Microprocessor ArchitectureImplementing Fa
st Trap and Exception State) SMOS 7989 MCF/GBR/WS
W, 米国特許出願第07/726,942号、1991年7月8日出
願、発明者Le T.Nguyen 他、及びこれに対応する特願平
5ー502154号(特表平6ー502035号公
報)。 (5)発明の名称 「シングル・チップ・ページ・プ
リンタ・コントーラ」(Single Chip Page Printer Cont
roller) SMOS 7991 MCF/GBR,米国特許出願第07/726,929
号、1991年7月8日出願、発明者Derek J.Lentz 他、及
びこれに対応する特願平5ー502149号(特表平6
ー501586号公報)。 (6)発明の名称「複数の異種プロセッサをサポートす
ることのできるマイクロプロセッサ・アーキテク チ
ャ」(Microprocessor Architecture Capable of Suppor
ting Multiple Heterogeneous Processors) SMOS 7992
MCF/WMB,米国特許出願第07/726,893号、1991年7月8日
出願、発明者Derek J.Lentz 他、及びこれに対応する特
願平5ー502151号(特表平6ー501123号公
報)。
[0002] The U.S. patent applications listed below are filed and pending at the same time as the present patent application,
The matters disclosed in these U.S. patent applications and in correspondingly filed patent applications in Japan are hereby incorporated by reference in their entirety with their application numbers. Shall be constituted. (1) Title of invention "High-Performance RISC Microprocess"
or Architecture) SMOS 7984 MCF / GBR, U.S. Patent Application No.
07 / 727,006, filed on July 8, 1991, inventor Le T. Nguye
n Others and corresponding Japanese Patent Application No. 5-502150 (Japanese Patent Application Publication No. 6-501122). (2) “RISC Microprocessor Architecture with Isolated Architectural Dependencies” (RISC Micropro
cessor Architecture with IsolatedArchitectural Dep
endencies) SMOS 7987 MCF / GBR, U.S. Patent Application No. 07/72
6,744, filed July 8, 1991, inventor Le T. Nguyen
Others and corresponding Japanese Patent Application No. 5-502152 (Japanese Patent Application Publication No. 6-502034). (3) Title of the invention "Use of multiple register sets"
RISC Microprocessor Architecture "(RISC Mi
croprocessor Architecture ImplementingMultiple Typ
ed Register Sets) SMOS 7988 MCF / GBR / RCC, U.S. Patent Application No. 07 / 726,773, filed July 8, 1991, inventor Sanjiv
Garg et al. And corresponding Japanese Patent Application No. 5-502403.
No. (Japanese Patent Publication No. Hei 6-501805). (4) Title of the invention "RISC microprocessor architecture that implements fast traps and exception states"
(RISC Microprocessor ArchitectureImplementing Fa
st Trap and Exception State) SMOS 7989 MCF / GBR / WS
W, U.S. patent application Ser. No. 07 / 726,942, filed on Jul. 8, 1991, inventor Le T. Nguyen et al. (5) Title of invention "Single Chip Page Program"
Linta Contra '' (Single Chip Page Printer Cont
roller) SMOS 7991 MCF / GBR, U.S. Patent Application No. 07 / 726,929
, Filed on July 8, 1991, inventor Derek J. Lentz et al., And corresponding Japanese Patent Application No. 5-502149 (Japanese Patent Application No.
-501586). (6) Title of the invention "Microprocessor Architecture Capable of Suppor"
ting Multiple Heterogeneous Processors) SMOS 7992
MCF / WMB, U.S. patent application Ser. No. 07 / 726,893, filed Jul. 8, 1991, inventor Derek J. Lentz et al. ).

【0003】なお、本明細書の記述は本件出願の優先権
の基礎たる米国特許出願07/727,058号の明細書の記載に
基づくものであって、当該米国特許出願の番号を参照す
ることによって当該米国特許出願の明細書の記載内容が
本明細書の一部分を構成するものとする。
[0003] The description in this specification is based on the description in the specification of US Patent Application No. 07 / 727,058, which is the priority of the present application. The contents of the description of the United States patent application are incorporated herein by reference.

【0004】[0004]

【従来の技術】最近は、マイクロプロセッサ・アーキテ
クチャの設計は、複合命令セット・コンピュータ(Compl
ex Instruction Set Computer -CISC) の使用からより
単純化された縮小命令セット・コンピュータ(Reduced
Instruction Set ComputerーRISC) アーキテクチャまで
に成熟している。CISCアーキテクチャの特徴は、命令実
行パイプラインを実現し、サポートが大部分ハードウェ
アで行われていることである。従来の代表的パイプライ
ン構造は、命令フェッチ・ステージ、命令デコード・ス
テージ、データ・ロード・ステージ、命令実行ステージ
およびデータ・ストア・ステージを一定の順序で含んで
いる。命令セットの異なる部分をパイプラインのそれぞ
れのステージを通して同時並行に実行させると、パフォ
ーマンスの向上が得られる。パイプラインが長くなる
と、利用できる実行ステージの数がそれだけ多くなり、
かつ同時並行に実行できる命令数が多くなる。
2. Description of the Related Art Recently, microprocessor architectures have been designed using complex instruction set computers (Compl.
ex Instruction Set Computer-Reduced Instruction Set Computer (Reduced)
It has matured by the Instruction Set Computer-RISC) architecture. A feature of the CISC architecture is that it implements an instruction execution pipeline and is largely supported in hardware. A typical prior art pipeline structure includes an instruction fetch stage, an instruction decode stage, a data load stage, an instruction execution stage and a data store stage in a certain order. Having different portions of the instruction set execute concurrently through respective stages of the pipeline results in improved performance. The longer the pipeline, the more execution stages available,
In addition, the number of instructions that can be executed concurrently increases.

【0005】CISCパイプライン・アーキテクチャの効率
を制約する一般的問題として、2つある。最初の問題
は、先行する条件コード設定命令がパイプラインを通し
て実質的に実行を完了するまで条件付きブランチ命令を
評価できないことである。従って、条件付き命令の以後
の実行が遅延または停止 (stall)されるので、いくつか
のパイプライン・ステージは複数のプロセッサ・サイク
ルの間インアクティブのままになっている。代表例とし
て、条件コードがプロセッサ・ステータス(状況)レジ
スタ(processor status registerー PSR) とも呼ばれる
条件コード・レジスタに書かれるのは、実行ステージを
通して命令の処理が完了したときだけである。そのた
め、ブランチ条件コードが判断されるまで、条件付きブ
ランチ命令を複数のプロセッサ・サイクルの間デコード
・ステージに残したままパイプラインを停止させなけれ
ばならない。パイプラインが停止すると、スループット
が大幅に損失することになる。さらに、コンピュータの
平均的スループットは、条件付きブランチ命令がプログ
ラム命令ストリームの中で条件コード設定命令のあとに
接近して何回現れるかによって左右される。
[0005] There are two general problems that limit the efficiency of the CISC pipeline architecture. The first problem is that the conditional branch instruction cannot be evaluated until the preceding set condition code instruction has substantially completed execution through the pipeline. Thus, some pipeline stages remain inactive for multiple processor cycles, as subsequent execution of the conditional instruction is delayed or stalled. Typically, the condition code is written to a condition code register, also called a processor status register (PSR), only when the processing of the instruction has completed through the execution stage. Therefore, the pipeline must be stopped while the conditional branch instruction remains in the decode stage for a plurality of processor cycles until the branch condition code is determined. If the pipeline goes down, there will be a significant loss in throughput. In addition, the average throughput of a computer depends on how many times a conditional branch instruction appears closely after a set condition code instruction in the program instruction stream.

【0006】もう1つの問題は、プログラム命令ストリ
ームの中で近接して現れる命令がプロセッサ・レジスタ
・ファイルの同じレジスタを参照する傾向にあるという
事実から起こる。データ・レジスタは順次の命令のスト
ア・ステージとロード・ステージでデータの宛先または
ソースとしてよく使用される。一般的に、データをレジ
スタ・ファイルにストアする命令は、次の命令のロード
・ステージ処理がレジスタ・ファイルをアクセスできる
ようにする前に、少なくとも実行ステージでの処理を完
了していなければならない。多くの命令は、ストア・デ
ータを得るためにその実行に1つの実行ステージで複数
のプロセッサ・サイクルを必要とするので、実行ステー
ジのオペレーションが持続している間、パイプライン全
体が停止されるのが代表的である。その結果、コンピュ
ータの実行スループットは、実行される命令ストリーム
の内部順序に実質的に左右される。
Another problem stems from the fact that instructions appearing closely in the program instruction stream tend to refer to the same register in the processor register file. Data registers are often used as the destination or source of data in the store and load stages of sequential instructions. In general, an instruction that stores data in a register file must have completed at least the execution stage before the load stage processing of the next instruction can access the register file. Since many instructions require multiple processor cycles in one execution stage to obtain store data, the entire pipeline is halted while the operation of the execution stage is sustained. Is typical. As a result, the execution throughput of a computer is substantially dependent on the internal order of the instruction stream being executed.

【0007】第3の問題は命令自体の実行が原因で起こ
るのではなく、マイクロプロセッサ自体のハードウェア
がサポートする命令実行環境、つまり、マシンの状態(s
tate-of-the machine)の維持から起こる問題である。現
在のCISCマイクロプロセッサ・ハードウェア・サブシス
テムは命令の実行中にトラップ条件が現れたことを検出
することができる。トラップには、ハードウェア割込
み、ソフトウェア・トラップおよび例外がある。各トラ
ップが現れたときは、対応するトラップ処理ルーチンを
プロセッサに実行させる必要がある。トラップが検出さ
れたときは、トラップ処理ルーチンの即時実行を可能に
するために実行パイプラインをクリアする必要がある。
それと同時に、トラップが生起した正確な点で、つま
り、そのとき実行中の最初の命令が割込みとトラップの
ために終了したときであり、かつ、例外が原因で失敗し
た命令の直前に生起した正確な点でその時点のマシンの
状態を設定する必要がある。引続き、マシンの状態と、
この場合も、トラップの内容に応じて、実行中の命令自
体を処理ルーチンの完了時に復元する必要がある。その
結果、各トラップまたは関連事象が発生すると、処理ル
ーチンの開始時と終了時、および正確なマシンの状態の
ストアと返却時の双方においてパイプラインをクリアす
ることにより待ち時間が生じ、プロセッサのスループッ
トがそれに応じて減少するとになる。
The third problem is not caused by the execution of the instruction itself, but by the instruction execution environment supported by the hardware of the microprocessor itself, that is, the state of the machine (s
This is a problem that arises from maintaining the tate-of-the machine). Current CISC microprocessor hardware subsystems can detect the appearance of a trap condition during the execution of an instruction. Traps include hardware interrupts, software traps, and exceptions. When each trap appears, the processor must execute the corresponding trap handling routine. When a trap is detected, the execution pipeline must be cleared to allow immediate execution of the trap handling routine.
At the same time, at the exact point at which the trap occurred, that is, when the first instruction currently executing terminated due to an interrupt and trap, and immediately before the instruction that failed due to the exception. It is necessary to set the state of the machine at that point. The machine status and
Also in this case, it is necessary to restore the executing instruction itself at the completion of the processing routine, according to the contents of the trap. As a result, the occurrence of each trap or related event creates latency by clearing the pipeline both at the beginning and end of the processing routine, and at the time of storing and returning the exact machine state, resulting in processor throughput. Will decrease accordingly.

【0008】これらの問題を解決するために、CISCアー
キテクチャの滞在的スループットを向上するための種々
の試みが行われている。条件付きブランチ命令が正しく
実行されたことを想定すれば、ブランチ条件コードが最
終的に判断される前にパイプライン実行を暫定的に進め
ることができる。また、レジスタが変更されるかどうか
についても想定を行うことにより、そのあとに続く命令
を暫定的に実行させることもできる。最後に、ハードウ
ェアを実質的に追加すれば、処理ルーチンの実行を必要
とする例外の発生を最小にすることができるので、プロ
グラム命令ストリームの処理に割込みをかける(中断さ
せる)例外の発生回数を少なくすることができる。
[0008] In order to solve these problems, various attempts have been made to improve the static throughput of the CISC architecture. Assuming that the conditional branch instruction has been executed correctly, the pipeline execution can proceed tentatively before the branch condition code is finally determined. Also, by making an assumption as to whether or not the register will be changed, the subsequent instruction can be provisionally executed. Finally, the number of exceptions that interrupt (interrupt) the processing of the program instruction stream can be minimized by substantially adding hardware to minimize the occurrence of exceptions that require execution of processing routines. Can be reduced.

【0009】これらの解決方法は、ハードウェアをさら
に実質的に複雑化することは明らかであるが、その解決
方法自身にもそれぞれの問題がある。ブランチ条件また
はレジスタ・ファイル・ストア・アクセスのいずれかが
最終的に解決する前に命令の実行を継続させるために
は、条件付きブランチのロケーションを含むプログラム
命令ストリーム内の複数の点のいずれか、レジスタ・フ
ァイルの各変更、および例外が何か発生した場合には、
最後のいくつかの命令の実行が完全に終了する以前の点
にマシンの状態を復元可能にする必要がある。その結
果、これをサポートするためのハードウェアが別に必要
になり、しかも、どのパイプライン・ステージのサイク
ル・タイムも、大幅に増加しないように特別に設計しな
ければならない。
Clearly, these solutions further substantially complicate the hardware, but the solutions themselves have their own problems. To continue execution of the instruction before either the branch condition or the register file store access finally resolves, one of several points in the program instruction stream containing the location of the conditional branch, For each change in the register file and any exceptions,
It is necessary to be able to restore the state of the machine to a point before the execution of the last few instructions is completely finished. As a result, additional hardware is required to support this and must be specifically designed to not significantly increase the cycle time of any pipeline stage.

【0010】RISCアーキテクチャでは、上述した問題の
多くを回避するために、マイクロプロセッサ・アーキテ
クチャのハードウェアによる現実を大幅に簡略化するこ
とを試みている。極端な場合には、各RISC命令は、ロー
ド・サイクル・実行サイクル、およびストア・サイクル
を含む3つのパイプライン化プログラム・サイクルにお
いてのみ実行される。公知のRISCアーキテクチャでは、
ロードとストア・データをバイパスする手法を用いるこ
とによって、3ステージ・パイプラインでサイクルごと
に1つの命令を実行することを可能にしている。
[0010] The RISC architecture attempts to greatly simplify the hardware realities of microprocessor architectures in order to avoid many of the problems described above. In the extreme case, each RISC instruction is executed only in three pipelined program cycles, including a load cycle, an execute cycle, and a store cycle. In the known RISC architecture,
The use of load and store data bypassing techniques allows one instruction to be executed per cycle in a three stage pipeline.

【0011】可能な限り、 RISC アーキテクチャにおけ
るハードウェア・サポートは、必要とする機能を実行す
るためのソフトウェア・ルーチンに有利になるように最
小化されている。その結果、RISCアーキテクチャは、最
適に適合されたパイプラインによって実行される単純な
ロード/ストア命令セットを使用することにより大幅な
柔軟性と高速化が得られるという希望を与えている。し
かも、実際には、RISCアーキテクチャは、高性能パイプ
ラインを短くすることと、必要とするすべての機能を実
現する命令数を実質的に増加して実行する必要性とをバ
ランスよく調和させると、利点が得られることが判明し
ている。
Where possible, hardware support in the RISC architecture is minimized to favor software routines to perform the required functions. As a result, the RISC architecture offers the hope that significant flexibility and speed will be gained by using a simple set of load / store instructions executed by an optimally adapted pipeline. And, in fact, the RISC architecture balances the need for shorter high-performance pipelines with the need to execute with substantially more instructions to achieve all the functions you need. Advantages have been found to be obtained.

【0012】RISCアーキテクチャの設計は、一般的に、
ブランチ、レジスタ参照および例外の面でCISCアーキテ
クチャに起こっている問題を回避し、あるいは最小化す
る。RISCアーキテクチャに関係するパイプラインは短
く、スピードの面で最適化されている。パイプラインを
短くすると、パイプライン停止(pipeline stall)または
クリアが起こったときの結果が最小になり、マシンの状
態を以前の実行個所に復元する際の問題が最小になる。
The design of the RISC architecture is generally
Avoid or minimize problems with the CISC architecture in terms of branches, register references and exceptions. The pipeline involved in the RISC architecture is short and optimized for speed. Shortening the pipeline minimizes the consequences of a pipeline stall or clear, and minimizes the problem of restoring the state of the machine to its previous execution.

【0013】しかし、一般的に認識されている現在のレ
ベル以上にスループット・パフォーマンスを大幅に向上
させることは、公知のRISCアーキテクチャでは容易に達
成することができない。その結果、いわゆるスーパース
カラー(super-scaler)と呼ばれる代替アーキテクチャが
種々提案されている。これらのアーキテクチャは、一般
的に、複数の命令を同時並行に実行することにより、プ
ロセッサのスループットを比例的に増加させることを試
みている。残念ながら、このようなアーキテクチャの場
合も、CISCアーキテクチャに起こっている問題と同一で
はないが、類似した条件ブランチ、レジスタ参照、およ
び例外処理の問題が起こっている。
[0013] However, significantly increasing throughput performance beyond the generally recognized current levels cannot be easily achieved with known RISC architectures. As a result, various alternative architectures called a so-called super-scaler have been proposed. These architectures typically attempt to increase processor throughput proportionally by executing multiple instructions concurrently. Unfortunately, these architectures have similar, but not identical, problems with conditional branching, register references, and exception handling to the CISC architecture.

【0014】従来のスーパースカラー型アーキテクチャ
に特に起こっている問題は、一般的に、アーキテクチャ
自体に複雑性が内在しているため、アーキテクチャの基
礎面を大幅に設計し直さない限り、アーキテクチャの変
更ができないことである。同時並行に実行される複数の
命令の実行を処理する場合は、命令ストリームの実行の
正確さを確実に保つために、アーキテクチャに実質的な
制御上の制約がある。事実、ある種の命令は、プログラ
ム命令ストリームの中で先に置かれている命令の実行前
に、その実行が完了することがある場合がある。その結
果、命令実行の基礎面を管理する制御ロジックさえも設
計し直さなければ、特定の命令の実行フローに影響を与
えるアーキテクチャ上の変更ができない場合がよくあ
る。
A particular problem that arises with conventional superscalar architectures is that the architecture itself is generally inherently complex, so that architectural changes cannot be made without a major redesign of the underlying architecture. That is not possible. When processing the execution of multiple instructions that are executed concurrently, there are substantial control constraints on the architecture to ensure the correct execution of the instruction stream. In fact, certain instructions may complete their execution before execution of an instruction earlier in the program instruction stream. As a result, it is often not possible to make architectural changes that affect the execution flow of a particular instruction without redesigning even the control logic that manages the basic aspects of instruction execution.

【0015】[0015]

【発明が解決しようとする課題】従って、本発明の一般
的目的は、計算を補強する機能ユニットを追加し、変更
することによりアーキテクチャ機能の強化が容易なスー
パースカラ処理システム及びデータ処理方法を提供する
ことである。
SUMMARY OF THE INVENTION Accordingly, it is a general object of the present invention to provide a superscalar processing system and a data processing method which can easily enhance the architectural functions by adding and changing functional units for enhancing computation. It is to be.

【0016】[0016]

【課題を解決するための手段】上記目的は、本発明によ
れば、命令セットを命令ストア(store) からフェッチす
るための命令フェッチ・ユニット(instruction fetch u
nit)と、機能ユニットの並列アレイを通して複数の命令
を同時並行に実行する機能を備えた実行ユニット(execu
tion unit)を含むマイクロプロセッサ・アーキテクチャ
を提供することによって達成される。フェッチ・ユニッ
トは、一般的に、所定数の命令を命令バッファにおいて
維持している。実行ユニットは、命令バッファに接続さ
れて、実行すべき命令を選択するための命令選択ユニッ
トと、命令で指定された機能オペレーションを実行する
ための複数の機能ユニット(functional unit) を含んで
いる。
SUMMARY OF THE INVENTION According to the present invention, there is provided an instruction fetch unit for fetching an instruction set from an instruction store.
nit) and an execution unit (execu) with the ability to execute multiple instructions in parallel through a parallel array of functional units.
This is achieved by providing a microprocessor architecture that includes an option unit. A fetch unit typically maintains a predetermined number of instructions in an instruction buffer. The execution unit is connected to the instruction buffer and includes an instruction selection unit for selecting an instruction to be executed, and a plurality of functional units for performing a functional operation specified by the instruction.

【0017】命令選択ユニットは、命令バッファに結合
されて、実行すべき命令が使用可能かどうかを判断する
ための命令デコーダと関連ロジック、およびそれぞれの
実行ステータス(状況)を判断する機能ユニットの各々
に結合されて、機能ユニットを通した命令の処理の開始
をスケジュールするための命令スケジューラを含んでい
ることが好ましい。命令スケジューラは実行のために使
用可能であると判断され、かつ必要とする計算機能を持
つ機能ユニットの少なくとも1つが使用可能であると命
令スケジューラが判断した命令をスケジュールする。
An instruction selection unit is coupled to the instruction buffer and is each of an instruction decoder and associated logic for determining whether an instruction to be executed is available, and a functional unit for determining a respective execution status. And preferably includes an instruction scheduler for scheduling the start of processing instructions through the functional unit. The instruction scheduler schedules the instructions that are determined to be available for execution and that the instruction scheduler determines that at least one of the functional units having the required computational functions is available.

【0018】その結果、本発明の利点は、機能ユニット
のいずれか、あるいはすべてによって実行される機能に
所望の変更を行うことについて、実行ユニットを容易に
変更できることである。この中には、前記機能ユニット
のうちのあらかじめ定めた1つによって実行される機能
の変更が原因で行われる変更、および追加の機能ユニッ
トを設けたことから起こる変更も含まれる。機能ユニッ
トを変更したり、追加したりする場合、基本的には、変
更または追加した各機能ユニットによって実行される命
令の違いを考慮に入れて、命令スケジューラをそれに応
じて変更することのみが要求される。
As a result, an advantage of the present invention is that the execution units can be easily modified to make the desired changes to the functions performed by any or all of the functional units. This includes changes made due to changes in functions performed by a predetermined one of the functional units, and changes resulting from the provision of additional functional units. Changing or adding functional units basically requires only that the instruction scheduler be changed accordingly, taking into account the differences in the instructions executed by each changed or added functional unit. Is done.

【0019】本発明のもう1つの利点は、実行ユニット
を通る実行データ経路を複数設けたアーキテクチャにな
っており、その場合、各実行データ経路がデータに対し
て実行される計算機能のタイプ、つまり、整数型、浮動
小数点型、およびブール演算型に合わせて一般的に最適
化されていることである。本発明のさらにもう1つの利
点は、各データ経路に、およびデータ経路間に設けられ
ている機能ユニットの個数、タイプおよび計算に関する
具体的特性が相互に独立していることである。機能を変
更したり、データ経路内の機能ユニットの個数を増加し
ても、他のデータ機能ユニットにアーキテクチャ上の影
響を与えることはない。さらに、本発明の別の利点は、
命令スケジューラが統合化されたユニットであるので、
実行ユニットに実装されているデータ経路の個数および
所与の命令の実行に最も適合したデータ経路に実装され
ている機能の数または種類に関係なく、機能ユニットの
すべてに対して命令をスケジュールすることである。
Another advantage of the present invention is an architecture having multiple execution data paths through execution units, where each execution data path is a type of computational function that is performed on data, ie, , Which are generally optimized for integer, floating point, and Boolean types. Yet another advantage of the present invention is that the specific characteristics of the number, type and calculation of the functional units provided in each data path and between the data paths are independent of each other. Changing the function or increasing the number of functional units in the data path has no architectural impact on other data functional units. Further, another advantage of the present invention is that
Since the instruction scheduler is an integrated unit,
Scheduling instructions for all of the functional units, regardless of the number of data paths implemented in the execution units and the number or type of functions implemented in the data path that best fits the execution of a given instruction It is.

【0020】[0020]

【発明の実施の形態】以下、本発明の実施の形態につい
て説明する。なお、以下の目次に従って順次説明する。
Embodiments of the present invention will be described below. The description will be made sequentially according to the following table of contents.

【0021】目次 I. マイクロプロセッサ・アーキテクチャの概要 II. 命令フェッチユニット A)IFUデータ経路 B)IFU制御経路 C)IFU/IEU制御インタフェース D)PCロジック・ユニットの詳細 1)PFおよびExPC制御/データ・ユニットの詳細 2)PC制御アルゴリズムの詳細 E)割込みおよび例外の処理 1)概要 2)非同期割込み 3)同期例外 4)ハンドラ・ディスパッチとリターン 5)ネスト 6)トラップ一覧表 III.命令実行ユニット A)IEUデータ経路の詳細 1)レジスタ・ファイルの詳細 2)整数データ経路の詳細 3)浮動小数点データ経路の詳細 4)ブール・レジスタ・データ経路の詳細 B)ロード/ストア制御ユニット C)IEU制御経路の詳細 1)Eデコード・ユニットの詳細 2)キャリー・チェッカ・ユニットの詳細 3)データ依存関係チェッカ・ユニットの詳細 4)レジスタ改名ユニットの詳細 5)命令発行ユニットの詳細 6)完了制御ユニットの詳細 7)退避制御ユニットの詳細 8)制御フロー制御ユニットの詳細 9)バイパス制御ユニットの詳細 IV.仮想メモリ制御ユニット V.キャッシュ制御ユニット VI.要約及び結論 以下に目次に従って説明する。 I.マイクロプロセッサ・アーキテクチャの概要 図1は、本発明のアーキテクチャ 100の概要を示すもの
である。命令フェッチ・ユニット(IFU) 102 と命令実行
ユニット(IEU) 104 は、アーキテクチャ 100の中心とな
る機能要素である。仮想メモリ・ユニット(VMU) 108 、
キャッシュ制御ユニット(CUU) 106 、およびメモリ制御
ユニット(MCU) 110は、IFU 102 とIEU104 の機能を直
接にサポートするためのものである。また、メモリ・ア
レイ・ユニット(MAU) 112 は基本的要素として、アーキ
テクチャ 100を動作させるためのものである。もっと
も、MAU 112 はアーキテクチャ 100の1つの一体的なコ
ンポーネントとして直接的に存在しない。つまり、本発
明の好適実施例では、IFU 102 、IEU 104 、VMU 108 、
CCU 106 、およびMCU 110 は従来の 0.8ミクロン設計ル
ールの低電力CMOSプロセスを利用してシングル・シリコ
ン・チップ上に実装され、約1,200,000 個のトランジス
タから構成されている。アーキテクチャ100 の標準プロ
セッサまたはシステムのクロック速度は 40 MHZ であ
る。しかし、本発明の好適実施例によれば、プロセッサ
の内部クロック速度は160 MHZ である。IFU 102 の基本
的役割は命令をフェッチし、 IEU104 による実行が保留
されている間命令をバッファに置いておき、一般的に
は、次の命令をフェッチするとき使用される次の仮想ア
ドレスを計算することである。
Table of Contents I. Overview of Microprocessor Architecture II. Instruction fetch unit A) IFU data path B) IFU control path C) IFU / IEU control interface D) PC logic unit details 1) PF and ExPC control / data unit details 2) PC control algorithm details E) Interrupt And exception handling 1) Overview 2) Asynchronous interrupt 3) Synchronous exception 4) Handler dispatch and return 5) Nest 6) Trap list III. Instruction execution unit A) Details of IEU data path 1) Details of register file 2) Details of integer data path 3) Details of floating point data path 4) Details of Boolean register data path B) Load / store control unit C 1) Details of the IEU control path 1) Details of the E decode unit 2) Details of the carry checker unit 3) Details of the data dependency checker unit 4) Details of the register rename unit 5) Details of the instruction issue unit 6) Complete Details of control unit 7) Details of evacuation control unit 8) Details of control flow control unit 9) Details of bypass control unit IV. Virtual memory control unit Cache control unit VI. Summary and Conclusion The following is a description according to the table of contents. I. Overview of Microprocessor Architecture FIG. 1 provides an overview of the architecture 100 of the present invention. An instruction fetch unit (IFU) 102 and an instruction execution unit (IEU) 104 are the core functional elements of the architecture 100. Virtual memory unit (VMU) 108,
A cache control unit (CUU) 106 and a memory control unit (MCU) 110 are for directly supporting the functions of the IFU 102 and the IEU 104. The memory array unit (MAU) 112 is for operating the architecture 100 as a basic element. However, MAU 112 does not exist directly as one integral component of architecture 100. That is, in the preferred embodiment of the present invention, IFU 102, IEU 104, VMU 108,
The CCU 106 and MCU 110 are implemented on a single silicon chip using a conventional 0.8-micron design rule low-power CMOS process and consist of approximately 1,200,000 transistors. Clock speed of the standard processor or system architecture 100 is 40 MH Z. However, according to a preferred embodiment of the present invention, the internal processor clock speed is 160 MH Z. The basic role of IFU 102 is to fetch instructions, buffer the instructions while execution by IEU104 is pending, and generally compute the next virtual address used when fetching the next instruction It is to be.

【0022】本発明の好適実施例では、各命令は長さが
32ビットに固定されている。命令セット、つまり、4個
の命令からなる「バケット」(bucket)は、CCU 106 内の
命令用キャッシュ132 から128 ビット幅の命令バス114
を経由してIFU 102 によって同時にフェッチされる。命
令セットの転送は、制御ライン116 経由で送られてきた
制御信号によって調整されて、IFU 102 と CCU 106間で
行われる。フェッチされる命令セットの仮想アドレス
は、IFU 仲裁、制御およびアドレスを兼ねたバス118 経
由でIFU 102 から出力され、さらにIEU 104 とVMU 108
間を結合する仲裁、制御およびアドレス共用バス120 上
に送出される。VMU 108 へのアクセスの仲裁(arbitrati
on) は、IFU 102 と IEU 104の両方がVMU 108 を共通の
共用資源として利用することから行われる。本発明の好
適実施例では、仮想アドレスの物理ページ内のアドレス
を定義する下位ビットは、IFU 102 から制御ライン116
を経由して直接にキャッシュ制御ユニット106 へ転送さ
れる。IFU 102 から与えられる仮想アドレスの仮想上位
ビットはバス118 、120 のアドレス部分によってVMU108
へ送られ、そこで対応する物理ページ・アドレスに変
換される。IFU 102 では、この物理ページ・アドレス
は、変換要求がVMU 108 に出されたあと内部プロセッサ
・クロック・サイクルの1/2 の間に、VMU 108 からアド
レス制御ライン122 経由で直接にキャッシュ制御ユニッ
ト106 へ転送される。
In the preferred embodiment of the invention, each instruction is of length
32-bit has been fixed. The instruction set, or “bucket” of four instructions, is transferred from the instruction cache 132 in the CCU 106 to a 128-bit wide instruction bus 114.
Fetched simultaneously by IFU 102 via The transfer of the instruction set is adjusted between the IFU 102 and the CCU 106 by a control signal transmitted via the control line 116. The virtual address of the instruction set to be fetched is output from IFU 102 via IFU arbitration, control and address bus 118, and further to IEU 104 and VMU 108
The arbitration, control, and address sharing buses 120 connect between them. Arbitration of access to VMU 108 (arbitrati
on) is performed because both the IFU 102 and the IEU 104 use the VMU 108 as a common shared resource. In the preferred embodiment of the present invention, the lower bits defining the address in the physical page of the virtual address are transmitted from IFU 102 to control line 116.
Is transferred directly to the cache control unit 106 via The virtual upper bits of the virtual address provided from IFU 102 are
Where it is translated to the corresponding physical page address. In the IFU 102, this physical page address is transferred from the VMU 108 directly to the cache control unit 106 via the address control line 122 during half an internal processor clock cycle after the translation request is issued to the VMU 108. Transferred to

【0023】IFU 102 によってフェッチされた命令スト
リームの方は命令ストリーム・バス124 経由で IEU 104
に渡される。制御信号は、制御ライン126 を介してIFU
102とIEU 104 間でやりとりされる。さらに、ある種の
命令フェッチ・アドレス、例えば、IEU 104 内に存在す
るレジスタ・ファイルへのアクセスを必要とするアドレ
スは、制御ライン126 内のターゲット・アドレス・リタ
ーン・バスを経由してIFUへ送り返される。
The instruction stream fetched by IFU 102 is transmitted via instruction stream bus 124 to IEU 104
Passed to. Control signals are sent to IFU via control line 126.
102 and IEU 104. In addition, certain instruction fetch addresses, such as those requiring access to a register file residing in IEU 104, are sent back to the IFU via the target address return bus in control line 126. It is.

【0024】IEU 104 は、CCU 106 内に設けられたデー
タ用キャッシュ134 との間で80ビット幅双方向データ・
バス130 を通してデータをストアし、データを取り出
す。IEU がデータ・アクセスするときの物理アドレス全
体は制御バス128 のアドレス部分によってCCU 106 へ渡
される。また、制御バス128 を通して、データ転送を管
理するための制御信号をIEU 104 と CCU 106との間でや
りとりすることもできる。 IEU 104は、仮想データ・ア
ドレスを CCU 106へ渡すのに適した物理データ・アドレ
スに変更するための資源として VMU108 を使用する。デ
ータ・アドレスの仮想化部分は、仲裁、制御およびアド
レス・バス120 を経由して VMU108 へ渡される。IFU 10
2 に対するオペレーションと異なり、 VMU 108は対応す
る物理アドレスをバス120 経由で IEU 140へ返却する。
アーキテクチャ100 の好適実施例では、IEU 140 は物理
アドレスを使用して、ロード/ストア・オペレーション
が正しいプログラム・ストリーム順序で行われているこ
とを確かめている。
The IEU 104 communicates with the data cache 134 provided in the CCU 106 for 80-bit wide bidirectional data.
Data is stored and retrieved through the bus 130. The entire physical address at which the IEU accesses data is passed to the CCU 106 by the address portion of the control bus 128. Further, a control signal for managing data transfer can be exchanged between the IEU 104 and the CCU 106 through the control bus 128. The IEU 104 uses the VMU 108 as a resource to change the virtual data address to a physical data address suitable for passing to the CCU 106. The virtualized portion of the data address is passed to VMU 108 via arbitration, control and address bus 120. IFU 10
Unlike the operation for 2, VMU 108 returns the corresponding physical address to IEU 140 via bus 120.
In the preferred embodiment of architecture 100, IEU 140 uses physical addresses to ensure that load / store operations are performed in the correct program stream order.

【0025】CCU 106 は、物理アドレスで定義したデー
タ要求を命令用キャッシュ132 とデータ用キャッシュ13
4 のどちらか該当する方から満足できるかどうかを判断
する従来のハイレベル機能を備えている。アクセス要求
が命令用キャッシュ132 またはデータ用キャッシュ134
へアクセスすることで正しく満足できる場合は、CCU106
はデータ・バス114 、128 を経由するデータ転送を調
整して、その転送を行う。
The CCU 106 sends a data request defined by a physical address to the instruction cache 132 and the data cache 13.
It has a conventional high-level function to judge whether it is satisfactory from either of the four. The access request is for the instruction cache 132 or data cache 134
If you can be satisfied with accessing the CCU106
Coordinates the data transfer via data buses 114 and 128 and performs that transfer.

【0026】データ・アクセス要求が命令用キャッシュ
132 またはデータ用キャッシュ134から満足できない場
合は、CCU 106 は対応する物理アドレスをMCU 110 へ渡
し、MAU 112 が要求しているのは読取りアクセスである
か書込みアクセスであるかを判別し、各要求ごとにCCU
106 のソースまたは宛先キャッシュ132 、134 を識別す
るのに十分な制御情報および要求オペレーションをIFU
102 またはIEU 104 から出された最終的データ要求と関
係づけるための追加識別情報も一緒に渡される。
If the data access request is an instruction cache
If it is not satisfied from 132 or data cache 134, CCU 106 passes the corresponding physical address to MCU 110, determines whether MAU 112 is requesting a read access or a write access, and CCU per
The IFU provides enough control information and request operations to identify the 106 source or destination caches 132,134.
Additional identifying information is also passed along to correlate with the final data request from 102 or IEU 104.

【0027】MCU 110 は、好ましくは、ポート・スイッ
チ・ユニット142 を備えており、このユニットは単方向
データ・バス136 によって CCU 106の命令用キャッシュ
132に接続され、双方向データ・バス138 によってデー
タ用キャッシュ134 に接続されている。ポート・スイッ
チ142 は基本的には、大きなマルチプレクサであり、制
御バス140 から得た物理アドレスを複数のポート PoPn
146o-nのいずれかへ送ることを可能にし、また、ポート
からデータ・バス136 、138 へのデータの双方向転送を
可能にする。MCU 110 によって処理される各メモリ・ア
クセス要求は、MAU 112 をアクセスするとき要求される
メイン・システム・メモリ・バス162 へのアクセスを仲
裁する目的でポート146o-nの1つと関連づけられる。デ
ータ転送の接続が確立されると、MCU は制御情報を制御
バス140 経由で CCU106 に渡して、ポート141 およびポ
ート146o-nのうち対応する1つを経由して命令用キャッ
シュ132 またはデータ用キャッシュ134 とMAU 112 との
間でデータを転送することを開始する。アーキテクチャ
100 の好適実施例では、MCU 110 は、実際には、CCU 10
6 と MAU112 間を転送する途中にあるデータをストアま
たはラッチしない。このようにしたのは、転送の待ち時
間を最小にし、MCU 110 に1つだけ存在するデータを追
跡または管理しないですむようにするためである。 II.命令フェッチ・ユニット 命令フェッチ・ユニット102 の主要エレメントを図2に
示す。これらのエレメントのオペレーションおよび相互
関係を理解しやすくするために、以下では、これらのエ
レメントが IFUデータ経路と制御経路に関与する場合を
考慮して説明する。 A)IFU データ経路 IFU データ経路は、命令セットを受け取ってプリフェッ
チ・バッファ260 に一時的にストアしておく命令バス11
4 から始まる。プリフェッチ・バッファ260 からの命令
セットはIデコード・ユニット262 を通ってIFIFO ユニ
ット264 へ渡される。命令FIFO 264の最後の2ステージ
にストアされた命令セットは、データ・バス278 、280
を通してIEU 104 に連続的に取り出して利用することが
できる。
The MCU 110 preferably includes a port switch unit 142, which is connected by a unidirectional data bus 136 to the instruction cache of the CCU 106.
132, and to a data cache 134 by a bidirectional data bus 138. The port switch 142 is basically a large multiplexer, and transfers the physical address obtained from the control bus 140 to a plurality of ports PoPn.
146o-n, and allows bi-directional transfer of data from ports to data buses 136, 138. Each memory access request handled by MCU 110 is associated with one of ports 146o-n for the purpose of arbitrating access to main system memory bus 162 required when accessing MAU 112. When a connection for data transfer is established, the MCU passes control information to the CCU 106 via the control bus 140, and the instruction cache 132 or data cache via the corresponding one of the ports 141 and 146o-n. Initiate the transfer of data between 134 and MAU 112. architecture
In the preferred embodiment of 100, MCU 110 is actually
Do not store or latch data in the middle of a transfer between 6 and MAU112. This is done to minimize transfer latency and avoid having to track or manage data that is only one in MCU 110. II. Instruction Fetch Unit The main elements of the instruction fetch unit 102 are shown in FIG. In order to facilitate understanding of the operation and interrelationship of these elements, the following description is based on the case where these elements are involved in the IFU data path and control path. A) IFU data path The IFU data path is an instruction bus 11 that receives the instruction set and temporarily stores it in the prefetch buffer 260.
Starts with 4. The instruction set from prefetch buffer 260 is passed through I decode unit 262 to IFIFO unit 264. The instruction set stored in the last two stages of the instruction FIFO 264 is the data bus 278, 280
Through the IEU 104 for continuous use.

【0028】プリフェッチ・バッファ・ユニット260
は、一度に1つの命令セットを命令バス114 から受け取
る。完全な128 ビット幅命令セットは、一般に、プリフ
ェッチ・バッファ260 のメイン・バッファ(MBUF)188 部
分の4つの128 ビット幅プリフェッチ・バッファ・ロケ
ーションの1つに並列に書き込まれる。追加の命令セッ
トは最高4つまで同じように、2つの128 ビット幅ター
ゲット・バッファ(TBUF)190 のプリフェッチ・バッファ
・ロケーションにまたは2つの128 ビット幅プロシージ
ャ・バッファ(EBUF)192 のプリフェッチ・バッファ・ロ
ケーションに書き込むことが可能である。好適アーキテ
クチャ100 では、MBUF 188、TBUF 190またはEBUF 192内
のプリフェッチ・バッファ・ロケーションのいずれかに
置かれている命令セットは、プリフェッチ・バッファ出
力バス196 へ転送することが可能である。さらに、直接
フォールスルー(fall through)命令セット・バス194
は、命令バス114 をプリフェッチ・バッファ出力バス19
6 と直接に接続することによって、MBUF 188、TBUF 190
およびEBUF 192をバイパスするためのものである。
Prefetch buffer unit 260
Receives one instruction set from the instruction bus 114 at a time. The complete 128-bit wide instruction set is generally written in parallel to one of four 128-bit wide prefetch buffer locations in the main buffer (MBUF) 188 portion of the prefetch buffer 260. Up to four additional instruction sets, as well as two 128-bit wide target buffers (TBUFs) 190 prefetch buffer locations or two 128-bit wide procedure buffers (EBUFs) 192 prefetch buffer buffers It is possible to write to the location. In the preferred architecture 100, the instruction set located in any of the prefetch buffer locations in the MBUF 188, TBUF 190 or EBUF 192 can be transferred to the prefetch buffer output bus 196. In addition, a direct fall through instruction set bus 194
Connects the instruction bus 114 to the prefetch buffer output bus 19
6 and MBUF 188, TBUF 190
And to bypass EBUF 192.

【0029】好適アーキテクチャ100 では、MBUF 188は
名目的またはメイン命令ストリーム中の命令セットをバ
ッファするために利用される。TBUF 190は、試行的なタ
ーゲット・ブランチ命令ストリームからプリフェッチし
た命令セットをバッファするために利用される。その結
果、プリフェッチ・バッファ・ユニット260 を通して、
条件付きブランチ命令のあとに置かれている可能性のあ
る方向の命令ストリームをプリフェッチすることができ
る。この機能により、MAU 112 の待ち時間は長くなると
しても、少なくともCCU 112 への以後のアクセス待ち時
間がなくなるので、条件付きブランチ命令の解決時にど
の命令ストリームが最終的に選択されるかに関係なく、
条件付きブランチ命令のあとに置かれた正しい次の命令
セットを得て、実行することができる。本発明の好適ア
ーキテクチャ100 では、MBUF 188と MBUF 190 があるた
めに、命令フェッチ・ユニット102 は、現れる可能性の
ある両方の命令ストリームをプリフェッチすることがで
き、命令実行ユニット104に関連して以下に説明するよ
うに、正しいと想定された命令ストリームを引き続き実
行することができる。条件付きブランチ命令が解決され
たとき、正しい命令ストリームがプリフェッチされて、
MBUF 188に入れられた場合は、TBUF 190に残っている命
令セットは無効にされるだけである。他方、正しい命令
ストリームの命令セットがTBUF 190に存在する場合は、
命令プリフェッチ・バッファ・ユニット260 を通して、
これらの命令セットがTBUF 190から直接に、並行にMBUF
188内のそれぞれのバッファ・ロケーションへ転送され
る。それ以前にMBUF 188にストアされた命令セットは、
TBUF 190から転送された命令セットを重ね書きすること
によって、事実上無効にされる。MBUFロケーションへ転
送するTBUF命令セットがなければ、そのロケーションに
は無効の印が付けられるだけである。
In the preferred architecture 100, the MBUF 188 is used to buffer the instruction set in the nominal or main instruction stream. TBUF 190 is used to buffer the instruction set prefetched from the trial target branch instruction stream. As a result, through the prefetch buffer unit 260,
An instruction stream in a direction that may be located after a conditional branch instruction can be prefetched. This feature allows the MAU 112 to increase latency, but at least eliminates subsequent access latency to the CCU 112, regardless of which instruction stream is ultimately selected when resolving conditional branch instructions. ,
The correct next instruction set placed after the conditional branch instruction can be obtained and executed. In the preferred architecture 100 of the present invention, the presence of the MBUF 188 and MBUF 190 allows the instruction fetch unit 102 to prefetch both possible instruction streams, , The instruction stream assumed to be correct can be subsequently executed. When the conditional branch instruction is resolved, the correct instruction stream is prefetched,
When placed in MBUF 188, the instruction set remaining in TBUF 190 is only invalidated. On the other hand, if the correct instruction stream instruction set exists in TBUF 190,
Through the instruction prefetch buffer unit 260,
These instruction sets are directly in parallel from TBUF 190,
188 to each buffer location. The instruction set previously stored in MBUF 188 is
Overwriting the instruction set transferred from TBUF 190 is effectively overridden. Without a TBUF instruction set to transfer to an MBUF location, that location would simply be marked invalid.

【0030】同様に、EBUF 192は、プリフェッチ・バッ
ファ260 を経由する別の代替プリフェッチ経路となるも
のである。EBUF 192は、好ましくは、MBUF 188命令スト
リームに現れた単一の命令、つまり、「プロシージャ」
命令で指定されたオペレーションを実現するために使用
される代替命令ストリームをプリフェッチする際に利用
される。このようにすると、複雑な命令や拡張された命
令はソフトウェア・ルーチンまたはプロシージャを通し
て実現することができ、すでにプリフェッチされてMBUF
188に入れられた命令ストリームを乱すことなくプリフ
ェッチ・バッファ・ユニット260 を通して処理すること
ができる。一般的には、本発明によれば、最初にTBUF 1
90に現れたプロシージャ命令を処理することができる
が、プロシージャ命令ストリームのプリフェッチは保留
され、以前に現れた保留中の条件付きブランチ命令スト
リームがすべて解決される。これにより、プロジージャ
命令ストリームに現れた条件付きブランチ命令は、TBUF
190の使用を通して矛盾なく処理されることになる。従
って、プロジーシャ・ストリームでブランチが行われる
場合は、ターゲット命令セットはすでにプリフェッチさ
れてTBUF 190に入れられているので、EBUF 192へ並列に
転送することができる。
Similarly, EBUF 192 provides another alternative prefetch path via prefetch buffer 260. EBUF 192 is preferably a single instruction that appeared in the MBUF 188 instruction stream, a "procedure"
It is used when prefetching an alternative instruction stream used to implement the operation specified by the instruction. In this way, complex or extended instructions can be implemented through software routines or procedures, which are already prefetched and
The instruction stream contained at 188 can be processed through the prefetch buffer unit 260 without disturbing it. Generally, according to the present invention, TBUF 1
The procedural instruction appearing at 90 can be processed, but prefetching of the procedural instruction stream is suspended and any previously encountered pending conditional branch instruction streams are resolved. This allows conditional branch instructions that appear in the procedure instruction stream to be
It will be handled consistently through the use of 190. Thus, if a branch is taken in the prosthetic stream, the target instruction set can be transferred to EBUF 192 in parallel since the target instruction set has already been prefetched and placed in TBUF 190.

【0031】最後に、MBUF 188、TBUF 190およびEBUF 1
92の各々はプリフェッチ・バッファ出力バス196 に接続
され、プリフェッチ・ユニットによってストアされた命
令セットを出力バス196 上に送出するようになってい
る。さらに、バス194 を通過するフローは、命令セット
を命令バス114 から直接に出力バス196 へ転送するため
のものである。好適アーキテクチャ100 では、MBUF 18
8、TBUF 190、EBUF 192内のプリフェッチ・バッファは
直接的にはFIFO構造を構成していない。その代わりに、
どのバッファ・ロケーションも出力バス196 に接続され
ているので、命令用キャッシュ132から取り出された命
令セットのプリフェッチ順序に大幅な自由度をもたせる
ことができる。つまり、命令フェッチ・ユニット102 は
命令ストリームに一定順序で並んだ命令順に命令セット
を判断して、要求するのが一般的になっている。しか
し、命令セットがIFU 102 へ返されるときの順序は、要
求したある命令セットが使用可能で、CCU 106 だけから
アクセス可能であり、他の命令セットはMAU 102のアク
セスを必要とするような場合に合わせて、順序外に現れ
ることも可能である。
Finally, MBUF 188, TBUF 190 and EBUF 1
Each of the 92 is connected to a prefetch buffer output bus 196 for sending the instruction set stored by the prefetch unit onto the output bus 196. Further, the flow through bus 194 is for transferring the instruction set directly from instruction bus 114 to output bus 196. In preferred architecture 100, MBUF 18
8. The prefetch buffers in TBUF 190 and EBUF 192 do not directly constitute a FIFO structure. Instead,
Since each buffer location is connected to the output bus 196, there is a great deal of flexibility in the prefetch order of instruction sets fetched from the instruction cache 132. In other words, the instruction fetch unit 102 generally determines and requests an instruction set in the order of instructions arranged in a fixed order in the instruction stream. However, the order in which the instruction sets are returned to the IFU 102 is such that some of the requested instruction sets are available, accessible only by the CCU 106, and other instruction sets require MAU 102 access. It is also possible to appear out of order according to.

【0032】命令セットは一定順序でプリフェッチ・バ
ッファ・ユニット260 へ返されない場合があっても、出
力バス196 上に出力される命令セットの列は、一般的
に、IFU 102 から出された命令セット要求の順序に従っ
ていなければならない。順序内(in-order) の命令スト
リーム列は、例えば、ターゲット・ブランチ・ストリー
ムの試行的実行に影響されるためである。
Although the instruction sets may not be returned to the prefetch buffer unit 260 in a fixed order, the sequence of instruction sets output on the output bus 196 will generally be the instruction set output from the IFU 102. Must follow the order of the request. This is because the instruction stream sequence in-order is affected by trial execution of the target branch stream, for example.

【0033】Iデコード・ユニット262 は、IFIFO ユニ
ット264 のスペースが許すかぎり、プリフェッチ・バッ
ファ出力バス196 から命令セットを、普通は1サイクル
に1つの割合で受け取る。1つの命令セットを構成する
4個の命令からなる各セットはIデコード・ユニット26
2 によって並列にデコードされる。関係の制御フロー情
報がIFU 102 の制御経路部分のためにライン318 から抜
き出されている間は、命令セットの内容はIデコード・
ユニット 262によって変更されない。Iデコード・ユニ
ット 162からの命令セットはIFIFO ユニット264 の128
ビット幅入力バス198 上に送出される。内部的には、IF
IFO ユニット264 はマスタ/スレーブ・レジスタ200 、
204 、208 、212 、216 、220 、224 の列から構成され
ている。各レジスタはその後続レジスタに接続され、マ
スタ・レジスタ200 、208 、216の内容がFIFOオペレー
ションの内部プロセッサ・サイクルの前半時にスレーブ
・レジスタ204 、212 、220 へ転送され、そのあとオペ
レーションの後半サイクル時に次の後続マスタ・レジス
タ208 、216 、224 へ転送されるようになっている。入
力バス198 はマスタ・レジスタ200 、208 、216 、224
の各々の入力に接続され、FIFOオペレーションの後半サ
イクル時に命令セットがIデコード・ユニット262 から
マスタ・レジスタに直接にロードされるよになってい
る。しかし、マスタ・レジスタを入力バス198 からロー
ドすることは、IFIFO ユニット264 内でデータをFIFOシ
フトすることと同時に行う必要はない。その結果、命令
FIFOユニット264 内にストアされた命令セットの現在の
深さに関係なく、さらに、IFIFOユニット264 内でデー
タをFIFOシフトすることから独立して、入力バス198 か
ら連続的にIFIFO ユニット264 に入れていくことができ
る。
The I decode unit 262 receives the instruction set from the prefetch buffer output bus 196, usually one per cycle, as space in the IFIFO unit 264 allows. Each set of four instructions that make up one instruction set is an I decode unit 26.
Decoded in parallel by 2. While the relevant control flow information is being pulled out of line 318 for the control path portion of IFU 102, the contents of the instruction set are
Unchanged by unit 262. The instruction set from the I decode unit 162 is 128
It is sent out on a bit width input bus 198. Internally, IF
IFO unit 264 has master / slave register 200,
It is composed of columns 204, 208, 212, 216, 220 and 224. Each register is connected to its subsequent registers, and the contents of master registers 200, 208, and 216 are transferred to slave registers 204, 212, and 220 during the first half of the internal processor cycle of the FIFO operation, and then during the second half of the operation. The data is transferred to the next succeeding master register 208, 216, 224. Input bus 198 is connected to master registers 200, 208, 216, 224
, So that during the second half cycle of the FIFO operation, the instruction set is loaded directly from the I decode unit 262 into the master register. However, loading the master register from input bus 198 need not be done concurrently with FIFO shifting the data in IFIFO unit 264. As a result, the instruction
Regardless of the current depth of the instruction set stored in the FIFO unit 264, and independently of the FIFO shifting of the data in the IFIFO unit 264, the input bus 198 continuously enters the IFIFO unit 264. I can go.

【0034】マスタ/スレーブ・レジスタ200 、204 、
208 、212 、216 、224 の各々は、128 ビット幅命令セ
ットの全ビットを並列にストアできるほかに、制御情報
のいくつかのビットをそれぞれの制御レジスタ202 、20
6 、210 、214 、218 、222、226 にストアすることも
できる。好ましくは、制御ビットのセットは、例外不一
致(exception miss)と例外修正(exception modify)(VM
U)、メモリなし(MCU)、ブランチ・バイアス、ストリー
ム、およびオフセット(IFU) からなっている。この制御
情報は、IFIFO マスタ・レジスタに入力バス198 から新
しい命令セットをロードするのと同様に、IFU 102 の制
御経路部分から発生する。そのあと、制御レジスタ情報
は命令セットと並行してIFIFO ユニット263 内で並列に
シフトされる。
The master / slave registers 200, 204,
Each of 208, 212, 216, and 224 can store all bits of the 128-bit wide instruction set in parallel and store some bits of control information in respective control registers 202, 20.
6, 210, 214, 218, 222, 226. Preferably, the set of control bits is such that exception miss and exception modify (VM
U), no memory (MCU), branch bias, stream, and offset (IFU). This control information originates from the control path portion of IFU 102, as well as loading a new instruction set from input bus 198 into the IFIFO master register. Thereafter, the control register information is shifted in parallel within the IFIFO unit 263 in parallel with the instruction set.

【0035】最後に、好適アーキテクチャ100 では、IF
IFO ユニット264 からの命令セットの出力は最後の2マ
スタ・レジスタ216 、224 から同時に得られて、I B
ucket 0とI Bucket 1命令セット出力バス278
、280 上に送出される。さらに、対応する制御レジス
タ情報がIBASV0とIVASV1制御フィールド・バス282 、28
4 上に送出される。これらの出力バス278 、282 、280
、284 はすべてIEU 104へ通じる命令ストリーム・バス
124 となるものである。 B)IFU 制御経路 IFU 102 制御経路は、プリフェッチ・バッファ・ユニッ
ト260 、Iデコード・ユニット262 およびIFIFO ユニッ
ト264 のオペレーションを直接にサポートする。プリフ
ェッチ制御ロジック・ユニット266 は主にプリフェッチ
・バッファ・ユニット260 のオペレーションを管理す
る。プリフェッチ制御ロジック・ユニット266 とIFU 10
2 は一般的には、クロック・ライン290 からシステム・
クロック信号を受信して、IFU のオペレーションとIEU
104 、 CCU 106およびVMU 108 のオペレーションとの同
期をとるようにしている。命令セットを選択して、MBUF
188、 TBUF 190 およびEBUF 192に書き込むための制御
信号は制御ライン304 上に送出される。
Finally, in the preferred architecture 100, the IF
The output of the instruction set from IFO unit 264 is obtained simultaneously from the last two master registers 216 and 224, B
ucket 0 and I Bucket 1 instruction set output bus 278
, 280. In addition, the corresponding control register information is IBASV0 and IVASV1 control field buses 282, 28
4 Sent up. These output buses 278, 282, 280
, 284 are all instruction stream buses leading to IEU 104
124. B) IFU Control Path The IFU 102 control path directly supports the operation of the prefetch buffer unit 260, I decode unit 262 and IFIFO unit 264. The prefetch control logic unit 266 mainly manages the operation of the prefetch buffer unit 260. Prefetch control logic unit 266 and IFU 10
2 is generally connected to system line from clock line 290.
Receiving the clock signal, IFU operation and IEU
104, the operation of the CCU 106 and the operation of the VMU 108 are synchronized. Select the instruction set and select MBUF
Control signals for writing to 188, TBUF 190 and EBUF 192 are sent on control line 304.

【0036】多数の制御信号は、制御ライン316 上に送
出されて、プリフェッチ制御ロジック・ユニット266 へ
送られる。具体的には、フェッチ要求制御信号はプリフ
ェッチ・オペレーションを開始するために送出される。
制御ライン316 上に送出される他の制御信号は要求した
プリフェッチ・オペレーションが目標とする宛先がMBUF
188であるか、TBUF 190であるか、EBUF 192であるかを
指定している。プリフェッチ要求を受けて、プリフェッ
チ制御ロジック・ユニット266 はID値を生成しプリフェ
ッチ要求をCCU 106 に通知できるかどうかを判断する。
ID値の生成は、循環4ビット・カウンタを使用して行わ
れる。
A number of control signals are sent out on control line 316 to the prefetch control logic unit 266. Specifically, a fetch request control signal is sent to initiate a prefetch operation.
The other control signal sent on control line 316 is that the target destination of the requested prefetch operation is an MBUF
It specifies whether it is 188, TBUF 190, or EBUF 192. Upon receiving the prefetch request, the prefetch control logic unit 266 generates an ID value and determines whether the prefetch request can be notified to the CCU 106.
The generation of the ID value is performed using a rotating 4-bit counter.

【0037】4ビット・カウンタの使用は、次の3つの
点で重要である。第1は、最大9個までの命令セットを
プリフェッチ・バッファ・ユニット260 で一度にアクテ
ィブにできることである。すなわち、MBUF 188での4命
令セット、TBUF 190での2命令セット、EBUF 192での命
令セット、およびフロー・スルー・バス194 経由で直接
にIデコード・ユニット262 に渡される1命令セットで
ある。第2は、命令セットが各々4バイトの4個の命令
からなることである。その結果、フェッチする命令を選
択するどのアドレスも、その最下位4ビットは余分にな
っている。最後は、プリフェッチ要求アドレスの最下位
4ビットとして挿入することで、プリフェッチ要求IDを
プリフェッチ要求と容易に関連づけることができること
である。これにより、CCU 106 とのインタフェースとな
るために必要な総アドレス数が減少することになる。
The use of a 4-bit counter is important in three ways: First, up to nine instruction sets can be active in the prefetch buffer unit 260 at a time. Four instruction sets in the MBUF 188, two instruction sets in the TBUF 190, an instruction set in the EBUF 192, and one instruction set passed directly to the I-decode unit 262 via the flow-through bus 194. Second, the instruction set consists of four instructions, each four bytes. As a result, any address that selects the instruction to be fetched has the least significant four bits extra. Lastly, the prefetch request ID can be easily associated with the prefetch request by inserting it as the least significant 4 bits of the prefetch request address. This reduces the total number of addresses required to interface with the CCU 106.

【0038】IFU 102 から出されたプリフェッチ要求の
順序に対して順序外で命令セットがCCU 106 から返却さ
れるようにするために、アーキテクチャ100 では、CCU
106からの命令セットの返却と一緒にID要求値が返され
るようになっている。しかし、順序外の命令セット返却
機能によると、16個の固有IDが使いつくされるおそれが
ある。条件付き命令の組合せが順序外で実行されると、
要求されたが、まだ返却されていない追加のプリフェッ
チと命令セットがあるので、ID値を再使用することが可
能になる。従って、4ビット・カウンタは保持しておく
のが好ましく、それ以降の命令セットのプリフェッチ要
求が出されないことになり、その場合には、次のID値
は、未処理のまま残っているフェッチ要求やそのときプ
リフェッチ・バッファ260 に保留されている別の命令セ
ットに関連づけられたものとなる。
To ensure that the instruction set is returned from CCU 106 out of order relative to the order of prefetch requests issued from IFU 102, architecture 100
The ID request value is returned together with the return of the instruction set from 106. However, according to the out-of-order instruction set return function, 16 unique IDs may be used up. When a combination of conditional instructions is executed out of order,
There are additional prefetches and instruction sets that have been requested but not yet returned, so that ID values can be reused. Therefore, it is preferable to hold the 4-bit counter, and no prefetch request for the instruction set will be issued thereafter, in which case the next ID value will be the fetch request remaining unprocessed. And at that time are associated with another instruction set held in the prefetch buffer 260.

【0039】プリフェッチ制御ロジック・ユニット266
はプリフェッチ状況配列(アレイ)268 を直接に管理
し、この配列はMBUF 188、TBUF 190およびEBUF 192内の
各命令セット・プリフェッチ・バッファ・ロケーション
に論理的に対応する状況記憶ロケーションからなってい
る。プリフェッチ制御ロジック・ユニット266 は、選択
およびデータ・ライン306 を通して、データをスキャン
し、読み取って、状況レジスタ配列268 に書き込むこと
ができる。配列268 内では、メイン・バッファ・レジス
タ308 は、4個の4ビットID値(MB ID) 、4個の1ビッ
ト予約フラグ(MBRES)および4個の1ビット有効フラグ
(MB VAL)をストアしておくためのものであり、これらの
各々は論理ビット位置別にMBUF 180内のそれぞれの命令
セット記憶ロケーションに対応づけられている。同様
に、ターゲット・バッファ・レジスタ310 と拡張バッフ
ァ・レジスタ312 は、それぞれ2個の4ビットID値(TB
ID、EBID)、2個の1ビット予約フラグ(TB RES 、EB RE
S) および2個の1ビット有効フラグ(TB VAL 、EB VAL)
をストアしておくためのものである。最後に、フロー
・スルー状況レジスタ314 は1個の4ビットID値(FT T
D) 、1個の予約フラグ・ビット(FT RES)および1個の
有効フラグ・ビット(FT VAL)をストアしておくためのも
のである。
Prefetch control logic unit 266
Directly manages a prefetch status array (array) 268, which consists of status storage locations that logically correspond to each instruction set prefetch buffer location in MBUF 188, TBUF 190 and EBUF 192. The prefetch control logic unit 266 can scan, read, and write data to the status register array 268 via the select and data lines 306. In array 268, main buffer register 308 contains four 4-bit ID values (MB ID), four 1-bit reserved flags (MBRES), and four 1-bit valid flags.
(MB VAL), each of which is associated with a respective instruction set storage location in MBUF 180 by logical bit position. Similarly, the target buffer register 310 and the extension buffer register 312 each have two 4-bit ID values (TB
ID, EBID) and two 1-bit reserved flags (TB RES, EB RE
S) and two 1-bit valid flags (TB VAL, EB VAL)
Is to be stored. Finally, the flow-through status register 314 stores one 4-bit ID value (FT T
D) This is for storing one reserved flag bit (FT RES) and one valid flag bit (FT VAL).

【0040】状況レジスタ配列268 が最初にスキャンさ
れ、該当するときは、プリフェッチ要求がCCU 266 に出
されるたびにプリフェッチ制御ロジック・ユニット266
によって更新され、そのあとは、命令セットが返される
たびにスキャンされ、更新される。具体的に説明する
と、制御ライン316 からプリフェッチ要求信号を受け取
ると、プリフェッチ制御ロジック・ユニット216 は現在
の循環カウンタ生成ID値をインクリメントし、状況レジ
スタ配列268 をスキャンして、使用可能なID値があるか
どうかプリフェッチ要求信号で指定されたタイプのプリ
フェッチ・バッファ・ロケーションが使用可能であるか
どうかを判断し、CCU IBUSY 制御ライン300 の状態を調
べてCCU 106 がプリフェッチ要求を受け付けることがで
きるかどうかを判断し、受付け可能ならば、制御ライン
298 上のCCU IREAD 制御信号を肯定し、インクリメント
されたID値をCCU 106 と結ばれたCCU ID出力バス294 上
に送出する。プリフェッチ記憶ロケーションは、対応す
る予約状況フラグと有効状況フラグが共に偽である場合
に使用が可能である。プリフェッチIDは、要求がCCU106
に出されるのと並行して、MBUF 188、TBUF 190、また
はEBUF 192内の目標とする記憶ロケーションに対応す
る、状況レジスタ配列268 内のID記憶ロケーションに書
き込まれる。さらに、対応する予約状況フラグが真にセ
ットされる。
The status register array 268 is scanned first and, if applicable, the prefetch control logic unit 266 each time a prefetch request is issued to the CCU 266.
, And are scanned and updated each time the instruction set is returned. Specifically, upon receiving a prefetch request signal from control line 316, prefetch control logic unit 216 increments the current cyclic counter generated ID value and scans status register array 268 to determine if an available ID value is available. Determines whether a prefetch buffer location of the type specified by the prefetch request signal is available and checks the state of the CCU IBUSY control line 300 to determine if the CCU 106 can accept the prefetch request. Judge and if acceptable, control line
The CCU IREAD control signal on 298 is asserted and the incremented ID value is sent out on the CCU ID output bus 294 connected to the CCU 106. A prefetch storage location can be used if the corresponding reservation status flag and valid status flag are both false. The prefetch ID indicates that the request is CCU106
In parallel, the ID storage location in the status register array 268 corresponding to the target storage location in the MBUF 188, TBUF 190, or EBUF 192 is written. Further, the corresponding reservation status flag is set to true.

【0041】CCU 106 が以前に要求された命令セットを
IFU 102 へ返却できるときは、CCUIREADY信号が制御ラ
イン302 上で肯定され、対応する命令セットIDがCCU ID
制御ライン296 上に送出される。プリフェッチ制御ロジ
ック・ユニット266 は状況レジスタ配列268 内のID値と
予約フラグをスキャンして、プリフェッチ・バッファ・
ユニット260 内の命令セットの目標とする宛先を判別す
る。一致するものは1つだけが可能である。判別される
と、命令セットはバス114 を経由してプリフェッチ・バ
ッファ・ユニット260 内の該当ロケーションに書き込ま
れ、フロー・スルー要求と判別されたときは、直接にI
デコード・ユニット262 に渡される。どちらの場合も、
対応する状況レジスタ配列に入っている有効状況フラグ
は真にセットされる。
The CCU 106 stores the previously requested instruction set
If it can be returned to IFU 102, the CCUIREADY signal is asserted on control line 302 and the corresponding instruction set ID is the CCU ID
Dispatched on control line 296. The prefetch control logic unit 266 scans the ID value and the reservation flag in the status register array 268, and
The target destination of the instruction set in unit 260 is determined. Only one match is possible. If determined, the instruction set is written via bus 114 to the appropriate location in prefetch buffer unit 260, and if determined to be a flow-through request, the I
Passed to decode unit 262. In both cases,
The valid status flags in the corresponding status register array are set to true.

【0042】PCロジック・ユニット270 は、以下で詳し
く説明するように、IFU 102 全体を調べて、MBUF 188、
TBUF190 およびEBUF 192命令ストリームの仮想アドレス
を探し出す。この機能を実行する際、PCロジック・ブロ
ック270 はIデコード・ユニット262 を制御すると同時
に、そこから動作する。具体的には、Iデコード・ユニ
ット262 によってデコードされ、プログラムの命令スト
リームのフローの変化と係わりがある可能性のある命令
部分はバス318 を経由して制御フロー検出ユニット274
へ送られると共に、直接にPCロジック・ブロック270 へ
送られる。制御フロー検出ユニット274 は、条件付きブ
ランチ命令と無条件ブランチ命令、コール型命令、ソフ
トウェア・トラップ・プロシージャ命令および種々のリ
ターン命令を含む制御フロー命令を構成する各命令を、
デコードされた命令セットの中から判別する。制御フロ
ー検出ユニット274 は制御信号をライン322 を経由して
PCロジック・ユニット270 へ送る。この制御信号は、I
デコード・ユニット262 に存在する命令セット内の制御
フロー命令のロケーションと種類を示している。これを
受けて、PCロジック・ユニット270 は、一般的には、命
令に入れられて、ライン318 経由でPCロジック・ユニッ
トへ転送されたデータから制御フロー命令のターゲット
・アドレスを判断する。例えば、条件付きブランチ命令
に対して先に実行するためにブランチ・ロジック・バイ
アスが選択された場合は、PCロジック・ユニット270 は
条件付きブランチ命令ターゲット・アドレスから命令セ
ットをプリフェッチすることを指示し、別々に追跡する
ことを開始する。従って、制御ライン316 上のプリフェ
ッチ要求を次に肯定すると、PCロジック・ユニット270
はさらにライン316 を経由する制御信号を肯定し、先行
するプリフェッチ命令セットがMBUF 188またはEBUF 192
へ送られたものと想定すると、プリフェッチの宛先をTB
UF 190として選択する。プリフェッチ要求をCCU 106 へ
渡すことができるとプリフェッチ制御ロジック・ユニッ
ト266 が判断すると、プリフェッチ制御ロジック・ユニ
ット266 は、この場合もライン316 を経由してイネーブ
ル(許可)信号をPCロジック・ユニット270 へ送って、
ターゲット・アドレスのページ・オフセット部分(CCU P
ADDR[13:4]) をアドレス・ライン324 を経由して直接に
CCU106 へ渡すことを可能にする。これと同時に、PCロ
ジック・ユニット270 は、新しい仮想ページから物理ペ
ージへの変換が必要な場合には、さらに、VMU 要求信号
を制御ライン328 を経由して、ターゲット・アドレスの
仮想化部分(VMU VADDR[13:14]) をアドレス・ライン326
を経由してVMU 108 へ渡して、物理アドレスに変換す
る。ページ変換が必要でない場合は、VMU 108 によるオ
ペレーションは必要でない。その代わりに、以前の変換
結果がバス122 に接続された出力ラッチに保存されるの
で、CCU 106 によって即時に使用される。
The PC logic unit 270 examines the entire IFU 102 and examines the MBUF 188,
Find the virtual address of the TBUF190 and EBUF 192 instruction streams. In performing this function, PC logic block 270 controls and operates from I-decode unit 262. Specifically, the instruction portion decoded by the I decode unit 262 and possibly associated with a change in the flow of the instruction stream of the program is transmitted via the bus 318 to the control flow detection unit 274.
And to the PC logic block 270 directly. The control flow detection unit 274 executes each instruction constituting the control flow instruction including conditional branch instruction and unconditional branch instruction, call type instruction, software trap procedure instruction and various return instructions.
Judge from the decoded instruction set. The control flow detection unit 274 sends the control signal via line 322.
Send to PC logic unit 270. This control signal is I
It shows the location and type of control flow instructions in the instruction set residing in decode unit 262. In response, PC logic unit 270 generally determines the target address of the control flow instruction from the data contained in the instruction and transferred to the PC logic unit via line 318. For example, if a branch logic bias was selected to execute first for a conditional branch instruction, PC logic unit 270 would indicate that the instruction set should be prefetched from the conditional branch instruction target address. Start tracking separately. Therefore, the next affirmation of the prefetch request on control line 316 causes the PC logic unit 270
Also asserts the control signal via line 316 and the preceding set of prefetch instructions causes the MBUF 188 or EBUF 192
Prefetch destination is TB
Select as UF 190. If the prefetch control logic unit 266 determines that the prefetch request can be passed to the CCU 106, the prefetch control logic unit 266 again sends an enable signal to the PC logic unit 270 via line 316. send,
Page offset portion of target address (CCU P
ADDR [13: 4]) directly via address line 324
Enables passing to CCU106. At the same time, when a conversion from a new virtual page to a physical page is required, the PC logic unit 270 further transmits a VMU request signal via the control line 328 to the virtualized portion (VMU) of the target address. VADDR [13:14]) to address line 326
To the VMU 108 to convert it to a physical address. If page conversion is not required, no operation by VMU 108 is required. Instead, the previous conversion result is stored in an output latch connected to bus 122 and is immediately used by CCU 106.

【0043】PCロジック・ユニット270 が要求した仮想
から物理への変換時にVMU 108 にオペレーション・エラ
ーが起こると、VMU 例外およびVMU 不一致制御(miss co
ntrol)ライン332 、334 を通して報告される。VMU 不一
致制御ライン334 は変換索引緩衝機構(translation loo
kaside buffer: TLB) の不一致を報告する。VMU 例外ラ
イン332 上のVMU 例外制御信号は、他の例外が起こると
発生する。いずれの場合も、PCロジック・ユニットは、
命令ストリーム中の現在の実行個所をストアしておき、
そのあと無条件ブランチが行われたのと同じように、そ
れを受けて、エラー条件を診断し処理するための専用例
外処理ルーチン命令ストリームをプリフェッチすること
によって、エラー条件を処理する。VMU 例外および不一
致制御信号は、発生した例外の種類を示しているので、
PCロジック・ユニット270 は対応する例外処理ルーチン
のプリフェッチ・アドレスを判別することができる。
If an operation error occurs in the VMU 108 during the virtual to physical conversion requested by the PC logic unit 270, a VMU exception and VMU mismatch control (miss co
ntrol) reported through lines 332,334. The VMU mismatch control line 334 is a translation index buffer.
Report a mismatch in kaside buffer (TLB). The VMU exception control signal on VMU exception line 332 occurs when another exception occurs. In each case, the PC logic unit is
Store the current execution point in the instruction stream,
Thereafter, the error condition is handled by prefetching a dedicated exception handling routine instruction stream for diagnosing and handling the error condition, as if an unconditional branch was taken. The VMU exception and mismatch control signals indicate the type of exception that occurred,
PC logic unit 270 can determine the prefetch address of the corresponding exception handling routine.

【0044】IFIFO 制御ロジック・ユニット272 はIFIF
O ユニット264 を直接にサポートするためのものであ
る。具体的には、PCロジック・ユニット270 は制御ライ
ン336を経由して制御信号を出力し、命令セットがIデ
コード・ユニット262 から入力バス198 経由で使用可能
であることをIFIFO 制御ロジック・ユニット272 に通知
する。IFIFO 制御ユニット272 は命令セットを受け取る
ために、最も奥の使用可能なマスタ・レジスタ200 、20
8 、216 、224 を選択する役割を持っている。マスタ・
レジスタ202 、210 、218 、226 の各々の出力は制御バ
ス338 を経由してIFIFO 制御ユニット272 へ渡される。
各マスタ制御レジスタによってストアされる制御ビット
は2ビット・バッファ・アドレス(IF Bx ADR)、単
一ストリーム・インジケータ・ビット(IF Bx STR
M) 、および単一有効ビット(IF Bx VLD) からなってい
る。2ビット・バッファ・アドレスは対応する命令セッ
ト内の最初の有効命令セットを指定している。つまり、
CCU 106 から返された命令セットは、例えば、ブランチ
・オペレーションのターゲット命令が命令セット内の最
初の命令ロケーションに置かれるように境界合わせされ
ていないことがある。従って、バッファ・アドレス値
は、実行の対象として考慮される、命令セット内の最初
の命令を一意的に示すために与えられる。
The IFIFO control logic unit 272 is an IFIF
O To directly support unit 264.
You. Specifically, the PC logic unit 270
Control signal is output via the
Available from code unit 262 via input bus 198
Notify IFIFO control logic unit 272
I do. IFIFO control unit 272 receives instruction set
The innermost available master registers 200, 20
8, 216 and 224 are selected. Master·
The output of each of the registers 202, 210, 218, 226 is
Is passed to the IFIFO control unit 272 via the
Control bits stored by each master control register
Is the 2-bit buffer address (IF  Bx ADR), simply
One stream indicator bit (IF  Bx STR
M) and a single valid bit (IF Bx VLD)
You. The 2-bit buffer address is stored in the corresponding instruction set.
Specifies the first valid instruction set in the list. That is,
The instruction set returned by CCU 106 is, for example, the branch
・ The target instruction of the operation is the
Aligned to be in the first instruction location
May not. Therefore, the buffer address value
Is the first in the instruction set to be considered for execution
Is given to uniquely identify the instruction.

【0045】ストリーム・ビットは、条件付き制御フロ
ー命令を含んでいる命令セットのロケーションを示し、
IFIFO ユニット264 を通る命令のストリームに滞在的制
御フローの変更を引き起こすマーカとして使用されるこ
とを基本としている。メイン命令ストリームは一般にス
トリーム・ビット値が0のときMBUF 188を通して処理さ
れる。例えば、相対条件付きブランチ命令が現れると、
対応する命令セットはマークがつけられ、ストリーム・
ビット値が1となる。条件付命令セットはIデコード・
ユニット262 によって検出される。条件付制御フロー命
令は最高4つまで命令セットに存在することができる。
そのあと、命令セットはIFIFO ユニット264 の最も奥の
使用可能なマスタ・レジスタにストアされる。
The stream bits indicate the location of the instruction set containing the conditional control flow instruction;
It is based on being used as a marker to cause a change in staying control flow in the stream of instructions through the IFIFO unit 264. The main instruction stream is generally processed through MBUF 188 when the stream bit value is zero. For example, when a relative conditional branch instruction appears,
The corresponding instruction set is marked and the stream
The bit value becomes 1. Conditional instruction set is I decode
Detected by unit 262. Up to four conditional control flow instructions can be in the instruction set.
Thereafter, the instruction set is stored in the innermost available master register of the IFIFO unit 264.

【0046】条件付ブランチ命令のターゲット・アドレ
スを判断するために、現在のIEU 104 の実行点アドレス
(DPC) 、ストリーム・ビットで指定された条件付命令が
入っている命令セットの相対ロケーション、制御フロー
検出ユニット274 から得られた命令セット内の条件付命
令ロケーション・オフセットは、制御ライン318 を通し
て対応するブランチ命令フィールドから得た相対ブラン
チ・オフセット値と結合される。その結果はブランチ・
ターゲットの仮想アドレスとなり、PCロジック・ユニッ
ト270 によってストアされる。ターゲット命令ストリー
ムの最初の命令セットは、このアドレスを使用してプリ
フェッチしてTBUF 190に入れることができる。PCロジッ
ク・ユニット270 のために事前に選択されたブランチ・
バイアスに応じて、IFIFO ユニット264 はMBUF 188また
はTBUF 190からロードが続けられる。1つまたは2つ以
上の条件付フロー命令を含んでいる2番目の命令セット
が現れると、その命令セットはストリーム・ビット値に
0のマークが付けられる。2番目のターゲット・ストリ
ームはフェッチできないので、ターゲット・アドレスは
PCロジック・ユニット270 によって計算されてストアさ
れるが、プリフェッチは行われない。さらに、それ以降
の命令セットはIデコード・ユニット262 を通して処理
することができない。少なくとも、条件付きフロー制御
命令を含んでいることが分かった命令セットは1つも処
理されない。
To determine the target address of the conditional branch instruction, the current IEU 104 execution point address
(DPC), the relative location of the instruction set containing the conditional instruction specified by the stream bits, and the conditional instruction location offset in the instruction set obtained from the control flow detection unit 274, are mapped through the control line 318. With the relative branch offset value obtained from the corresponding branch instruction field. The result is a branch
It becomes the virtual address of the target and is stored by PC logic unit 270. The first instruction set in the target instruction stream can be prefetched into TBUF 190 using this address. Branches preselected for PC logic unit 270
Depending on the bias, IFIFO unit 264 continues to load from MBUF 188 or TBUF 190. When a second instruction set appears that contains one or more conditional flow instructions, the instruction set is marked with a zero stream bit value. Since the second target stream cannot be fetched, the target address is
Calculated and stored by PC logic unit 270, but not prefetched. Further, subsequent instruction sets cannot be processed through I-decode unit 262. At least none of the instruction sets found to contain conditional flow control instructions are processed.

【0047】本発明の好適実施例では、PCロジック・ユ
ニット270 は、最高2個までの命令セットに現れた条件
付きフロー命令を最高8個まで管理することができる。
ストリーム・ビットの変化でマークが付けられた2命令
セットの各々のターゲット・アドレスは4つのアドレス
・レジスタの配列にストアされ、ターゲット・アドレス
は命令セット内の対応する条件付きフロー命令のロケー
ションに対して論理的位置に置かれる。
In a preferred embodiment of the present invention, PC logic unit 270 can manage up to eight conditional flow instructions that appear in up to two instruction sets.
The target address of each of the two instruction sets, marked by a stream bit change, is stored in an array of four address registers, where the target addresses are relative to the location of the corresponding conditional flow instruction in the instruction set. Be placed in a logical position.

【0048】最初の順序内条件付きフロー命令のブラン
チ結果が解決されると、PCロジック・ユニット270 はブ
ランチが行われる場合は、TBUF 190の内容をMBUF 188に
転送し、TBUF 190の内容に無効のマークを付けるよう
に、ライン316 上の制御信号によってプリフェッチ制御
ユニット260 に指示する。正しくない命令ストリーム、
つまり、ブランチが行われない場合はターゲット・スト
リームからの、ブランチが行われる場合はメイン・スト
リームからの命令セットがIFIFO ユニット264 にある
と、IFIFO ユニット264 からクリアされる。2番目また
はそれ以降の条件付きフロー制御命令が第1ストリーム
・ビットのマークが付けられた命令セットに存在する
と、その命令は統一された方法で処理される。すなわ
ち、ターゲット・ストリームからの命令セットはプリフ
ェッチされ、MBUF 188またはTBUF 190からの命令セット
はブランチ・バイアスに応じてIデコード・ユニット26
2 を通して処理され、条件付きフロー命令が最終的に解
決されると、正しくないストリーム命令セットがIFIFO
ユニット264 からクリアされる。
Once the branch result of the first in-order conditional flow instruction has been resolved, PC logic unit 270 transfers the contents of TBUF 190 to MBUF 188 if the branch is taken and invalidates the contents of TBUF 190. A control signal on line 316 instructs prefetch control unit 260 to mark Incorrect instruction stream,
That is, if the IFIFO unit 264 has an instruction set from the target stream when the branch is not performed and from the main stream when the branch is performed, the instruction set is cleared from the IFIFO unit 264. If a second or subsequent conditional flow control instruction is present in the instruction set marked with the first stream bit, the instruction is processed in a unified manner. That is, the instruction set from the target stream is prefetched, and the instruction set from the MBUF 188 or TBUF 190 is
When the conditional flow instruction is processed through 2 and the conditional resolution is finally resolved, the incorrect stream instruction set
Cleared from unit 264.

【0049】IFIFO ユニット264 から正しくないストリ
ーム命令がクリアされたとき、2 番目の条件付きフロー
命令がIFIFO ユニット264 に残っていて、最初の条件付
きフロー命令セットにそれ以降の条件付きフロー命令が
含まれていないと、第2ストリーム・ビットのマークが
付いた命令セットのターゲット・アドレスはアドレス・
レジスタの最初の配列にプロモートされる。いずれの場
合も、条件付きフロー命令を含んでいる次の命令セット
はIデコード・ユニット262 を通して評価すつことが可
能になる。従って、ストリーム・ビットをトグルとして
使用すると、ブランチ・ターゲット・アドレスを計算す
る目的のために、また、ブランチ・バイアスが特定の条
件付きフロー制御命令では正しくなかったとあとで判断
された場合に、それより上をクリアすべき命令セット・
ロケーションにマークを付ける目的のために、滞在的制
御フローの変化にマークを付けておき、IFIFO ユニット
264 を通して追跡することができる。
When the incorrect stream instruction is cleared from IFIFO unit 264, a second conditional flow instruction remains in IFIFO unit 264, and the first conditional flow instruction set includes subsequent conditional flow instructions. Otherwise, the target address of the instruction set marked with the second stream bit is the address
Promoted to the first array of registers. In either case, the next set of instructions containing the conditional flow instruction will be available for evaluation through I-decode unit 262. Thus, using stream bits as toggles can be used for the purpose of calculating branch target addresses, and when branch bias is later determined to be incorrect for a particular conditional flow control instruction. Instruction set to clear above
For the purpose of marking locations, mark changes in the control flow of the stay-like control flow, and use the IFIFO unit
264 can be tracked.

【0050】命令セットをマスタ・レジスタから実際に
クリアするのではなく、IFIFO 制御ロジック・ユニット
272 はIFIFO ユニット264 の対応するマスタ・レジスタ
の制御レジスタに入っている有効ビット・プラグをリセ
ットするだけである。このクリア・オペレーションはラ
イン336 に送出される制御信号でPCロジック・ユニット
270 によって開始される。マスタ制御レジスタ202 、21
0 、218 、226 の各々の入力は状況バス230 を通してIF
IFO 制御ロジック・ユニット272 が直接にアクセスする
ことができる。好適実施例のアーキテクチャ100 では、
これらのマスタ制御レジスタ202 、210 、218 、2262内
のビットは、IFIFO ユニット264 によるデータ・シフト
・オペレーションと並行してまたは独立してIFIFO 制御
ユニット272 によってセットすることが可能である。こ
の機能により、IEU 104 のオペレーションと非同期に、
命令セットをマスタ・レジスタ200 、208 、216 、224
のいずかに書き込み、対応する状況情報をマスタ制御レ
ジスタ202 、210 、218 、226 に書き込むことができ
る。
Instead of actually clearing the instruction set from the master register, the IFIFO control logic unit
272 simply resets the valid bit plug in the control register of the corresponding master register of IFIFO unit 264. This clear operation is a control signal sent out on line 336 and the PC logic unit
Started by 270. Master control register 202, 21
Each of the inputs 0, 218, 226 is connected to the IF via status bus 230.
The IFO control logic unit 272 has direct access. In the preferred embodiment architecture 100,
The bits in these master control registers 202, 210, 218, 2262 can be set by IFIFO control unit 272 in parallel or independently of the data shift operation by IFIFO unit 264. This feature allows asynchronous operation with IEU 104 operations,
Instruction set to master registers 200, 208, 216, 224
, And corresponding status information can be written to the master control registers 202, 210, 218, 226.

【0051】最後に、制御および状況バス230 上の追加
の制御ラインはIFIFO ユニット264のIFIFO オペレーシ
ョンを可能にし、指示する。IFIFO シフトは、制御ライ
ン336 を通してPCロジック・ユニット270 から出力され
たシフト要求制御信号を受けてIFIFO ユニット264 によ
って行われる。IFIFO 制御ユニット272 は、命令セット
を受け入れるマスタ・レジスタ200 、208 、216 、224
が使用可能であると、制御信号をライン316 を経由して
プリフェッチ制御ユニット266 に送って、プリフェッチ
・バッファ260 から次の該当命令セットを転送すること
を要求する。命令セットが転送されると、配列266 内の
対応する有効ビットがリセットされる。 C)IFU/IEU 制御インタフェース IFU 102 とIEU 104 とを結ぶ制御インタフェースは制御
バス126 によって提供される。この制御バス126 はPCロ
ジック・ユニット270 に接続され、複数の制御、アドレ
スおよび特殊データ・ラインから構成されている。割込
み要求と受信確認制御信号を制御ライン340 を経由して
渡すことにより、IFU 102 は割込みオペレーションを通
知し、IEU 104 との同期をとることができる。外部で発
生した割込み信号はライン292 経由でロジック・ユニッ
ト270 へ送られる。これを受けて、割込み要求制御信号
がライン340 上に送出されると、IEU 104 は試行的に実
行された命令をキャンセルする。割込みの内容に関する
情報は、割込み情報ライン341 を通してやりとりされ
る。IEU 104 がPCロジック・ユニット270 によって判断
された割込みサービス・ルーチンのアドレスからプリフ
ェッチされた命令の受信を開始する準備状態になると、
IEU 104 はライン340 上の割込み受信確認制御信号を肯
定する。IFU 102 によってプリフェッチされた割込みサ
ービス・ルーチンがそのあと開始される。
Finally, additional control lines on the control and status bus 230 enable and direct IFIFO operation of the IFIFO unit 264. The IFIFO shift is performed by the IFIFO unit 264 in response to the shift request control signal output from the PC logic unit 270 via the control line 336. The IFIFO control unit 272 has master registers 200, 208, 216, 224 that accept the instruction set.
Is available, a control signal is sent via line 316 to the prefetch control unit 266 requesting that the next set of instructions be transferred from the prefetch buffer 260. When the instruction set is transferred, the corresponding valid bit in array 266 is reset. C) IFU / IEU Control Interface The control interface between IFU 102 and IEU 104 is provided by control bus 126. The control bus 126 is connected to the PC logic unit 270 and comprises a plurality of control, address and special data lines. By passing an interrupt request and an acknowledgment control signal via control line 340, IFU 102 can signal an interrupt operation and synchronize with IEU 104. The externally generated interrupt signal is sent to logic unit 270 via line 292. In response, when an interrupt request control signal is issued on line 340, IEU 104 cancels the trially executed instruction. Information about the contents of the interrupt is exchanged via an interrupt information line 341. When IEU 104 is ready to begin receiving instructions prefetched from the address of the interrupt service routine as determined by PC logic unit 270,
IEU 104 asserts the interrupt acknowledge control signal on line 340. The interrupt service routine prefetched by IFU 102 is then started.

【0052】IFIFO 読取り(IFIFO RD)制御信号はIEU 10
4 から出力され、最も奥のマスタ・レジスタ224 に存在
する命令セットが実行を完了したことおよび次の命令セ
ットが必要であることを通知する。この制御信号を受け
ると、PCロジック・ユニット270 はIFIFO ユニット264
でIFIFO シフト・オペレーションを実行するようにIFIF
O 制御ロジック・ユニット272 に指示する。
The IFIFO read (IFIFO RD) control signal is IEU10
4 to indicate that the instruction set residing in the innermost master register 224 has completed execution and that the next instruction set is needed. Upon receiving this control signal, the PC logic unit 270 sends the IFIFO unit 264
Perform IFIFO shift operation on IFIF
O Instruct the control logic unit 272.

【0053】PCインクリメント要求とサイズ値(PC INC/
SIZE) は制御ライン344 上に送出されて、現在のプログ
ラム・カウンタ値を命令の対応するサイズ数だけ更新す
るようにPCロジック・ユニット270 に指示する。これに
より、PCロジック・ユニット270 は、現在のプログラム
命令ストリーム中の最初の順序内実行命令のロケーショ
ンを正確に指した個所に実行プログラム・カウンタ(DP
C) を維持することができる。
The PC increment request and the size value (PC INC /
SIZE) is sent on control line 344 to instruct PC logic unit 270 to update the current program counter value by the corresponding size number of instructions. This causes the PC logic unit 270 to place the execution program counter (DP) at the exact location of the first in-order execution instruction in the current program instruction stream.
C) can be maintained.

【0054】ターゲット・アドレス(TARGET ADDR) はア
ドレス・ライン346 を経由してPCロジック・ユニット27
0 に返される。このターゲット・アドレスは、IEU 104
のレジスタ・ファイルにストアされているデータによっ
てきまるブランチ命令の仮想ターゲット・アドレスであ
る。従って、ターゲット・アドレスを計算するためにIE
U 104 のオペレーションが必要である。制御フロー結果
(CF RESULT) 制御信号は制御ライン348 を経由してPCロ
ジック・ユニット270 へ送られて、現在保留されている
条件付きブランチ命令が解決されたかどうか、その結果
がブランチによるものなのか、ブランチによらないもの
なのかを示している。これらの制御信号に基づいて、PC
ロジック・ユニット270 は、条件付きフロー命令の実行
の結果として、プリフェッチ・バッファ260 とIFIFO ユ
ニット264 に置かれている命令セットのどれをキャンセ
ルする必要があるかを判断することができる。
The target address (TARGET ADDR) is transmitted via the address line 346 to the PC logic unit 27.
Returned to 0. This target address is IEU 104
Is the virtual target address of the branch instruction determined by the data stored in the register file of FIG. Therefore, to calculate the target address IE
U104 operation required. Control flow result
The (CF RESULT) control signal is sent via control line 348 to the PC logic unit 270 to determine whether the currently pending conditional branch instruction has been resolved, whether the result was due to the branch, or not. It indicates that it is not a problem. Based on these control signals, the PC
Logic unit 270 can determine which of the instruction sets located in prefetch buffer 260 and IFIFO unit 264 need to be canceled as a result of execution of the conditional flow instruction.

【0055】いくつかのIEU 命令リターン型制御信号(I
EUリターン) が制御ライン350 上を送出されて、IEU 10
4 によってある命令が実行されたことをIEU 102 に通知
する。これらの命令には、プロシージャ命令からのリタ
ーン、トラップからのリターンおよびサブルーチン・コ
ールからのリターンがある。トラップからのリターン命
令はハードウェア割込み処理ルーチンとソフトウェア・
トラップ処理ルーチンで同じように使用される。サブル
ーチン・コールからのリターンもジャンプとリンク型コ
ールと併用される。どの場合も、リターン制御信号は、
以前に割込みがかけられた命令ストリームに対して命令
フェッチ・オペレーションを再開するようにIFU 102 に
通知するために送られる。これらの信号をIEU 104 から
出すことにより、システム100 の正確なオペレーション
を維持することができる。「割込みがかけられた」命令
ストリームの再開はリターン命令の実行個所から行われ
る。
Some IEU instruction return type control signals (I
(EU return) is sent out on control line 350 and
4 informs IEU 102 that an instruction has been executed. These instructions include returns from procedure instructions, returns from traps, and returns from subroutine calls. The return instruction from the trap is executed by the hardware interrupt processing routine and software
Used similarly in trap handling routines. Returns from subroutine calls are also used with jumps and linked calls. In each case, the return control signal
Sent to notify IFU 102 to restart the instruction fetch operation for a previously interrupted instruction stream. By issuing these signals from IEU 104, accurate operation of system 100 can be maintained. Resume of the "interrupted" instruction stream occurs from the point of execution of the return instruction.

【0056】現命令実行PCアドレス(現IF PC) はアド
レス・バス352 を経由してIEU 104へ送られる。このア
ドレス値(DPC) はIEU 104 によって実行される正確な命
令を指定している。つまり、IEU 104 が現在のIF PCア
ドレスを通過した命令を先に試行的に実行している間
は、このアドレスは、割込み、例外、その他に正確なマ
シンの状態が分かっていることが必要な事象の発生に対
してアーキテクチャ100を正確に制御するために保持さ
れていなければならない。現在実行中の命令ストリーム
の中の正確なマシンの状態を進めることが可能であると
IEU 104 が判断すると、PC Inc/Size 信号がIFU 102 に
送られ、即時に現在のIF PCアドレス値に反映される。
The current instruction execution PC address (current IF PC) is sent to the IEU 104 via the address bus 352. This address value (DPC) specifies the exact instruction to be executed by IEU 104. In other words, IEU 104 is the current IF During the first trial execution of an instruction that has passed the PC address, this address is used for interrupts, exceptions, and other events that require a precise machine state to be known. Must be maintained for precise control. It is possible to advance the exact machine state in the currently executing instruction stream
When the IEU 104 determines, the PC Inc / Size signal is sent to the IFU 102 and the current IF Reflected in the PC address value.

【0057】最後に、アドレスおよび双方向データ・バ
ス354 は特殊レジスタのデータを転送するためのもので
ある。このデータはIEU 104 によってIFU 102 内の特殊
レジスタに入れられ、あるいはそこから読み取られるよ
うにプログラムすることが可能である。特殊レジスタの
データは一般にIFU 102 が使用できるように、IEU 104
によってロードされ、あるいは計算される。 D)PCロジック・ユニットの詳細 PC制御ユニット362 、割込み制御ユニット363 、プリフ
ェッチPC制御ユニット364 および実行PC制御ユニット36
6 を含むPCロジック・ユニット270 の詳細図は図3に示
されている。PC制御ユニット362 はインターフェース・
バス126 を通してプリフェッチ制御ユニット266 、IFIF
O 制御ロジック・ユニット272 、およびIEU 104 から制
御信号を受けて、プリフェッチおよび実行PC制御ユニッ
ト364 、366 に対してタイミング制御を行う。割込み制
御ユニット363 は、プリフェッチ・トラップ・アドレス
・オフセットを判断してそれぞれのトラップ・タイプを
処理する該当処理ルーチンを選択することを含めて、割
込みと例外の正確な管理を担当する。プリフェッチPC制
御ユニット364 は、特に、トラップ処理とプロシージャ
・ルーチン命令のフローのためのリターン・アドレスを
ストアすることを含めて、プリフェッチ・バッファ188
、190 、192 をサポートするために必要なプログラム
・カウンタの管理を担当する。このオペレーションをサ
ポートするために、プリフェッチPC制御ユニット364
は、物理アドレス・バス・ライン324 上のCCU PADDERア
ドレスとアドレス・ライン326 上のVMU VMADDRアドレス
を含むプリフェッチ仮想アドレスを生成することを担当
する。その結果、プリフェッチPC制御ユニット364 は、
現在のプリフェッチPC仮想アドレス値を保持することを
担当する。
Finally, the address and bi-directional data bus 354 is for transferring data of special registers. This data can be programmed by the IEU 104 into special registers within the IFU 102, or read from there. The data in the special registers is generally stored in IEU 104
Loaded or calculated by D) Details of PC logic unit PC control unit 362, interrupt control unit 363, prefetch PC control unit 364, and execution PC control unit 36
A detailed view of the PC logic unit 270, including 6 is shown in FIG. The PC control unit 362 has an interface
Prefetch control unit 266 via bus 126, IFIF
O Upon receiving control signals from the control logic unit 272 and the IEU 104, the prefetch and execution PC control units 364 and 366 are subjected to timing control. The interrupt control unit 363 is responsible for accurate management of interrupts and exceptions, including determining the prefetch trap address offset and selecting the appropriate processing routine to handle each trap type. The prefetch PC control unit 364 includes a prefetch buffer 188, which includes, among other things, storing return addresses for trap processing and the flow of procedure routine instructions.
, 190, and 192 are responsible for managing the program counters needed to support them. To support this operation, the prefetch PC control unit 364
Is responsible for generating a prefetch virtual address including the CCU PADDER address on physical address bus line 324 and the VMU VMADDR address on address line 326. As a result, the prefetch PC control unit 364
Responsible for retaining the current prefetch PC virtual address value.

【0058】プリフェッチ・オペレーションは一般に制
御ライン316 上を送出された制御信号を通してIFIFO 制
御ロジック・ユニット272 によって開始される。これを
受けて、PC制御ユニット362 はいくつかの制御信号を生
成して制御ライン372 上に出力し、プリフェッチPC制御
ユニットを動作させて、アドレス・ライン324 、326上
にPADDR アドレスと、必要に応じてVMADDRアドレスを生
成する。値が0から4までのインクリメント信号も制御
ライン374 上に送出される場合もあるが、これは、PC制
御ユニット362 が現在のプリフェッチ・アドレスから命
令セットのフェッチを再実行しているか、一連のプリフ
ェッチ要求の中の2番目の要求に対して位置合わせを行
っているか、プリフェッチのために次の全順次命令セッ
トを選択しているか、によって決まる。最後に、現在の
プリフェッチ・アドレスPF PCがバス370 上に送出さ
れ、実行PC制御ユニット366 へ渡される。
The prefetch operation is generally initiated by IFIFO control logic unit 272 through control signals sent on control line 316. In response, the PC control unit 362 generates and outputs a number of control signals on the control line 372, activates the prefetch PC control unit, and sets the PADDR address on the address lines 324 and 326 and the necessary address. Generate a VMADDR address accordingly. An increment signal with a value of 0 to 4 may also be sent on control line 374, which indicates that PC control unit 362 is re-executing the instruction set fetch from the current prefetch address, or a series of It depends on whether the second request among the prefetch requests is aligned, or whether the next full sequential instruction set is selected for prefetch. Finally, the current prefetch address PF The PC is sent out on the bus 370 and passed to the execution PC control unit 366.

【0059】新しいプリフェッチ・アドレスは、いくつ
かのソースから発生する。アドレスの主要なソースは、
バス352 経由で実行PC制御ユニット366 から送出された
現在のIF PCアドレスである。原理的には、IF PCアド
レスからはリターン・アドレスが得られ、これは、初期
コール、トラップまたはプロシージャ命令が現れたと
き、プリフェッチPC制御ユニットによってあとで使用さ
れるものである。IF PCアドレスは、これらの命令が現
れるたびに、プリフェッチPC制御ユニット364内のレジ
スタにストアされる。このようにして、PC制御ユニット
362 は制御ライン350 を通してIEU リターン信号を受け
たとき、プリフェッチPC制御ユニット364 内のリターン
・アドレス・レジスタを選択して新しいプリフェッチ仮
想アドレスを取り出すだけでよく、これによって元のプ
ログラム命令ストリームを再開する。
How many new prefetch addresses
Originating from that source. The primary source of addresses is
Sent from execution PC control unit 366 via bus 352
Current IF PC address. In principle, IF PC ad
Address gives the return address, which is
When a call, trap or procedure instruction appears
Used later by the prefetch PC control unit.
It is what is done. IF The PC address is
Each time the prefetch PC control unit 364
Stored in the star. In this way, the PC control unit
362 receives the IEU return signal via control line 350
Return in the prefetch PC control unit 364
-Select an address register to create a new prefetch temporary
All you need to do is retrieve the virtual address,
Restart the program instruction stream.

【0060】プリフェッチ・アドレスのもう1つのソー
スは、実行PC制御ユニット366 から相対ターゲット・ア
ドレス・バス382 を経由して、あるいはIEU 104 から絶
対ターゲット・アドレス・バス346 を経由して送出され
たターゲット・アドレス値である。相対ターゲット・ア
ドレスとは、実行PC制御ユニット366 によって直接に計
算できるアドレスである。絶対ターゲット・アドレス
は、これらのターゲット・アドレスが IEUレジスタ・フ
ァイルに入っているデータに依存するので、IEU104 に
生成させる必要がある。ターゲット・アドレスはターゲ
ット・アドレス・バス384 を通ってプリフェッチPC制御
ユニット364 へ送られ、プリフェッチ仮想アドレスとし
て使用される。相対ターゲット・アドレスを計算する
際、対応するブランチ命令のオぺランド部分もIデコー
ド・ユニット262 からバス318 のオペランド変位部分を
経由して送られる。
Another source of prefetch addresses is the target sent from the execution PC control unit 366 via the relative target address bus 382 or the IEU 104 via the absolute target address bus 346.・ It is an address value. The relative target address is an address that can be directly calculated by the execution PC control unit 366. Absolute target addresses must be generated by IEU104 because these target addresses depend on the data contained in the IEU register file. The target address is sent to the prefetch PC control unit 364 via the target address bus 384 and is used as a prefetch virtual address. When calculating the relative target address, the operand portion of the corresponding branch instruction is also sent from I decode unit 262 via the operand displacement portion of bus 318.

【0061】プリフェッチ仮想アドレスのもう1つのソ
ースは、実行PC制御ユニット366 である。リターン・ア
ドレス・バス352'は、現在のIF PC値(DPC) をプリフェ
ッチPC制御ユニット364 へ転送するためのものである。
このアドレスは、割込み、トラップ、その他にコールな
どの制御フロー命令が命令ストリーム内に現れた個所で
リターン・アドレスとして使用される。プリフェッチPC
制御ユニット364 は、新しい命令ストリームをプリフェ
ッチすために解放される。PC制御ユニット362は、対応
する割込みまたはトラップ処理ルーチンまたはサブルー
チンが実行されると、IEU 104 からライン350 を経由し
てIEU リターン信号を受け取る。他方、PC制御ユニット
362 はライン372 上のPFPC信号の1つを通して、および
ライン350 経由で送られてきて実行されたリターン命令
のIDに基づいて、現在のリターン仮想アドレスを収めて
いるレジスタを選択する。そのあと、このアドレスが使
用されて、PCロジック・ユニット270 によるプリフェッ
チ・オペレーションを続行する。
Another source of prefetch virtual addresses is the execution PC control unit 366. The return address bus 352 'is connected to the current IF This is for transferring the PC value (DPC) to the prefetch PC control unit 364.
This address is used as the return address where control flow instructions such as interrupts, traps, and other calls appear in the instruction stream. Prefetch PC
Control unit 364 is released to prefetch a new instruction stream. PC control unit 362 receives an IEU return signal from IEU 104 via line 350 when the corresponding interrupt or trap handling routine or subroutine is executed. On the other hand, PC control unit
362 selects the register containing the current return virtual address through one of the PFPC signals on line 372 and based on the ID of the executed return instruction sent over line 350. This address is then used to continue the prefetch operation by PC logic unit 270.

【0062】最後に、プリフェッチ仮想アドレスが取り
出されるもう1つのソースは、特殊レジスタ・アドレス
およびデータ・バス354 である。IEU 104 によって計算
またはロードされたアドレス値、またはすくなくともベ
ース・アドレス値は、データとしてバス354 を経由して
プリフェッチPC制御ユニット364 へ転送される。ベース
・アドレスは、トラップ・アドレス・テーブル、高速ト
ラップ・テーブル・およびベース・プロシージャ命令デ
ィスパッチ・テーブルのアドレスを含んでいる。バス35
4 を通して、プロシージャおよびPC制御ユニット364 、
366 内のレジスタの多くを読み取ることもできるので、
マシンの状態の対応する側面をIEU 104を通して処理す
ることが可能である。
Finally, another source from which the prefetch virtual address is retrieved is the special register address and data bus 354. The address value calculated or loaded by the IEU 104, or at least the base address value, is transferred as data via the bus 354 to the prefetch PC control unit 364. The base address includes the addresses of the trap address table, the fast trap table, and the base procedure instruction dispatch table. Bus 35
4, through the procedure and PC control unit 364,
You can also read many of the registers in the 366,
Corresponding aspects of the state of the machine can be processed through IEU 104.

【0063】実行PC制御ユニット366 は、PC制御ユニッ
ト362 の制御を受けて、現在のIF PCアドレス値を計算す
ることを主な役割としている。この役割において、実行
PC制御ユニット366 はPC制御ユニット362 からExPC制御
ライン378 を経由して送られてきた制御信号と、制御ラ
イン380 を経由して送られてきたインクリメント/サイ
ズ制御信号を受けて、IF PCアドレスを調整する。これ
らの制御信号は、主に、ライン342 経由で送られてきた
IFIFO 読取り制御信号とIEU 104 から制御ライン344 経
由で送られてきたPCインクリメント/サイズ値を受ける
と生成される。 1) PF およびExPC制御/データ・ユニットの詳細 図4は、プリフェッチおよび実行PC制御ユニット364 、
366 の詳細ブロック図である。これらのユニットは主
に、レジスタ、インクリメンタ(増分器)その他の類似
部品、セレクタおよび加算器ブロックから構成されてい
る。これらのブロック間のデータ転送を管理する制御
は、PFPC制御ライン372 、ExPC制御ライン378 およびイ
ンクリメント制御ライン374 、380 を通してPC制御ユニ
ット362 によって行われる。説明を分かりやすくするた
めに、図4のブロック図には、これらの個々の制御ライ
ンは示されていない。しかし、これらの制御信号が以下
に説明するように、これらのブロックへ送られることは
勿論である。
The execution PC control unit 366 is a PC control unit.
Under the control of 362 Calculate PC address value
The main role is to Perform in this role
PC control unit 366 is ExPC controlled from PC control unit 362
The control signal sent via line 378 and the control signal
Increment / size sent via in 380
Receiving the control signal, Adjust the PC address. this
These control signals were mainly sent via line 342
IFIFO read control signal and control line 344 from IEU 104
Receive PC increment / size value sent by
Is generated. 1) Details of PF and ExPC control / data unit Fig. 4 shows the prefetch and execution PC control unit 364,
366 is a detailed block diagram of FIG. These units are primarily
, Registers, incrementers and other similar
Components, selectors and adder blocks.
You. Control to manage data transfer between these blocks
PFPC control line 372, ExPC control line 378 and
PC control unit through increment control lines 374 and 380
Done by 362. To make the description easier to understand
For example, the block diagram of FIG.
Not shown. However, these control signals are
As explained in, what is sent to these blocks
Of course.

【0064】プリフェッチPC制御ユニット364 の中心と
なるものはプリフェッチ・セレクタ(PF PC SEL) であ
り、これは現プリフェッチ仮想アドレスの中央セレクタ
として動作する。この現プリフェッチ・アドレスはプリ
フェッチ・セレクタから出力バス392 を通ってインクリ
メンタ・ユニット394 へ送られて、次のプリフェッチ・
アドレスを生成する。この次のプリフェッチ・アドレス
はインクリメンタ出力バス396 を通ってレジスタMBUF P
FnPC 398、TBUF PFnPC 400、およびEBUF PFnPC402 の並
列配列へ送られる。これらのレジスタ398 、400 、402
は実効的には次の命令プリフェッチ・アドレスをストア
しているが、本発明の好適実施例によれば、別々のプリ
フェッチ・アドレスがMBUF 188、TBUF190 、およびEBUF
192に保持されている。MBUF、TBUFおよびEBUF PFnPCレ
ジスタ398 、400 、402 にストアされたプリフェッチ・
アドレスは、アドレス・バス404 、408 、410 からプリ
フェッチ・セレクタ390 へ渡される。従って、PC制御ユ
ニット362 はプリフェッチ・レジスタ398 、400 、402
の別の1つをプリフェッチ・セレクタが選択することを
指示することだけでプリフェッチ命令ストリームの即時
切替えを指示することができる。ストリームの中の次の
命令セットをプリフェッチするために、そのアドレス値
はインクリメンタ394 によってインクリメントされる
と、その値がプリフェッチ・アドレス398 、400 、402
のうち該当するレジスタへ返却される。もう1つの並列
レジスタ配列は簡略化のため単一の特殊レジスタ・ブロ
ック412 として示されているが、この配列はいくつかの
特殊アドレスをストアするためのものである。レジスタ
・ブロック412 はトラップ・リターン・アドレス・レジ
スタ、プロシージャ命令リターン・アドレス・レジス
タ、プロシージャ命令ディスパッチ・テーブル・ベース
・アドレス・レジスタ、トラップ・ルーティン・ディス
パッチ・テーブル・ベース・アドレス・レジスタ、およ
び高速トラップ・ルーチン・ベース・アドレス・レジス
タから構成されている。PC制御ユニット362の制御を受
けて、これらのリターン・アドレス・レジスタはバス3
5′を通して現IF PC実行アドレスを受け入れることが
できる。レジスタ・ブロック412 内のリターンおよびベ
ース・アドレス・レジスタにストアされたアドレス値は
IEU 104から独立して読み書きすることができる。レジ
スタが選択され、値が特殊レジスタ・アドレスおよびデ
ータ・バス354 を経由して転送される。
The center of the prefetch PC control unit 364 is a prefetch selector (PF). PC SEL), which acts as the central selector for the current prefetch virtual address. This current prefetch address is sent from the prefetch selector to the incrementer unit 394 via the output bus 392, and is sent to the next prefetch address.
Generate an address. This next prefetch address is passed to register MBUF P via incrementer output bus 396.
Sent to a parallel array of FnPC 398, TBUF PFnPC 400, and EBUF PFnPC402. These registers 398, 400, 402
Effectively stores the next instruction prefetch address, but according to the preferred embodiment of the present invention, the separate prefetch addresses are MBUF 188, TBUF190, and EBUF
Held at 192. Prefetch registers stored in MBUF, TBUF and EBUF PFnPC registers 398, 400, 402
The address is passed from address buses 404, 408, 410 to prefetch selector 390. Therefore, the PC control unit 362 determines the prefetch registers 398, 400, 402
By simply instructing the prefetch selector to select another one of the prefetch instruction streams, immediate switching of the prefetch instruction stream can be indicated. To prefetch the next set of instructions in the stream, the address value is incremented by incrementer 394, and the value is incremented by prefetch addresses 398, 400, 402.
Is returned to the corresponding register. Another parallel register array is shown as a single special register block 412 for simplicity, but this array is for storing some special addresses. Register block 412 contains the trap return address register, procedure instruction return address register, procedure instruction dispatch table base address register, trap routine dispatch table base address register, and fast trap. -Consists of a routine base address register. Under the control of the PC control unit 362, these return address registers
Current IF through 5 ' Can accept PC execution address. The address value stored in the return and base address registers in register block 412 is
Can read and write independently of IEU 104. The register is selected and the value is transferred via the special register address and data bus 354.

【0065】特殊レジスタ・ブロック412 内のセレクタ
はPC制御ユニット362 によって制御され、レジスタ・ブ
ロック412 のレジスタにストアされたアドレスを特殊レ
ジスタ出力バス416 上に送出して、プリフェッチ・セレ
クタ390 へ渡すことができる。リターン・アドレスは直
接にプリフェッチ・セレクタ390 へ渡される。ベース・
アドレス値は割込み制御ユニット363 から割込みオフセ
ット・バス373 経由で送られてきたオフセット値と結合
される。ソースからバス373'経由でプリフェッチ・セレ
クタ390 へ渡された特殊アドレスは、新しいプリフェッ
チ命令ストリームの初期アドレスとして使用され、その
あとインクリメンタ394 とプリフェッチ・レジスタ398
、400 、402 の1つを通るアドレスのインクリメント
・ループを続行することができる。
The selector in the special register block 412 is controlled by the PC control unit 362, and sends the address stored in the register of the register block 412 onto the special register output bus 416 and passes it to the prefetch selector 390. Can be. The return address is passed directly to the prefetch selector 390. base·
The address value is combined with the offset value sent from the interrupt control unit 363 via the interrupt offset bus 373. The special address passed to the prefetch selector 390 from the source via bus 373 'is used as the initial address for a new prefetch instruction stream, after which the incrementer 394 and prefetch register 398
, 400, 402, the address increment loop can continue.

【0066】プリフェッチ・セレクタ390 へ送られるア
ドレスのもう1つのソースは、ターゲット・アドレス・
レジスタ・ブロック414 内のレジスタ配列である。ブロ
ック414 内のターゲット・レジスタには、好適実施例に
よれば、8つの滞在的ブランチ・ターゲット・アドレス
がストアされる。これらの8つの記憶ロケーションはIF
IFO ユニット264 の最下位の2マスタ・レジスタ216 、
224 に保持されている8つの滞在的に実行可能な命令に
論理的に対応している。これらの命令のどれでもが、お
よび滞在的にはすべてが条件付きブランチ命令となり得
るので、ターゲット・レジスタ・ブロック414 は、あら
かじめ計算されたターゲット・アドレスをストアしてお
くので、TBUF 190を通してターゲット命令ストリームを
プリフェッチするために使用するのを待たせることがで
きる。特に、PC制御ユニット362がターゲット命令スト
リームのプリフェッチを即時に開始するように条件付き
ブランチ・バイアスがセットされると、ターゲット・ア
ドレスはターゲット・レジスタ・ブロック414 からアド
レス・バス418 を経由してプリフェッチ・セレクタ390
へ送られる。インクリメンタ394 によってインクリメン
トされたあと、アドレスはTBUF PFnPC 400へ戻されてス
トアされ、ターゲット命令ストリームをあとでプリフェ
ッチするオペレーションで使用される。別のブランチ命
令がターゲット命令ストリームに現れると、その2番目
のブランチのターゲット・アドレスが計算され、最初の
条件付きブランチ命令が解決されて使用されるまでの
間、ターゲット・レジスタ配列414 にストアされてい
る。
Another source of addresses sent to the prefetch selector 390 is the target address
This is the register array in the register block 414. In the target register in block 414, according to the preferred embodiment, eight persistent branch target addresses are stored. These eight storage locations are
The lowest two master registers 216 of the IFO unit 264,
224 logically correspond to the eight permanently executable instructions. Since any of these instructions, and possibly all of them, can be conditional branch instructions, the target register block 414 stores the pre-computed target address so that the target instruction You can wait to use the stream to prefetch. In particular, if the conditional branch bias is set such that the PC control unit 362 immediately begins prefetching the target instruction stream, the target address is prefetched from the target register block 414 via the address bus 418.・ Selector 390
Sent to After being incremented by the incrementer 394, the address is stored back into the TBUF PFnPC 400 for use in operations that later prefetch the target instruction stream. When another branch instruction appears in the target instruction stream, the target address of the second branch is calculated and stored in the target register array 414 until the first conditional branch instruction is resolved and used. ing.

【0067】ターゲット・レジスタ・ブロック414 にス
トアされた、計算で求めたターゲット・アドレスは、実
行PC制御ユニット366 内のターゲット・アドレス計算ユ
ニットからアドレス・ライン382 を経由して、あるいは
IEU 104 から絶対ターゲット・アドレス・バス346 を経
由して転送される。
The calculated target address stored in the target register block 414 can be obtained from the target address calculation unit in the execution PC control unit 366 via the address line 382, or
Transferred from IEU 104 via absolute target address bus 346.

【0068】プリフェッチPF PCセレクタ390 を通って
転送されるアドレス値は、完全な32ビット仮想アドレス
値である。ページ・サイズは本発明の好適実施例では、
16Kバイトに固定されており、最大ページ・オフセット
・アドレス値[13:0]に対応している。従って、現プリフ
ェッチ仮想ページ・アドレス[27:14] に変化がなけれ
ば、VMU ページ変換は不要である。プリフェッチ・セレ
クタ390 内のコンパレータはそのことを検出する。VMU
変換要求信号(VMXLAT)は、インクリメントがページ境界
をこえて行われたか、制御のフローが別のページ・アド
レスへブランチしたために、仮想ページ・アドレスが変
化したとき、ライン372'を経由してPC制御ユニット362
へ送られる。他方、PC制御ユニット362 はライン324 上
のCCU PADDR のほかに、VM VADDRアドレスをバッファ・
ユニット420 からライン326 上に送出し、該当の制御信
号をVMU 制御ライン326 、328 、330 上に送出して、VM
U 仮想ページから物理ページへの変換を得るように指示
する。ページ変換が必要でない場合は、現物理ページ・
アドレス[31:14] はバス122 上のVMU ユニット108 の出
力側のラッチによって保持される。
Prefetch PF The address value transferred through PC selector 390 is a complete 32-bit virtual address value. The page size is, in a preferred embodiment of the invention,
It is fixed at 16 Kbytes and corresponds to the maximum page offset address value [13: 0]. Therefore, if there is no change in the current prefetch virtual page address [27:14], VMU page conversion is unnecessary. The comparator in the prefetch selector 390 detects this. VMU
The translation request signal (VMXLAT) is sent to the PC via line 372 'when the virtual page address changes, either because the increment has been made across a page boundary or because the control flow has branched to another page address. Control unit 362
Sent to On the other hand, the PC control unit 362 buffers the VM VADDR address in addition to the CCU PADDR on line 324.
Unit 420 on line 326 and the appropriate control signal on VMU control lines 326, 328, 330 to provide the VM
U Indicates that a conversion from virtual pages to physical pages should be obtained. If page conversion is not required, the current physical page
The address [31:14] is held by a latch on the output side of the VMU unit 108 on the bus 122.

【0069】バス370 上に送出された仮想アドレスはイ
ンクリメント制御ライン374 から送られてきた信号を受
けて、インクリメンタ394 によってインクリメンとされ
る。インクリメンタ394 は、次の命令セットを選択する
ために、命令セットを表す値(4命令または16バイト)
だけインクリメントする。CCU ユニット106 へ渡される
プリフェッチ・アドレスの下位4ビットはゼロになって
いる。従って、最初のブランチ・ターゲット命令セット
内の実際のターゲット・アドレス命令は最初の命令ロケ
ーションに置かれていない場合がある。しかし、アドレ
スの下位4ビットはPC制御ユニット362 へ送られるの
で、最初のブランチ命令のロケーションをIFU 102 が判
別することができる。ターゲット・アドレスの下位ビッ
ト[3:2] を2ビット・バッファ・アドレスとして返し
て、位置合わせされていないターゲット命令セットから
実行すべき正しい最初の命令を選択するための検出と処
理は、新しい命令ストリーム、つまり、命令ストリーム
の中の最初の非順次命令セット・アドレスの最初のプリ
フェッチのときだけ行われる。命令セットの最初の命令
のアドレスと命令セットをプリフェッチする際に使用さ
れるプリフェッチ・アドレスとの間の非位置合わせの関
係は、現順次命令ストリームが存続している間無視する
ことができ、そのあとも無視される。
The virtual address transmitted on the bus 370 is incremented by the incrementer 394 in response to the signal transmitted from the increment control line 374. The incrementer 394 is a value representing the instruction set (4 instructions or 16 bytes) to select the next instruction set
Only increment. The lower 4 bits of the prefetch address passed to CCU unit 106 are zero. Thus, the actual target address instruction in the first branch target instruction set may not be located at the first instruction location. However, the lower four bits of the address are sent to the PC control unit 362 so that the IFU 102 can determine the location of the first branch instruction. The detection and processing to return the low order bits [3: 2] of the target address as a 2-bit buffer address and to select the correct first instruction to execute from the unaligned target instruction set is a new instruction It occurs only at the first prefetch of the stream, the first non-sequential instruction set address in the instruction stream. The unaligned relationship between the address of the first instruction in the instruction set and the prefetch address used in prefetching the instruction set can be ignored during the life of the current sequential instruction stream. It is neglected.

【0070】図4に示した機能ブランチの残り部分は実
行PC制御ユニット366 を構成している。本発明の好適実
施例によれば、実行PC制御ユニット366 は独立に機能す
るプログラム・カウンタ・インクリメンタを独自に備え
ている。この機能の中心となるのは実行セレクタ(DPC S
EL)430である。実行セレクタ430 からアドレス・バス35
2'上に出力されるアドレスはアーキテクチャ100 の現在
の実行アドレス(DPC)である。この実行アドレスは加算
ユニット434 へ送られる。ライン380 上に送出されたイ
ンクリメント/サイズ制御信号は1から4までの命令イ
ンクリメント値を指定しており、この値は加算ユニット
434 によってセレクタ430 から得たアドレスに加えられ
る。加算器432 が出力ラッチ機能を実行するたびに、イ
ンクリメントされた次の実行アドレスがアドレス・ライ
ン436 を経て直接に実行セレクタ430 に返され、次の命
令インクリメント・サイクルで使用される。
The remaining part of the functional branch shown in FIG. 4 constitutes the execution PC control unit 366. According to a preferred embodiment of the present invention, the execution PC control unit 366 has its own independently functioning program counter incrementer. At the heart of this feature is the execution selector (DPC S
EL) 430. Execution selector 430 to address bus 35
The address output on 2 'is the current execution address (DPC) of architecture 100. This execution address is sent to the addition unit 434. The increment / size control signal sent on line 380 specifies the instruction increment value from 1 to 4, which is the value of the adder unit.
434 adds to the address obtained from selector 430. Each time adder 432 performs an output latch function, the next incremented execution address is returned directly to execution selector 430 via address line 436 and used in the next instruction increment cycle.

【0071】初期実行アドレスとその後のすべての新し
いストリーム・アドレスは、アドレス・ライン440 を経
由して新ストリーム・レジスタ・ユニット438 から得ら
れる。新ストリーム・レジスタ・ユニット438 は、プリ
フェッチ・セレクタ390 からPFPCアドレス・バス370 を
経由して送られてきた新しい現プリフェッチ・アドレス
を直接にアドレス・バス440 に渡すことも、あとで使用
するためにストアしておくこともできる。つまり、プリ
フェッチPC制御ユニット364 が新しい仮想アドレスから
プリフェッチを開始することを判断した場合は、新しい
ストリーム・アドレスは新ストリーム・レジスタ・ユニ
ット438 によって一時的にストアされる。PC制御ユニッ
ト363 は、プリフェッチと実行インクリメントの両サイ
クルに関与することによって、実行アドレスが新命令ス
トリームを開始した制御フロー命令に対応するプログラ
ム実行個所までに達するまで新ストリーム・アドレスを
新ストリーム・レジスタ438 においておく。新ストリー
ム・アドレスはそのあと新ストリーム・レジスタ・ユニ
ット438 から出力されて実行セレクタ430 へ送られ、新
命令ストリーム内の実行アドレスを独立して生成するこ
とを開始する。
The initial execution address and all subsequent new stream addresses are obtained from new stream register unit 438 via address line 440. The new stream register unit 438 can either pass the new current prefetch address sent from the prefetch selector 390 via the PFPC address bus 370 directly to the address bus 440, or use it for later use. You can also store it. That is, if the prefetch PC control unit 364 determines to start prefetching from a new virtual address, the new stream address is temporarily stored by the new stream register unit 438. By participating in both prefetch and execution increment cycles, the PC control unit 363 stores the new stream address in the new stream register until the execution address reaches the program execution location corresponding to the control flow instruction that started the new instruction stream. At 438. The new stream address is then output from the new stream register unit 438 and sent to the execution selector 430 to begin independently generating execution addresses in the new instruction stream.

【0072】本発明の好適実施例によれば、新ストリー
ム・レジスタ・ユニット438 は2つの制御フロー命令タ
ーゲット・アドレスをバッファリングする機能を備えて
いる。新ストリーム・アドレスを即時に取り出すことに
より、殆ど待ち時間がなく実行PC制御ユニット366 を現
実行アドレス列の生成から新実行ユニット・ストリーム
列の生成に切り替えることができる。
According to a preferred embodiment of the present invention, the new stream register unit 438 has the ability to buffer two control flow instruction target addresses. By immediately extracting the new stream address, the execution PC control unit 366 can be switched from the generation of the current execution address sequence to the generation of the new execution unit stream sequence with almost no waiting time.

【0073】最後に、IF PCセレクタ(IF PC SEL) は
最終的に現IF PCアドレスをアドレス・バス352 上に送
出してIEU 104 へ送るためのものである。IF PCセレク
タ442 への入力は実行セレクタ430 または新ストリーム
・レジスタ・ユニット438 から得た出力アドレスであ
る。殆どの場合、IF PCセレクタ442 はPC制御ユニット
262 の指示を受けて、実行セレクタ430 から出力された
実行アドレスを選択する。しかし、新命令ストリームの
実行開始のために使用される新仮想アドレスへ切り替え
る際の待ち時間をさらに短縮するために、新ストリーム
・レジスタ・ユニット438 からの選択したアドレスをバ
イパスして、バス440 経由で直接にIF PCセレクタ442
へ送り、現IF PC実行アドレスとして得ることができ
る。
Finally, IF PC selector (IF (PC SEL) is finally the current IF This is for sending the PC address to the address bus 352 and sending it to the IEU 104. IF The input to the PC selector 442 is the output address obtained from the execution selector 430 or the new stream register unit 438. In most cases, IF PC selector 442 is a PC control unit
In response to the instruction of 262, the execution address output from the execution selector 430 is selected. However, to further reduce latency when switching to the new virtual address used to start execution of the new instruction stream, the selected address from the new stream register unit 438 is bypassed via bus 440. IF directly at PC selector 442
To the current IF Can be obtained as PC execution address.

【0074】実行PC制御ユニット366 は、すべての相対
ブランチ・ターゲット・アドレスを計算する機能を備え
ている。現実行点アドレスと新ストリーム・レジスタ・
ユニット438 から得たアドレスは、アドレス・バス35
2'、340 を経由して制御フロー・セレクタ(CF PC)446
に渡される。その結果、PC制御ユニット362 は大幅な柔
軟性を持って、ターゲット・アドレス計算の基となる正
確な初期アドレスを選択することができる。この初期ア
ドレス、つまり、ベース・アドレスはアドレス・バス45
4 を経由してターゲット・アドレスALU 450 へ送られ
る。ターゲットALU450 への入力となるもう1つの値
は、制御フロー変位計算ユニット452 からバス458 経由
で送られてくる。相対ブランチ命令は、アーキテクチャ
100 の好適実施例によれば、新相対ターゲット・アドレ
スを指定した即値モード定数の形態をした変位置を含ん
でいる。制御フロー変位計算ユニット452 はIデコード
・ユニットのオペランド出力バス318 から初めて得たオ
ペランド変位置を受け取る。最後に、オフセット・レジ
スタ値はライン456 を経由してターゲット・アドレスAL
U450へ送られる。オフセット・レジスタ448 はPC制御ユ
ニット362 から制御ライン378'を経由してオフセット値
を受け取る。オフセット値の大きさはアドレス・ライン
454 上を送られるベース・アドレスから相対ターゲット
・アドレスを計算するときの現ブランチ命令のアドレス
までのアドレス・オフセットに基づいてPC制御ユニット
362 によって判断される。つまり、PC制御ユニット362
は、IFIFO 制御ロジック・ユニット272 を制御すること
によって、現実行点アドレスに命令(CP PCによって要
求された) とIデコード・ユニット262 によって現在処
理中の、従ってPCロジック・ユニット270 によって処理
中の命令を分離している命令の個数を追跡して、その命
令のターゲット・アドレスを判断する。
The execution PC control unit 366 has a function of calculating all relative branch target addresses. Current execution point address and new stream register
The address obtained from unit 438 is transferred to address bus 35
2 ', 340 via control flow selector (CF (PC) 446
Passed to. As a result, the PC control unit 362 has great flexibility to select the correct initial address on which to calculate the target address. This initial address, the base address, is the address bus 45
It is sent via 4 to the target address ALU 450. Another value to be input to the target ALU 450 is sent from the control flow displacement calculation unit 452 via the bus 458. Relative branch instructions are
According to one hundred preferred embodiments, it includes a displacement location in the form of an immediate mode constant specifying a new relative target address. The control flow displacement calculation unit 452 receives the first operand displacement from the I decode unit operand output bus 318. Finally, the offset register value is read via line 456 to the target address AL
Sent to U450. Offset register 448 receives the offset value from PC control unit 362 via control line 378 '. The magnitude of the offset value is the address line
454 PC control unit based on address offset to current branch instruction address when calculating relative target address from base address sent on
362. In other words, the PC control unit 362
By controlling the IFIFO control logic unit 272, the instruction (CP Tracks the number of instructions currently being processed by the I-decode unit 262 and thus separating the instruction being processed by the PC logic unit 270 to determine the target address of the instruction. I do.

【0075】相対ターゲット・アドレスがターゲット・
アドレスALU 450 によって計算されると、そのターゲッ
ト・アドレスはアドレス・バス382 を通して対応するタ
ーゲット・レジスタ414 に書き込まれる。 2)PC制御アルゴリズムの詳細 1.メイン命令ストリームの処理:MBUF PFnP
C 1.1 次のメイン・フロープリフェッチ命令のアドレ
スはMBUF PFnPCにストアされる. 1.2 制御フロー命令がないときは、32ビット・イ
ンクリメンタはMBUF PFnPCに入っているアド
レス値を各プリフェッチ・サイクルごとに16バイト
(x16)だけ調整する. 1.3 無条件制御フロー命令がIデコードされると、
命令セットに続いてフェッチされた全てのプリフェッチ
・データはフラッシュされ、MBUF PFnPCには
ターゲット・レジスタ・ユニット、PF PCセレクタ
およびインクリメンタを通して、新しいメイン命令スト
リーム・アドレスがロードされる。新しいアドレスは新
ストリーム・レジスタにもストアされる。
If the relative target address is
Once calculated by address ALU 450, the target address is written to corresponding target register 414 via address bus 382. 2) Details of PC control algorithm Main instruction stream processing: MBUF PFnP
C1.1 The address of the next main flow prefetch instruction is stored in MBUF PFnPC. 1.2 In the absence of a control flow instruction, the 32-bit incrementer adjusts the address value contained in MBUF PFnPC by 16 bytes (x16) for each prefetch cycle. 1.3 When an unconditional control flow instruction is I decoded,
All prefetch data fetched following the instruction set is flushed, and the MBUF PFnPC contains the target register unit, PF The new main instruction stream address is loaded through the PC selector and incrementer. The new address is also stored in the new stream register.

【0076】1.3.1 相対無条件制御フローのター
ゲット・アドレスはIFUが保持しているレジスタ・デ
ータからと制御フロー命令の後に置かれたオペランド・
データからIFUによって計算される. 1.3.2 絶対無条件制御フローのターゲット・アド
レスはレジスタ基準値、ベース・レジスタ値、及びイン
デックス・レジスタ値からIEUによって最終的に計算
される. 1.3.2.1 命令プリフェッチ・サイクルは絶対ア
ドレス制御フロー命令に対してターゲット・アドレスが
IEUから返されるまで停止する。命令実行サイクルは
続行される. 1.4 無条件制御フロー命令から得た次のメイン・フ
ロー・プリフェッチ命令のアドレスはバイパスされて、
ターゲット・アドレス・レジスタ・ユニット、PF_P
Cセレクタおよびインクリメンタを経由して送られ、最
終的にMBUF PFnPCにストアされ、プリフェッ
チは1.2から続けられる. 2.プロシージャ命令ストリームの処理:EBUF P
FnPC 2.1 プロシージャ命令はメインまたはブランチ・タ
ーゲット命令ストリームの中でプリフェッチされる。タ
ーゲット・ストリームの中でフェッチされた場合は条件
付き制御フェッチ命令が解決され、プロシージャ命令が
MBUFへ転送されるまでプロシージャ・ストリームの
プリフェッチを停止する。これにより、プロシージャ命
令ストリームに現れた条件付き制御フローを処理する際
にTBUFを使用できる. 2.1.1 プロシージャ命令はプロシージャ命令スト
リームの中においてはならない。つまり、プロシージャ
命令はネストしてはならない。プロシージャ命令からリ
ターンすると、実行は主命令ストリームに戻る。ネスト
を可能にするためには、ネストしたプロシージャ命令か
ら別の専用リターンが必要である。アーキテクチャはこ
の種の命令を容易にサポートできるが、プロシージャ命
令をネストする機能があっても、アーキテクチャの性能
が向上する見込みはない. 2.1.2 メイン命令ストリームにおいては、第1及
び第2条件付き制御フロー命令を含む命令セットを含ん
でいるプロシージャ命令ストリームは第1命令セットの
中の条件付き制御フロー命令が解決し、第2条件付き制
御フロー命令セットがMBUFへ転送されるまで第2条
件付き制御フロー命令セットに対してプリフェッチを停
止する. 2.2 プロシージャ命令は、命令の即値モード・オペ
ランド・フィールドとして含まれている相対オフセット
によって、プロシージャ・ルーチンの開始アドレスを示
している. 2.2.1 プロシージャ命令から得られたオフセット
値はIFUに維持されているプロシージャ・ベース・ア
ドレス(PBR)レジスタに入っている値と結合され
る。このPBRレジスタは、特殊レジスタの移動命令が
実行されると、特殊アドレスおよびデータバスを通して
読み書き可能である. 2.3 プロシージャ命令が現れると、次のメイン命令
ストリームIF PCアドレスはDPCリターン・アド
レス・レジスタにストアされ、プロセッサ・ステータス
・レジスタ(PSR)内のプロシージャ進行中ビット
(procedure−in−progress bi
t)がセットされる. 2.4 プロシージャ・ストリームの開始アドレスは、
PBRレジスタ(プロシージャ命令オペランド・オフセ
ット値を加えて)からPF PCセレクタへ送られる. 2.5 プロシージャ・ストリームの開始アドレスは、
新ストリーム・レジスタ・ユニットとインクリメンタへ
同時に送られ、(x16)だけインクリメントする。イ
ンクリメントされたアドレスはそのあとEBUFPFn
PCにストアされる. 2.6 制御フロー命令がないと、32ビット・インク
リメンタは各プロシージャ命令プリフェッチ・サイクル
ごとにEBUF PFnPCに入っているアドレス値
を、(x16)だけ調整する. 2.7 無条件制御フロー命令がIデコードされると、
ブランチ命令のあとにフェッチされた全てのプリフェッ
チ・データはフラッシュされ、EBUF PFnPCに
は新しいプロシージャ命令ストリーム・アドレスがロー
ドされる. 2.7.1 相対無条件制御フロー命令のターゲット・
アドレスはIFUに保持されているレジスタデータから
と制御フロー命令の即値モード・オペランド・フィール
ド内に入っているオペランド・データとからIFUによ
って計算される. 2.7.2 絶対無条件ブランチのターゲット・アドレ
スはレジスタ基準値、ベース・レジスタ値およびインデ
ックス・レジスタ値からIEUによって計算される. 2.7.2.1 命令プリフェッチ・サイクルは絶対ア
ドレス・ブランチに対してターゲット・アドレスがIE
Uから返されるまで停止する。実行サイクルは続行され
る. 2.8 次のプロシージャ・プリフェッチ命令セットの
アドレスはEBUFPFnPCにストアされプリフェッ
チは1.2から続けられる. 2.9 プロシージャ命令からのリターンがIデコード
されると、プリフェッチがuPCレジスタにストアされ
ているアドレスから続けられ、そのあと(x16)だけ
インクリメントされ、あとでプリフェッチするためにM
BUF PFnPCレジスタに返される. 3 ブランチ命令ストリームの処理:TBUF PFn
PC 3.1 MBUF命令ストリームの中の最初の命令セッ
トに現れた条件つき制御フロー命令がIデコードされる
と、ターゲット・アドレスはそのターゲット・アドレス
が現アドレスに対する相対アドレスならばIFUによっ
て絶対アドレスならばIEUによって判断される. 3.2 「ブランチを行うバイアス」の場合: 3.2.1 ブランチが絶対アドレスに行われる場合は
ターゲット・アドレスがIEUから返されるまで命令プ
リフェッチ・サイクルを停止する。実行サイクルは続行
される. 3.2.2 PF_PCセレクタとインクリメンタを経
由して転送することによってブランチ・ターゲット・ア
ドレスをTBUF PFnPCにロードする. 3.2.3 ターゲット命令ストリームがプリフェッチ
されてTBUFに入れられたあとで実行するためにIF
IFOに送られる。IFIFOとTBUFが一杯になる
と、プリフェッチを停止する. 3.2.4 32ビット・インクリメンタは各プリフェ
ッチ・サイクルごとにTBUF PFnPCに入ってい
るアドレス値を(x16)だけ調整する. 3.2.5 ターゲット命令ストリーム内の2番目の命
令セットに現れた条件付き制御フロー命令がIデコード
されるとプリフェッチ・オペレーションを、第1の
(主)セット内の全ての条件付きブランチ命令が解決さ
れるまで停止する(しかし、先に進んで、相対ターゲッ
ト・アドレスを計算しターゲット・レジスタにストアす
る). 3.2.6 最初の命令セット内の条件付きブランチを
「行う」と解釈された場合: 3.2.6.1 ブランチのソースがプロシージャ進行
中ビットから判断されたEBUF命令セットであったと
きはMBUFまたはEBUFに入っている最初の条件付
きフロー命令セットのあとに置かれた命令セットをフラ
ッシュする. 3.2.6.2 プロシージャ進行中ビットの状態に基
づいて、TBUF PFnPC値をMBUF PFnP
CまたはEBUFへ転送する. 3.2.6.3 プロシージャ進行中ビットの状態に基
づいて、プリフェッチしたTBUF命令をMBUFまた
はEBUFへ転送する. 3.2.6.4 2番目の条件付きブランチ命令セット
がIデコードされていなければ、プロシージャ進行中ビ
ットの状態に基づいて、MBUFまたはEBUFプリフ
ェッチ・オペレーションを続行する. 3.2.6.5 2番目の条件付きブランチ命令がIデ
コードされていれば、その命令の処理を開始する(ステ
ップ3.3.1へ進む). 3.2.7 最初の条件付き命令セットの中の命令に対
する条件付き制御を「行わない」と解釈された場合: 3.2.7.1 ターゲット命令ストリームからの命令
セットと命令のIFIFOとIEUをフラッシュする. 3.2.7.2 MBUFまたはEBUFプリフェッチ
・オペレーションを続行する. 3.3 「ブランチが行われないバイアス」の場合: 3.3.1 命令をプリフェッチしてMBUFに入れる
ことを停止する。実行サイクルを続ける. 3.3.1.1 最初の条件付き命令セットの中の条件
付き制御フロー命令が相対ならばターゲット・アドレス
を計算し、ターゲット・レジスタにストアする. 3.3.1.2 最初の条件付き命令セットの中の条件
付き制御フロー命令が絶対ならば、IEUがターゲット
・アドレスを計算して、そのアドレスをターゲット・レ
ジスタに返すまで待つ. 3.3.1.3 2番目の命令セットの中の条件付き制
御フロー命令のIデコードが行われると、最初の条件付
き命令セットの中の条件付き制御フロー命令が解決され
るまでプリフェッチ・オペレーションを停止する. 3.3.2 最初の条件付きブランチのターゲット・ア
ドレスが計算されると、TBUF PFnPCにロード
し、メイン命令ストリームの実行と並行して命令をプリ
フェッチしてTBUFに入れることを開始する。ターゲ
ット命令セットはロードされない(したがって、ブラン
チ・ターゲット命令は最初の命令セット中の各条件付き
制御フロー命令が解決されたとき用意されている). 3.3.3 最初のセットの中の条件つき制御フロー命
令が「行われる」と解釈された場合: 3.3.3.1 ブランチのソースがEBUF命令スト
リームであるとプロシージャ進行中ビットの状態から判
断されると、MBUFまたはEBUFをフラッシュし、
最初の条件付きブランチ命令セットのあとに置かれたメ
イン・ストリームからの命令のIFIFOとIEUをフ
ラッシュする. 3.3.3.2 プロシージャ進行中ビットの状態から
判断した通りに、TBUF PFnPC値をMBUF
PFnPCまたはEBUFへ転送する. 3.3.3.3 プロシージャ進行中ビットの状態から
判断した通りに、プリフェッチしたTBUF命令をMB
UFまたはEBUFへ転送する. 3.3.3.4 プロシージャ進行中ビットの状態から
判断した通りに、MBUFまたはEBUFプリフェッチ
・オペレーションを続行する. 3.3.4 最初のセット内の条件付き制御フロー命令
が「行われない」と解析された場合: 3.3.4.1 ターゲット命令ストリームからの命令
セットのTBUFをフラッシュする. 3.3.4.2 2番目の条件付きブランチ命令がIデ
コードされなかった場合は、プロシージャ進行中ビット
の状態から判断した通りに、MBUFまたはEBUFプ
リフェッチ・オペレーションを続ける. 3.3.4.3 2番目の条件付きブランチ命令がIデ
コードされた場合は、その命令の処理を開始する(ステ
ップ3.4.1へ進む). 4 割り込み、例外およびトラップ命令 4.1 トラップは広義には次のものからなる。
1.3.1 The target address of the relative unconditional control flow is obtained from the register data held by the IFU and the operand address placed after the control flow instruction.
Calculated by IFU from data. 1.3.2 The target address of the absolute unconditional control flow is finally calculated by the IEU from the register reference, base and index register values. 1.3.2.1 The instruction prefetch cycle stops until the target address is returned from the IEU for an absolute address control flow instruction. The instruction execution cycle continues. 1.4 The address of the next main flow prefetch instruction obtained from the unconditional control flow instruction is bypassed,
Target address register unit, PF_P
Sent via the C selector and incrementer and finally stored in the MBUF PFnPC, prefetching continues from 1.2. 2. Processing of procedure instruction stream: EBUF P
FnPC 2.1 procedural instructions are prefetched in the main or branch target instruction stream. If fetched in the target stream, the conditional control fetch instruction is resolved and prefetching of the procedure stream stops until the procedure instruction is transferred to the MBUF. This allows TBUF to be used when processing conditional control flows that appear in the procedure instruction stream. 2.1.1 Procedure instructions must not be in the procedure instruction stream. That is, procedure instructions must not be nested. Upon return from the procedural instruction, execution returns to the main instruction stream. To enable nesting, another dedicated return from the nested procedure instruction is needed. While the architecture can easily support this type of instruction, the ability to nest procedural instructions is unlikely to improve the performance of the architecture. 2.1.2 In the main instruction stream, a procedural instruction stream that includes an instruction set that includes first and second conditional control flow instructions is resolved by a conditional control flow instruction in the first instruction set; Stop prefetching for the second conditional control flow instruction set until the two conditional control flow instruction sets are transferred to the MBUF. 2.2 The procedure instruction indicates the start address of the procedure routine by the relative offset included as the immediate mode operand field of the instruction. 2.2.1 The offset value obtained from the procedure instruction is combined with the value contained in the Procedure Base Address (PBR) register maintained in the IFU. This PBR register is readable and writable through a special address and data bus when a special register move instruction is executed. 2.3 When a procedure instruction appears, the next main instruction stream IF The PC address is stored in the DPC return address register and the procedure-in-progress bi in the processor status register (PSR).
t) is set. 2.4 The starting address of the procedure stream is
From the PBR register (plus the procedure instruction operand offset value) to PF Sent to PC selector. 2.5 The starting address of the procedure stream is
Sent to the new stream register unit and incrementer at the same time, incrementing by (x16). The incremented address is then EBUFPFn
Stored in PC. 2.6 Without a control flow instruction, the 32-bit incrementer adjusts the address value in EBUF PFnPC by (x16) every procedure instruction prefetch cycle. 2.7 When an unconditional control flow instruction is I decoded,
All prefetch data fetched after the branch instruction is flushed and the EBUF PFnPC is loaded with the new procedure instruction stream address. 2.7.1 Target of relative unconditional control flow instruction
The address is calculated by the IFU from the register data held in the IFU and the operand data contained in the immediate mode operand field of the control flow instruction. 2.7.2 The target address of the absolute unconditional branch is calculated by the IEU from the register reference value, the base register value and the index register value. 2.7.2.1 Instruction Prefetch Cycles When Target Address is IE for Absolute Address Branch
Stop until returned from U. The execution cycle continues. 2.8 The address of the next procedure prefetch instruction set is stored in EBUFPFnPC, and prefetching continues from 1.2. 2.9 When the return from the procedural instruction is I-decoded, prefetching is continued from the address stored in the uPC register, then incremented by (x16), and M
Returned to BUF PFnPC register. 3 Processing of branch instruction stream: TBUF PFn
When the conditional control flow instruction that appears in the first instruction set in the PC 3.1 MBUF instruction stream is I-decoded, the target address is an absolute address by the IFU if the target address is relative to the current address. If determined by the IEU. 3.2 For "Bias to Branch": 3.2.1 If a branch is taken to an absolute address, stop the instruction prefetch cycle until the target address is returned from the IEU. The execution cycle continues. 3.2.2 Load branch target address into TBUF PFnPC by transferring via PF_PC selector and incrementer. 3.2.3 IF to execute after target instruction stream is prefetched and placed in TBUF
Sent to the IFO. When the IFIFO and TBUF are full, stop prefetching. 3.2.4 32-bit incrementer adjusts the address value in TBUF PFnPC by (x16) every prefetch cycle. 3.2.5 If the conditional control flow instruction appearing in the second instruction set in the target instruction stream is I-decoded, the prefetch operation is performed and all conditional branch instructions in the first (main) set are executed. Stop until resolved (but go ahead and calculate the relative target address and store it in the target register). 3.2.6 If the conditional branch in the first instruction set is interpreted as "taken": 3.2.6.1 when the source of the branch is the EBUF instruction set determined from the procedure in progress bit Flushes the instruction set placed after the first conditional flow instruction set in the MBUF or EBUF. 3.2.6.2 Based on the state of the procedure in progress bit, the TBUF PFnPC value is changed to the MBUF PFnP value.
Transfer to C or EBUF. 3.2.6.3 Transfer the prefetched TBUF instruction to MBUF or EBUF based on the state of the procedure in progress bit. 3.2.6.4 If the second conditional branch instruction set has not been I-decoded, continue the MBUF or EBUF prefetch operation based on the state of the procedure-in-progress bit. 3.2.6.5 If the second conditional branch instruction has been I-decoded, start processing the instruction (go to step 3.3.1). 3.2.7 If conditional control for instructions in the first conditional instruction set is interpreted as "do not perform": 3.2.7.1 IFIFO and IEU of instruction set and instructions from target instruction stream Flash. 3.2.7.2 Continue MBUF or EBUF prefetch operation. 3.3 For "Bias not taken": 3.3.1 Stop prefetching instructions into MBUF. Continue the execution cycle. 3.3.1.1 If the conditional control flow instruction in the first set of conditional instructions is relative, calculate the target address and store it in the target register. 3.3.1.2 If the conditional control flow instruction in the first set of conditional instructions is absolute, wait until the IEU calculates the target address and returns that address to the target register. 3.3.1.3 Once the I-decode of a conditional control flow instruction in the second instruction set has been performed, a prefetch operation is performed until the conditional control flow instruction in the first conditional instruction set is resolved. Stop. 3.3.2 Once the target address of the first conditional branch has been calculated, it loads into the TBUF PFnPC and begins prefetching instructions into the TBUF in parallel with the execution of the main instruction stream. The target instruction set is not loaded (therefore, a branch target instruction is provided when each conditional control flow instruction in the first instruction set is resolved). 3.3.3 If the conditional control flow instruction in the first set is interpreted as "taken": 3.3.3.1 The state of the procedure in progress bit if the source of the branch is an EBUF instruction stream Flushes the MBUF or EBUF,
Flush the IFIFO and IEU for instructions from the main stream placed after the first conditional branch instruction set. 3.3.3.2 TBUF PFnPC value is determined by MBUF as determined from the state of the procedure in progress bit.
Transfer to PFnPC or EBUF. 3.3.3.3 As determined from the state of the procedure in progress bit, the prefetched TBUF instruction is
Transfer to UF or EBUF. 3.3.3.4 Continue MBUF or EBUF prefetch operation as determined from the state of the procedure in progress bit. 3.3.3.4 If a conditional control flow instruction in the first set is parsed as "not done": 3.3.4.1 Flush instruction set TBUF from target instruction stream. 3.3.4.2 If the second conditional branch instruction was not I-decoded, continue the MBUF or EBUF prefetch operation as determined by the state of the procedure in progress bit. 3.3.4.3 If the second conditional branch instruction is I-decoded, start processing the instruction (go to step 3.4.1). 4. Interrupt, Exception and Trap Instructions 4.1 Traps broadly consist of:

【0077】4.1.1 ハードウェア割り込み 4.1.1.1 非同期(外部)発生事象、内部または
外部. 4.1.1.2 いつでも発生し、持続する. 4.1.1.3 アトミック(通常)命令間で優先順に
サービスを受け、プロシージャ命令を一時中止する. 4.1.1.4 割り込みハンドラの開始アドレスはト
ラップ・ハンドラ入り口点の事前定義テーブルまでのベ
クトル番号オフセットとして判断される. 4.1.2 ソフトウェア・トラップ命令 4.1.2.1 非同期(外部)発生命令. 4.1.2.2 例外として実行されるソフトウェア命
令. 4.1.2.3 トラップ・ハンドラの開始アドレス
は、TBRまたはFTBレジスタにストアされたベース
・アドレス値と結合されたトラップ番号オフセットから
判断される. 4.1.3 例外 4.1.3.1 命令と同期して発生する事象. 4.1.3.2 命令の実行時に処理される. 4.1.3.3 例外の結果により、期待された命令と
すべての後続実行命令はキャンセルされる. 4.1.3.4 例外ハンドラの開始アドレスは、トラ
ップ・ハンドラ入り口点の事前定義テーブルまでのトラ
ップ番号オフセットから判断される. 4.2 トラップ命令ストリーム・オペレーションはそ
のとき実行中の命令ストリームとインラインで実行され
る. 4.3 トラップ処理ルーチンが次の割り込み可能トラ
ップの前にxPCアドレスをセーブすることを条件に、
トラップはネストが可能である。そうしないと、現トラ
ップ・オペレーションの完了前にトラップが現れると、
マシンの状態が壊れることになる. 5 トラップ命令ストリームの処理:xPC 5.1 トラップが現れた時: 5.1.1 非同期割り込みが起こると、そのとき実行
中の命令は一時中断される. 5.1.2 同期例外が起こると、例外を起こした命令
が実行されるとトラップが処理される. 5.2 トラップが処理されたとき: 5.2.1 割り込みは禁止される. 5.2.2 現在のIF PCアドレスはxPCトラッ
プ状態リターン・アドレス・レジスタにストアされる. 5.2.3 IF PCアドレスとそのあとのアドレス
にあるIFIFOとMBUFプリフェッチ・バッファは
フラッシュされる. 5.2.4 アドレスIF PCと、そのあとのアドレ
スの実行された命令と、その命令の結果はIEUからフ
ラッシュされる. 5.2.5 MBUF PFnPCに、トラップ・ハン
ドラ・ルーチンのアドレスがロードされる. 5.2.5.1 トラップのソースは特殊レジスタ群に
入っているトラップ番号によって判断されたトラップ・
タイプに応じてTBRまたはFTBレジスタをアドレス
指定している. 5.2.6 命令がプリフェッチされ、通常通りに実行
するためにIFIFOに入れられる. 5.2.7 トラップ・ルーチンの命令がそのあと実行
される. 5.2.7.1 トラップ処理ルーチンはxPCアドレ
スを所定のロケーションにセーブする機能を備え、割り
込みを再び可能にする。xPCレジスタは特殊レジスタ
移動命令で、および特殊レジスタ・アドレスとデータ・
バスを通して読み書きされる. 5.2.8 トラップ命令からのリターンを実行するこ
とによってトラップ状態から抜け出る必要がある. 5.2.8.1 以前にセーブしていた時はxPCアド
レスをその事前定義ロケーションから復元してからトラ
ップ命令からのリターンを実行する必要がある. 5.3 トラップ命令からのリターンが実行されたと
き: 5.3.1 割り込みが可能にされる. 5.3.2 プロシージャ進行中ビットの状態から判断
したとおりに、xPCアドレスが現在の命令ストリーム
・レジスタMBUFまたはEBUF PFnPCに戻さ
れ、プリフェッチがそのアドレスから続行される. 5.3.3 xPCアドレスが新ストリーム・レジスタ
を通してIFPCレジスタに復元される. E)割込みおよび例外の処理 1)概要 割込みと例外は、それらが可能にされている限り、プロ
セッサがメイン命令ストリームから実行されているか、
プロシージャ命令ストリームから実行されているかに関
係なく処理される。割込みと例外は優先順にサービスが
受けられ、クリアされるまで持続してる。トラップ・ハ
ンドラの開始アドレスは、下述するように、トラップ・
ハンドラの事前定義テーブルまでのベクトル番号オフセ
ットとして判断される。
4.1.1 Hardware Interrupt 4.1.1.1 Asynchronous (External) Occurring Event, Internal or External. 4.1.1.2 Generates and persists at any time. 4.1.1.3 Service is received in priority order among atomic (normal) instructions, and procedure instructions are suspended. 4.1.1.4 The start address of the interrupt handler is determined as a vector number offset to a predefined table at the entry point of the trap handler. 4.1.1.2 Software trap instruction 4.1.2.1 Asynchronous (external) generation instruction. 4.1.2.2 Software instructions executed as exceptions. 4.1.2.3 The starting address of the trap handler is determined from the trap number offset combined with the base address value stored in the TBR or FTB register. 4.1.3 Exceptions 4.1.3.1 Events that occur in synchronization with instructions. 4.1.3.2 Processed when an instruction is executed. 4.1.3.3 As a result of the exception, the expected instruction and all subsequent instructions are canceled. 4.1.3.4 The start address of the exception handler is determined from the trap number offset to the predefined table at the entry point of the trap handler. 4.2 Trap instruction stream operations are performed inline with the currently executing instruction stream. 4.3 Provided that the trap handling routine saves the xPC address before the next interruptible trap,
Traps can be nested. Otherwise, if the trap appears before the completion of the current trap operation,
The state of the machine will be broken. 5 Processing of the trap instruction stream: xPC 5.1 When a trap appears: 5.1.1 When an asynchronous interrupt occurs, the instruction being executed at that time is suspended. 5.1.2 When a synchronous exception occurs, the trap is processed when the instruction that caused the exception is executed. 5.2 When a trap is processed: 5.2.1 Interrupts are disabled. 5.2.2 Current IF The PC address is stored in the xPC trap status return address register. 5.2.3 IF The IFIFO and MBUF prefetch buffer at the PC address and subsequent addresses are flushed. 5.2.4 Address IF The executed instruction at the PC, the address following it, and the result of the instruction are flushed from the IEU. 5.2.5 MBUF PFnPC is loaded with the address of the trap handler routine. 5.2.5.1 The source of the trap is the trap determined by the trap number contained in the special register group.
The TBR or FTB register is addressed according to the type. 5.2.6 Instructions are prefetched and put into IFIFO for normal execution. 5.2.7 Trap routine instruction is executed thereafter. 5.2.7.1 The trap handling routine has the ability to save the xPC address to a predetermined location and re-enable interrupts. The xPC register is a special register move instruction, and the special register address and data
Read and write through the bus. 5.2.8 It is necessary to get out of the trap state by executing the return from the trap instruction. If you saved 5.2.8.1 or earlier, you must restore the xPC address from its predefined location and then execute a return from the trap instruction. 5.3 When return from trap instruction is executed: 5.3.1 Interrupts are enabled. 5.3.2 The xPC address is returned to the current instruction stream register MBUF or EBUF PFnPC, as determined from the state of the procedure in progress bit, and prefetching continues from that address. 5.3.3 xPC address is restored to IFPC register through new stream register. E) Handling of interrupts and exceptions 1) Overview Interrupts and exceptions, as long as they are enabled, indicate whether the processor is executing from the main instruction stream,
Processed regardless of whether it is being executed from the procedural instruction stream. Interrupts and exceptions are serviced in priority order and persist until cleared. The start address of the trap handler is
It is determined as a vector number offset to the predefined table of the handler.

【0078】割込みと例外は、本実施例では、基本的に
2つのタイプがある。すなわち、命令ストリームの中の
特定の命令と同期して引き起こされるものと、命令スト
リームの中の特定の命令と非同期に引き起こされるもの
である。割込み、例外、トラップおよびフォールト(fau
lt) の用語は、本明細書では相互に使い分けて用いられ
ている。非登記割込みは、命令ストリームと同期して動
作していない、オン・チップまたはオフ・チップのハー
ドウェアによって引き起こされる。例えば、オン・チッ
プ・タイマ/カウンタによって引き起こされる割込み
は、オフ・チップから引き起こされるハードウェア割込
みやマスク不能割込み(non-maskable interrupt)(NMI)
と同じように、非同期である。非同期割込みが引き起こ
されると、プロセッサ・コンテキストが凍結され(froze
n)、すべてのトラップが割込み禁止され、ある種のプロ
セッサ状況情報がストアされ、プロセッサは受け取った
特定の割込みに対応する割込みハンドラにベクトルを向
ける。割込みハンドラがその処理を完了すると、プログ
ラム実行は割込み発生時に実行中であったストリームの
中の最後に完了した命令のあとに置かれた命令から続け
られる。
In this embodiment, there are basically two types of interrupts and exceptions. That is, those that are triggered synchronously with specific instructions in the instruction stream and those that are triggered asynchronously with specific instructions in the instruction stream. Interrupts, exceptions, traps and faults (fau
The term lt) is used interchangeably herein. Non-registered interrupts are caused by on-chip or off-chip hardware that is not operating synchronously with the instruction stream. For example, an interrupt triggered by an on-chip timer / counter may be a hardware interrupt or a non-maskable interrupt (NMI) triggered off-chip
As with, it is asynchronous. When an asynchronous interrupt is triggered, the processor context is frozen (froze
n), all traps are disabled, some processor status information is stored, and the processor directs the vector to the interrupt handler corresponding to the particular interrupt received. When the interrupt handler has completed its processing, program execution continues with the instruction following the last completed instruction in the stream being executed at the time of the interrupt.

【0079】同期例外とは、命令ストリームの中の命令
と同期して引き起こされる例外である。これらの例外は
特定の命令に関連して引き起こされ、問題の命令が実行
されるまで保留される。好適実施例では、同期例外はプ
リフェッチ時、命令デコード時、または命令実行時に引
き起こされる。プリフェッチ例外には、例えば、TLB不
一致、その他のVMU 例外がある。デコード例外は、例え
ば、デコード中の命令が違法命令であるか、プロセッサ
の現特権レベル(privilege level) に一致していない
と、引き起こされる。実行例外は、例えば、ゼロによる
除算といった算術演算エラーが原因で引き起こされる。
これらの例外が起こると、好適実施例では、例外を引き
起こした特定命令と例外とを対応づけ、その命令が退避
(retire)されるときまでその状態が維持される。その時
点で、以前に完了した命令がすべて退避され、例外を引
き起こした命令からの試行的結果があれば、試行的に実
行された後続の命令の試行的結果と同じように、フラッ
シュされる。そのあと、その命令で引き起こされた最高
優先度例外に対応する例外ハンドラに制御が渡される。
[0079] Synchronous exceptions are exceptions that are triggered in synchronization with the instructions in the instruction stream. These exceptions are raised in connection with a particular instruction and are suspended until the instruction in question is executed. In the preferred embodiment, the synchronization exception is raised during prefetch, instruction decode, or instruction execution. The prefetch exceptions include, for example, TLB mismatch and other VMU exceptions. A decode exception is triggered, for example, if the instruction being decoded is an illegal instruction or does not match the current privilege level of the processor. Execution exceptions are caused, for example, by arithmetic errors such as division by zero.
When these exceptions occur, the preferred embodiment associates the particular instruction that caused the exception with the exception, and the instruction is retired.
That state is maintained until it is retired. At that point, all previously completed instructions are saved and any trial results from the instruction that caused the exception are flushed, as are the trial results of subsequent trial-executed instructions. Thereafter, control is passed to the exception handler corresponding to the highest priority exception caused by the instruction.

【0080】ソフトウェア・トラップ命令はCF DET 27
4(図2)によってIデコード・テージで検出され、無条
件コール命令その他の同期トラップと同じように処理さ
れる。つまり、ターゲット・アドレスが計算され、プリ
フェッチはそのときのプリフェッチ待ち行列(EBUFまた
はMBUF) まで続けられる。これと同時に、その例外は命
令と対応づけられて記録され、命令が退避されるとき処
理される。他のタイプの同期例外はすべて、例外を引き
起こした特定命令と対応付けられて記録され、累積され
るだけで、実行時に処理される。 2)非同期割込み 非同期割込みは、割込みライン292 を通してPCロジック
・ユニット270 に通知される。図3に示すように、これ
らのラインはPCロジック・ユニット270 内の割込みロジ
ック・ユニット363 に通知するためのもので、NMI ライ
ン、IRQ ラインおよび1組の割込みレベル・ライン(LV
L) からなっている。NMI ラインはマスク不能割込みを
通知し、外部ソースを起点としている。これは、ハード
ウェア・リセットを除き最高優先度割込みである。IRQ
ラインも外部ソースを起点としており、外部デバイスが
ハードウェア割込みをいつ要求したかを通知する。好適
実施例では、外部から起こされるハードウェア割込みは
最高32までユーザが定義することができ、割込みを要求
した特定外部デバイスは割込みレベル・ライン(LVL)上
に割込み番号(0ー31) を送出する。メモリ・エラー・ラ
インはMCU 110 によってアクティベート( 活動化) さ
れ、様々な種類のメモリ・エラーを通知する。その他の
非同期割込みライン( 図示せず) も割込みロジック・ユ
ニット363 に通知するために設けられている。これらに
は、タイマ/カウンタ割込み、メモリ入出力(I/O)エラ
ー割込み、マシン・チェック割込み、およびパフォーマ
ンス・モニタ割込みを要求するためのラインがある。非
同期割込みの各々は、下述する同期例外と同様に、対応
する事前定義トラップ番号が関連づけられている。これ
らのトラップ番号は32個が32個のハードウェア割込みレ
ベルと関連づけられている。これらのトラップ番号のテ
ーブルは割込みロジック・ユニット363 に維持されてい
る。一般に、トラップ番号が大きくなると、トラップの
優先度が高くなる。
The software trap instruction is CF DET 27
4 (FIG. 2) is detected at the I decode stage and processed in the same way as an unconditional call instruction or other synchronous trap. That is, the target address is calculated and prefetching continues to the current prefetch queue (EBUF or MBUF). At the same time, the exception is recorded in association with the instruction and processed when the instruction is saved. All other types of synchronous exceptions are only recorded and accumulated in association with the particular instruction that caused the exception, and are processed at run time. 2) Asynchronous interrupt Asynchronous interrupt is notified to PC logic unit 270 via interrupt line 292. As shown in FIG. 3, these lines are for notifying the interrupt logic unit 363 in the PC logic unit 270, and include an NMI line, an IRQ line, and a set of interrupt level lines (LV).
L) The NMI line signals a non-maskable interrupt and originates from an external source. This is the highest priority interrupt except for a hardware reset. IRQ
Lines also originate from an external source and signal when an external device has requested a hardware interrupt. In the preferred embodiment, up to 32 externally generated hardware interrupts can be user-defined, and the specific external device requesting the interrupt will send the interrupt number (0-31) on the interrupt level line (LVL). I do. The memory error line is activated by the MCU 110 to signal various types of memory errors. Other asynchronous interrupt lines (not shown) are also provided to notify interrupt logic unit 363. These include lines for requesting timer / counter interrupts, memory input / output (I / O) error interrupts, machine check interrupts, and performance monitor interrupts. Each of the asynchronous interrupts is associated with a corresponding predefined trap number, similar to the synchronous exceptions described below. 32 of these trap numbers are associated with 32 hardware interrupt levels. A table of these trap numbers is maintained in the interrupt logic unit 363. Generally, the higher the trap number, the higher the priority of the trap.

【0081】非同期割込みの1つが割込みロジック・ユ
ニット363 に通知されると、割込み制御ユニット363 は
割込み要求をINT REQ/ACK ライン340 を経由してIEU 10
4 へ送出する。また、割込み制御ユニット363 はプリフ
ェッチ一時中止信号をライン343 を経由してPC制御ユニ
ット262 へ送信し、PC制御ユニット262 に命令をプリフ
ェッチすることを中止させる。IEU 104 はそのとき実行
中の命令をすべてキャンセルし、すべての試行的結果を
中止するか、一部またはすべての命令を完了させる。好
適実施例では、そのとき実行中の命令をすべてキャンセ
ルすることによって、非同期割込みに対する応答を高速
化している。いずれの場合も、実行PC制御ユニット366
内にDPC は、IEU 104 は割込みの受信を確認する前に、
最後に完了し、退避された命令に対応するように更新さ
れる。プリフェッチされてMBUF、EBUF、TBUF、およびIF
IFO 264 に置かれている他の命令もすべてキャンセルさ
れる。
When one of the asynchronous interrupts is notified to the interrupt logic unit 363, the interrupt control unit 363 sends an interrupt request to the IEU 10 via the INT REQ / ACK line 340.
Send to 4. The interrupt control unit 363 also sends a prefetch suspend signal via line 343 to the PC control unit 262, causing the PC control unit 262 to stop prefetching instructions. The IEU 104 cancels all currently executing instructions, aborts all trial results, or completes some or all instructions. The preferred embodiment speeds up the response to asynchronous interrupts by canceling all currently executing instructions. In any case, the execution PC control unit 366
Within the DPC, before IEU 104 acknowledges the receipt of the interrupt,
Finally, it is completed and updated to correspond to the saved instruction. Prefetched for MBUF, EBUF, TBUF, and IF
All other instructions located in IFO 264 are also canceled.

【0082】IEU 104 は、割込みハンドラから割込みを
受け取る準備状態にあるときだけ、割込み受信確認信号
をINT REQ/ACK ライン340 を経由して割込み制御ユニッ
ト363 へ送り返す。この信号を受け取ると、割込み制御
ユニット363 は、以下で説明するように、該当するトラ
ップ・ハンドラにディスパッチする。 3)同期例外 同期例外の場合は、割込み制御ユニット363 は各命令セ
ットごとに4個が1組の内部例外ビット(図示せず)を
もっており、各ビットはセット内の各命令に対応づけら
れている。割込み制御ユニット363 は各命令で見つかっ
たとき、通知するトラップ番号も維持している。
The IEU 104 sends an interrupt acknowledgment signal back to the interrupt control unit 363 via the INT REQ / ACK line 340 only when it is ready to receive an interrupt from the interrupt handler. Upon receiving this signal, the interrupt control unit 363 dispatches to the appropriate trap handler, as described below. 3) Synchronous exception In the case of synchronous exception, the interrupt control unit 363 has one set of internal exception bits (not shown) for each instruction set, and each bit is associated with each instruction in the set. I have. The interrupt control unit 363 also maintains a trap number to notify when found in each instruction.

【0083】特定の命令セットがプリフェッチれている
途中で、VMU がTLB 不一致または別のVMU 例外を通知す
ると、この情報はPCロジック・ユニット270 へ、特に割
込み制御ユニット334 へVMU 制御ライン332 、334 を経
由して送られる。割込み制御ユニット363 は、この信号
を受信すると、以後のプリフェッチを一時中止するよう
にライン343 を経由してPC制御ユニット362 に通知す
る。これと同時に、割込み制御ユニット363 は、命令セ
ットが送られる先のプリフェッチ・バッファに関連する
VM MissまたはVM Excpビットのどちらか該当する方を
セットする。そのあと、割込み制御ユニット363 は、命
令セットの中の命令のどれも有効でないので、その命令
セットに対応する4個の内部例外標識ビット全部をセッ
トし、問題を起こした命令セットの中の4命令の各々に
対応して受信した特定の例外のトラップ番号をストアす
る。問題のある命令より前の命令のシフトと実行は、問
題の命令セットがIFIFO 264 内で最低レベルに達するま
で通常通りに続行される。
If the VMU reports a TLB mismatch or another VMU exception while a particular instruction set is being prefetched, this information is sent to the PC logic unit 270, in particular to the interrupt control unit 334, to the VMU control lines 332, 334. Sent via. Upon receiving this signal, the interrupt control unit 363 notifies the PC control unit 362 via the line 343 to suspend the subsequent prefetch. At the same time, the interrupt control unit 363 is associated with the prefetch buffer to which the instruction set is sent.
VM Miss or VM Set the Excp bit, whichever is applicable. Then, since none of the instructions in the instruction set are valid, the interrupt control unit 363 sets all four internal exception indicator bits corresponding to that instruction set, Store the trap number of the particular exception received for each of the instructions. Shifting and execution of instructions prior to the offending instruction will continue as usual until the offending instruction set reaches the lowest level in IFIFO 264.

【0084】同様に、プリフェッチ・バッファ260 、I
デコード・ユニット262 またはIFIFO 264 を通して命令
をシフトしている途中で他の同期例外が検出れると、こ
の情報も割込み制御ユニット363 へ送られ、ユニット36
3 は、例外を引き起こした命令に対応する内部例外標識
ビットをセットし、その例外に対応するトラップ番号を
ストアする。プリフェッチ同期例外の場合と同じよう
に、問題を起こした命令より前の命令のシフトと実行
は、問題の命令セットがIFIFO 264 内で最低レベルに達
するまで、通常通りに続行される。
Similarly, the prefetch buffer 260, I
If another synchronization exception is detected while shifting instructions through decode unit 262 or IFIFO 264, this information is also sent to interrupt control unit 363 and unit 36
3 sets the internal exception indicator bit corresponding to the instruction that caused the exception and stores the trap number corresponding to the exception. As with the prefetch synchronization exception, the shifting and execution of the instruction prior to the offending instruction will continue as usual until the instruction set in question reaches the lowest level in IFIFO 264.

【0085】好適実施例では、プリフェッチ・バッファ
260 、Iデコード・ユニット262 またはIFIFO 264 を通
して命令をシフトしている途中で検出される例外は、ソ
フトウェア・トラップ命令の1タイプだけでる。ソフト
ウェア・トラップ命令は、CF DET ユニット274 によって
Iデコード・ステージで検出される。一部の実施例で
は、他の形態の同期例外がIデコード・ステージで検出
されるが、他の同期例外の検出は、命令が実行ユニット
104 に到着するまで待つようにするのが好ましい。この
ようにすれは、特権命令を処理すると起こるようなある
種の例外が、命令が実効的に順序内で実行される前に変
化するおそれのあるプロセッサ状態に基づいて通知され
るのが防止される。違法命令のように、プロセッサ状態
に左右されない例外はIデコード・ステージで検出可能
であるが、すべての実行前同期例外(VMU例外は別とし
て) を同じロジックで検出 するようにすれば、最低限
のハードウェアですむことになる。また、そのような例
外の処理は時間を重視することがめったにないので、命
令が実行ユニット104 に届くまでの待ちによる時間浪費
もない。
In the preferred embodiment, the prefetch buffer
260, through I decode unit 262 or IFIFO 264
Exceptions detected while shifting instructions by
There is only one type of software trap instruction. soft
The wear trap instruction is CF By DET unit 274
Detected at I decode stage. In some embodiments
Indicates that another form of synchronous exception is detected in the I decode stage
Detection of other synchronous exceptions
It is preferable to wait until you arrive at 104. this
So that it happens when processing privileged instructions
Some exceptions change before instructions are effectively executed in order.
Is notified based on the processor status
Is prevented. Processor state, like illegal instruction
-Independent exceptions can be detected in the I decode stage
However, all pre-execution synchronization exceptions (except for VMU exceptions)
) With the same logic, at least
Hardware. Also, such an example
Since outside processing rarely focuses on time,
Time wasted waiting for instructions to reach execution unit 104
Nor.

【0086】上述したように、ソフトウェア・トラップ
命令は CF DET ユニット274 によってIデコード・ス
テージで検出される。割込みロジック・ユニット363 内
のその命令に対応する内部例外標識ビットはセットさ
れ、0から127 までの番号で、ソフトウェア・トラップ
命令の即値モード・フィールドに指定できるソフトウェ
ア・トラップ番号はトラップ命令に対応づけられてスト
アされる。しかし、プリフェッチ同期例外と異なり、ソ
フトウェア・トラップは制御フロー命令だけでなく、同
期例外としても扱われるので、割込み制御ユニット363
は、ソフトウェア・トラップ命令が検出されたときプリ
フェッチを一時中止するようにPC制御ユニット362 に通
知しない。その代わりに、命令がIFIFO 264 を通知して
シフトされるのと同時に、IFU 102 はトラップ・ハンド
ラをプリフェッチしてMBUF命令ストリーム・バッファに
入れる。
As described above, the software trap instruction is CF Detected by the DET unit 274 in the I decode stage. The internal exception indicator bit corresponding to the instruction in interrupt logic unit 363 is set, and a number from 0 to 127 is assigned to the software trap number that can be specified in the immediate mode field of the software trap instruction. Stored. However, unlike the prefetch synchronous exception, the software trap is treated not only as a control flow instruction but also as a synchronous exception.
Does not notify the PC control unit 362 to suspend prefetching when a software trap instruction is detected. Instead, IFU 102 prefetches the trap handler into the MBUF instruction stream buffer at the same time that the instruction is shifted to signal IFIFO 264.

【0087】命令セットがIFIFO 264 の最低レベルまで
達すると、割込みロジック・ユニット363 はその命令セ
ットの例外標識ビットを4ビット・ベクトルとしてSYNC
H INT INFOライン341 経由でIEU 104 へ送り、命令セ
ットの中で同期例外の発生源とすでに判断されていた命
令があれば、どの命令であるかを通知する。IEU 104 は
即時に応答しないで、命令セットの中のすべての命令が
通常の方法でスケジュールされるようにする。整数算術
演算例外といった別の例外は、実行時に引き起こされる
場合がある。特権命令が実行されたために起こる例外の
ように、マシンの現在状態に左右される例外もこの時点
で検出され、マシンの状態が命令ストリーム内の以前の
すべての命令に対して最新となるようにするために、PS
R に影響を与える可能性のあるすべての命令( 特殊な移
動やトラップ命令からのリターンなど)は強制的に順序
内で実行される。なんらかの同期命令の発生源となった
命令が退避される直前にあるときだけ、例外が起こった
ことが割込みロジック・ユニット363 に通知される。
Instruction set up to the lowest level of IFIFO 264
Upon reaching, the interrupt logic unit 363 sends its instruction
SYNC as a 4-bit vector
H INT Send to IEU 104 via INFO line 341
That was previously determined to be the source of the synchronous exception in the
If there is an order, it will be notified of the order. IEU 104 is
Do not immediately respond, all instructions in the instruction set
Be scheduled in the usual way. Integer arithmetic
Other exceptions, such as arithmetic exceptions, are raised at runtime
There are cases. Exceptions caused by execution of privileged instructions
At this point, exceptions that depend on the current state of the machine
And the state of the machine is
PS to be up to date for all instructions
All instructions that may affect R (special migration
Action or return from a trap instruction)
Executed within The source of some synchronization instructions
An exception occurred only when the instruction was about to be evacuated
Is notified to the interrupt logic unit 363.

【0088】IEU 104 は試行的に実行され、同期例外を
引き起こした最初の命令に先行する命令ストリームに現
れたすべての命令を退避し、試行的に実行され、命令ス
トリームにそのあとに現れた命令からの試行的結果をフ
ラッシュする。例外を引き起こした特定の命令は、トラ
ップから戻ると再実行されるのが普通であるので、この
命令もフラッシュされる。そのあと、実行PC制御ユニッ
ト366 内のIF PCは実際に退避された最後の命令い対応
するように更新され、例外が割込み制御ユニット363 に
通知される。
The IEU 104 is executed on a trial basis, saving all instructions that appear in the instruction stream preceding the first instruction that caused a synchronization exception, and executing on a trial basis, instructions appearing later in the instruction stream. Flush trial results from. Since the particular instruction that caused the exception is usually re-executed upon return from the trap, this instruction is also flushed. After that, the IF in the execution PC control unit 366 The PC is updated to correspond to the last instruction actually saved, and the exception is notified to the interrupt control unit 363.

【0089】例外の発生源である命令が退避されると、
IEU 104 は、退避される命令セット( レジスタ224)の中
に同期例外を起こした命令があれば、どの命令であるか
を示した新しい4ビット・ベクトルを、命令セット内の
最初の例外の発生源を示した情報と一緒に、SYNCH IN
T INFOライン341 を経由して割込みロジック・ユニッ
ト363 に返却する。IEU 104 から返却される4ビット例
外ベクトルに入っている情報は、割込みロジック・ユニ
ット363 からIEU 104 に渡された4ビット例外ベクトル
とIEU 104 で引き起こされた例外を累積したものであ
る。プリフェッチまたはIデコード時に検出された例外
が原因で割込み制御ユニット363 にすでにストアされて
いる情報があれば、その情報と一緒にIEU 104 から割込
み制御ユニット363 に返却される情報の残余部分は、割
込み制御ユニット363 が最高優先度同期例外の内容とそ
のトラップ番号を判断するのに十分である。 4)ハンドラ・ディスパッチとリターン:割込み受信確認
信号がライン340 経由でIEU から受信されるか、あるい
はゼロ以外の例外ベクトルがライン341 経由で受信され
た後、現DPC がリターン・アドレスとして特殊レジスタ
412 (図4)の1つであるxPC レジスタに一時的にスト
アされる。現プロセッサ状態レジスタ(PSR) は先のPSR
(PPSR) レジスタにもストアされ、そして現状態比較レ
ジスタ(CSR) が特殊レジスタ412 の中の旧状態比較レジ
スタ(PCSR)にセーブされる。
When the instruction which is the source of the exception is saved,
The IEU 104 uses a new 4-bit vector to indicate which instruction caused the synchronous exception, if any, in the saved instruction set (register 224), which caused the first exception in the instruction set. SYNCH with information indicating the source IN
T Return to the interrupt logic unit 363 via INFO line 341. The information contained in the 4-bit exception vector returned from IEU 104 is an accumulation of the 4-bit exception vector passed from interrupt logic unit 363 to IEU 104 and the exceptions raised in IEU 104. If there is information already stored in the interrupt control unit 363 due to an exception detected during prefetch or I-decoding, the rest of the information returned from the IEU 104 to the interrupt control unit 363 along with that information is the interrupt It is sufficient for the control unit 363 to determine the content of the highest priority synchronization exception and its trap number. 4) Handler dispatch and return: After the interrupt acknowledgment signal is received from the IEU via line 340 or a non-zero exception vector is received via line 341, the current DPC is the special register as the return address.
412 (FIG. 4), which is temporarily stored in the xPC register. The current processor status register (PSR) is the previous PSR
The (PPSR) register is also stored, and the current state comparison register (CSR) is saved in the old state comparison register (PCSR) in the special register 412.

【0090】トラップ・ハンドラのアドレスはトラップ
・ベース・レジスタ・アドレスにオフセットを加えたも
のとして計算される。PCロジック・ユニット270 はトラ
ップ用に2つのベース・レジスタをもち、これらは共に
特殊レジスタ412 (図4)の一部であり、以前に実行さ
れた特殊移動命令によって初期化される。大部分のトラ
ップでは、ハンドラのアドレスを計算するために使用さ
れるベース・レジスタはトラップ・ベース・レジスタTB
R である。
The address of the trap handler is calculated as the trap base register address plus an offset. PC logic unit 270 has two base registers for traps, both of which are part of special register 412 (FIG. 4) and are initialized by a previously executed special move instruction. For most traps, the base register used to calculate the address of the handler is the trap base register TB
R.

【0091】割込み制御ユニット363 は現在保留中の最
高優先度割込みまたは例外を判断し、索引(look-up) テ
ーブルを通して、それに関連づけられたトラップ番号を
判断する。これは、選択したベース・レジスタまでのオ
フセットとして、1組のINT OFFSETライン373 を経由し
てプリフェッチPC制御ユニット364 へ渡される。ベクト
ル・アドレスは、オフセット・ビットを下位ビットとし
てTBR レジスタから得た上位ビットに連結するだけで求
められるという利点がある。このため、加算器の遅延が
防止される。(本明細書では、2'ビットとはi'番目のビ
ットのことである。) 例えば、トラップの番号が0から
255 までで、これを8ビット値で表すと、ハンドラ・ア
ドレスは8ビット・トラップ番号を22ビットのTBR スト
ア値の最後に連結すると求められる。トラップ番号に2
桁に下位ビットを付加すると、トラップ・ハンドラ・ア
ドレスは常にワード境界上に置かれることになる。この
ようにして作られた連結ハンドラ・アドレスは入力373
の1つとしてプリフェッチ・セレクタPF PC Sel 390
(図4)へ送られ、次のアドレスとして選択されて、そ
こから命令がプリフェッチされる。TBR レジスタを使用
してトラップのベクトル・ハンドラ・アドレスはすべて
1ワードだけ離れている。従って、トラップ・ハンドラ
・アドレスにある命令は、長くなったトラップ処理ルー
チンへの予備的ブランチ命令でなければならない。しか
し、トラップには、システム・パフォーマンスの低下を
防止するために、その扱いに注意が必要なものがいくつ
かある。例えば、TLB トラップは高速に実行させる必要
がある。そのような理由から、好適実施例では、予備的
ブランチの費用を払わないで、小型のトラップ・ハンド
ラを呼び出せるようにした高速トラップ・メカニズムが
組み込まれている。さらに、高速トラップ・ハンドラは
メモリにも、例えば、オン・チップROM にも独立に配置
させることができるので、ROM の位置(ロケーション)
に関連するメモリ・システム問題がなくなる。
[0091] The interrupt control unit 363 is the
Determine high priority interrupts or exceptions and look-up
Table to determine the trap number associated with it.
to decide. This is the off-state to the selected base register.
One set of INT as a set Via OFFSET line 373
Is transferred to the prefetch PC control unit 364. Vect
Address uses the offset bit as the lower bit.
Only by concatenating with the upper bits obtained from the TBR register.
There is an advantage that it can be. Therefore, the adder delay
Is prevented. (In this specification, the 2 'bit is the i'th bit
It is a thing. ) For example, if the trap number is 0
If this is represented by an 8-bit value up to 255, the handler
The dress is an 8-bit trap number with a 22-bit TBR
It is required to concatenate at the end of the value. 2 for the trap number
Adding a low-order bit to a digit causes the trap handler
The dress will always be on a word boundary. this
The concatenation handler address created in this way is input 373
Prefetch selector PF as one of PC Sel 390
(Fig. 4), selected as the next address, and
The instruction is prefetched from here. Uses TBR register
And all the trap vector handler addresses
One word away. Therefore, the trap handler
The instruction at the address is
Must be a preliminary branch instruction to the chin. Only
And traps can degrade system performance.
How many things need to be handled with care to prevent
There is. For example, TLB traps need to run fast
There is. For that reason, in the preferred embodiment, the preliminary
Small trap hand without paying for the branch
A fast trap mechanism that allows you to call
It has been incorporated. In addition, the fast trap handler
Independently located in memory, for example, in on-chip ROM
The location of the ROM
Eliminates memory system problems associated with

【0092】好適実施例では、高速トラップとなるトラ
ップは上述したVMU 例外だけである。高速トラップの番
号は他のトラップと区別され、0〜7の範囲になってい
る。しかし、優先度はMMU 例外と同じである。割込み制
御ユニット363 は、高速トラップがそのとき保留中の最
高優先度であると認めると、特殊レジスタ(FTB) から高
速トラップ・ベース・レジスタ(FTB) を選択し、トラッ
プ・オフセットと結合するためにライン416 上に送出す
る。ライン373'経由でプリフェッチ・セレクタPF PC S
el 390へ送られた結果のベクトル・アドレスは、FTB レ
ジスタからの上位22ビットを連結したもので、そのあと
に高速トラップ番号を表した3ビットが続き、そのあと
に7個のゼロ・ビットが続いている。従って、各高速ト
ラップ・アドレスは128 バイト・つまり、32ワードだけ
離れている。呼び出されると、プロセッサは開始ワード
へブランチし、ブロックまたはそこから出たブランチ内
でプログラムを実行させる。32個またはそれ以下の命令
で実現できる標準的なTLB処理ルーチンのような、小さ
なプログラムの実行は、実際の実行処理ルーチンへの予
備的ブランチが回避されるので、通常のトラップよりも
高速化される。
In the preferred embodiment, the only fast traps are the VMU exceptions described above. Fast trap numbers are distinguished from other traps and range from 0-7. However, the priority is the same as the MMU exception. If the interrupt control unit 363 finds that the fast trap is the highest priority then pending, it selects the fast trap base register (FTB) from the special register (FTB) and combines it with the trap offset. Send on line 416. Prefetch selector PF via line 373 ' PC S
The resulting vector address sent to el 390 is the concatenation of the high-order 22 bits from the FTB register, followed by the three bits representing the fast trap number, followed by seven zero bits. in the process of. Thus, each fast trap address is 128 bytes or 32 words apart. When called, the processor branches to the start word and causes the program to execute in the block or branch out of it. The execution of small programs, such as standard TLB processing routines that can be implemented with 32 or fewer instructions, is faster than a normal trap because the preliminary branch to the actual execution routine is avoided. You.

【0093】好適実施例では、すべての命令は同じ4バ
イト長になっているが(つまり、4つのアドレス・ロケ
ーションを占有する)、注目すべきことは、命令が可変
長になったマイクロプロセッサでも高速トラップ・メカ
ニズムが利用できることである。この場合、高速トラッ
プ・ベクトル・アドレス間には、マイクロプロセッサで
使用できる最短長の命令を少なくとも2つ、好ましくは
32個の平均サイズ命令を受け入れるだけの十分なスペー
ス設けられることは勿論である。勿論、マイクロプロセ
ッサがトラップからのリターン命令を備えている場合に
は、ベクトル・アドレス間には、ハンドラ内の少なくと
も1つの他の命令をその命令に置けるだけの十分なスペ
ースを設けておく必要がある。
In the preferred embodiment, all instructions are the same four bytes long (ie, occupy four address locations), but it should be noted that even in microprocessors where instructions are of variable length. A fast trap mechanism is available. In this case, between the fast trap vector addresses, at least two shortest instructions available to the microprocessor, preferably at least
Of course, enough space is provided to accept the 32 average size instructions. Of course, if the microprocessor has a return from trap instruction, there must be enough space between the vector addresses to place at least one other instruction in the handler at that instruction. is there.

【0094】また、トラップ・ハンドラへディスパッチ
すると、プロセッサはカーネル・モードと割込み状態に
入る。これと並行して、状態比較レジスタ(CSR) のコピ
ーが以前のキャリー状態レジスタ(PCSR)に置かれ、PSR
のコピーが以前のPSR(PPSR)にストアされる。カーネル
と割込み状態モードはプロセッサ状況レジスタ(PSR)内
のビットで表される。現PSR の割込み状態ビットがセッ
トされると、シャドウ・レジスタまたはトラップ・レジ
スタRT[24]〜RT[31]が上述および図7(b)に示すよう
に、見えるようになる。割込みハンドラは新しいモード
をPSR に書き込むだけだカーネル・モードから出ること
ができるが、割込み状態から出るためには、トラップか
らのリターン(RTT) 命令を実行する方法だけが唯一の方
法である。
When dispatching to the trap handler, the processor enters a kernel mode and an interrupt state. In parallel, a copy of the status compare register (CSR) is placed in the previous carry status register (PCSR) and the PSR
Is stored in the previous PSR (PPSR). The kernel and interrupt status modes are represented by bits in the processor status register (PSR). When the interrupt status bit of the current PSR is set, the shadow or trap registers RT [24] -RT [31] become visible as described above and as shown in FIG. 7 (b). The interrupt handler can only exit the kernel mode by writing the new mode to the PSR, but the only way to exit the interrupt state is to execute a return from trap (RTT) instruction.

【0095】IEU 104 がRTT 命令を実行すると、PCSRは
CSR レジスタに復元され、PPSRレジスタはPSR レジスタ
に復元されるので、PSR 内の割込み状態ビットは自動的
にクリアされる。PF PC SELセレクタ390 は特殊レジス
タ・セット412 のなかの特殊レジスタxPC を次にそこか
らプリフェッチするアドレスとして選択する。xPC はイ
ンクリメンタ394 とバス396 を通して、MBUF PFnPCまた
はEBUF PFnPC のどちらか該当する方に復元される。xP
C をEBUF PFnPCに復元すべきか、またはMBUF PFnPCに復
元すべきかの判断は、復元されたあとのPSR の「プロシ
ージャ進行中」ビットに従って行われる。
When IEU 104 executes the RTT instruction, PCSR becomes
The interrupt status bits in the PSR are automatically cleared because the CSR register is restored and the PPSR register is restored to the PSR register. PF The PC SEL selector 390 selects the special register xPC in the special register set 412 as the next address to prefetch from. The xPC is restored to MBUF PFnPC or EBUF PFnPC, as appropriate, via incrementer 394 and bus 396. xP
The decision whether to restore C to EBUF PFnPC or to MBUF PFnPC is made according to the “procedure in progress” bit of the restored PSR.

【0096】注目すべきことは、プロセッサはトラップ
とプロシージャ命令の両方のリターン・アドレスをスト
アするのに同じ特殊レジスタxPC を使用しないことであ
る。トラップのリターン・アドレスは上述したように特
殊レジスタxPC にストアされるが、プロシージャ命令の
あとリターンする先のアドレスは別の特殊レジスタuPC
にストアされる。従って、割込み状態は、プロセッサが
プロシージャ命令で呼び出されたエミュレーション・ス
トリームを実行している間でも、使用可能のままになっ
ている。他方、例外処理ルーチンはエミュレーション・
ストリームが完了したあと例外ハンドラへ戻るためのア
ドレスをストアする特殊レジスタがないので、いずれの
プロシージャ命令をも含んでいてはならない。 5) ネスト:ある種のプロセッサ状況情報は、トラップ
・ハンドラ、特に、CSR 、PSR 、リタンPC、およびある
意味では"A" レジスタ・セットre[24]〜re[31]へディス
パッチするとき自動的にバックアップがとられるが、他
のコンテキスト情報は保護されていない。例えば、浮動
小数点状況レジスタ(FSR) の内容は自動的にバックアッ
プがとられない。トラップ・ハンドラがこれらのレジス
タを変更するためには、独自のバックアップを実行しな
ければならない。
It should be noted that the processor does not use the same special register xPC to store the return address for both trap and procedure instructions. The return address of the trap is stored in the special register xPC as described above, but the destination address after the procedure instruction returns to another special register uPC.
Stored in Thus, the interrupt state remains available while the processor is executing the emulation stream called with procedural instructions. On the other hand, the exception handling routine
It must not contain any procedure instructions because there is no special register to store the address to return to the exception handler after the stream has completed. 5) Nest: Certain processor status information is automatically dispatched to trap handlers, especially CSR, PSR, return PC, and in some sense, "A" register sets re [24] -re [31]. Is backed up, but other context information is not protected. For example, the contents of the floating-point status register (FSR) are not automatically backed up. In order for the trap handler to change these registers, it must perform its own backup.

【0097】トラップ・ハンドラへディスパッチすると
き自動的に行われるバックアップが制限されているため
に、トラップのネストは自動的に行われない。トラップ
・ハンドラは必要とするレジスタのバックアップをと
り、割込み条件をクリアし、トラップ処理のために必要
な情報をジステム・レジスタから読み取り、その情報を
適当に処理する必要がある。割込みは、トラップ・ハン
ドラへディスパッチすると自動的に禁止される。処理を
終えると、ハンドラはバックアップをとったレジスタを
復元し、再び割込みを可能にし、RTT 命令を実行して割
込みから戻ることができる。
Nesting of traps is not performed automatically because of the limited backups that are performed automatically when dispatching to a trap handler. The trap handler must back up necessary registers, clear interrupt conditions, read information required for trap processing from the system registers, and process the information appropriately. Interrupts are automatically disabled when dispatched to a trap handler. When done, the handler can restore the backed up registers, enable interrupts again, and execute the RTT instruction to return from the interrupt.

【0098】ネストされたトラップを可能にするには、
トラップ・ハンドラを第1部分と第2部分に分割する必
要がある。第1部分では、割込みが禁止されている間
に、特殊レジスタ移動命令を使用してxPC をコピーし、
トラップ・ハンドラが維持しているスタック上にプッシ
ュしておく必要がある。次に、特殊レジスタ移動命令を
使用して、トラップ・ハンドラの第2部分の先頭のアド
レスをxPC に移し、トラップからのリターン命令(RTT)
を実行する必要がある。RTT は割込み状態を取り除き(P
RSR をPSR に復元することによって) 、制御をxPC 内の
アドレスを移す。xPC には、ハンドラの第2部分のアド
レスが入っている。第2部分はこの時点で割込みを可能
にして、割込み可能モードで例外の処理を続けることが
できる。注目すべきことは、シャドウ・レジスタRT[24]
〜RT[31]はこのハンドラの第1部分でのみ見ることがで
き、第2部分では見えないことである。従って、第2部
分では、ハンドラは、"A" レジスタ値がハンドラによっ
て変更される可能性がある場合には、その値を予約して
おく必要がある。トラップ処理ルーチンは終わったとき
は、バックアップにとったレジスタをすべて復元し、元
のxPC をトラップ・ハンドラ・スタップからポップし、
それを特殊レジスタ移動命令を使用してxPC 特殊レジス
タに戻して、別のRTT を実行する必要がある。これによ
り、制御はメインまたはエミュレーション命令ストリー
ムの中の該当命令に返される。 6)トラップ一覧表:次の表Iは、好適実施例で認識され
るトラップのトラップ番号、優先度および処理モードを
示すものである。
To enable nested traps,
The trap handler needs to be split into a first part and a second part. In the first part, while interrupts are disabled, copy the xPC using a special register move instruction,
It must be pushed onto the stack maintained by the trap handler. Then, using a special register move instruction, move the first address of the second part of the trap handler to the xPC, and return from the trap instruction (RTT).
Need to be run. RTT removes the interrupt status (P
Control is transferred to the address in the xPC by restoring the RSR to the PSR. The xPC contains the address of the second part of the handler. The second part can enable interrupts at this point and continue processing the exception in interrupt enabled mode. Noteworthy is the shadow register RT [24]
~ RT [31] is visible only in the first part of this handler, not in the second part. Thus, in the second part, the handler needs to reserve the value of the "A" register if the value could be changed by the handler. When the trap processing routine is finished, restore all the backed up registers and pop the original xPC from the trap handler stap,
It needs to be returned to the xPC special register using a move special register instruction and another RTT performed. This returns control to the corresponding instruction in the main or emulation instruction stream. 6) Trap list: Table I below shows the trap numbers, priorities and processing modes of the traps recognized in the preferred embodiment.

【0099】 表I ────────────────────────────────── トラップ番号 処理モード 同期 トラップ名 0−127 通常 同期 トラップ命令 128 通常 同期 FP例外 129 通常 同期 整数算術演算例外 130 通常 同期 MMU(TLB不一致または 修正を除く) 135 通常 同期 不整列メモリアドレス 136 通常 同期 違法命令 137 通常 同期 特権命令 138 通常 同期 デバッグ例外 144 通常 非同期 性能モニタ 145 通常 非同期 タイマ/カウンタ 146 通常 非同期 メモリI/Oエラー 160ー191 通常 非同期 ハードウェア割込み 192ー253 予約 254 通常 非同期 マシン・チェック 255 通常 非同期 NMI 0 高速トラップ 同期 高速MMU TLB不一致 1 高速トラップ 同期 高速MMU TLB修正 2ー3 高速トラップ 同期 高速(予約) 4ー7 高速トラップ 同期 高速(予約) ─────────────────────────────────── III.命令実行ユニット 図5は、IEU 104 の制御経路部分とデータ経路部分を示
したものである。主要データ経路は、IFU 102 からの命
令/オペランド・データ・バスを始端としている。デー
タ・バスとして、即値オペランドはオペランド位置合わ
せユニット470へ送られ、レジスタ・ファイル(REG ARRA
Y)472に渡される。レジスタ・データはレジスタ・ファ
イル472 からバイパス・ユニット474 を通って、レジス
タ・ファイル出力バス476 を経由し、分配バス480 を経
由して機能計算エレメント(FUo-n) の並列配列へ送られ
る。機能ユニット478o-nによって生成されたデータは、
出力バス482 を経由してバイパス・ユニット474 または
レジスタ配列472 または両方へ送り返される。
Table I @Trap number processing mode Synchronous trap name 0-127 Normal Synchronous Trap Instruction 128 Normal Synchronous FP Exception 129 Normal Synchronous Integer Arithmetic Exception 130 Normal Synchronous MMU (Excluding TLB Mismatch or Correction) 135 Normal Synchronous Unaligned Memory Address 136 Normal Synchronous Illegal Instruction 137 Normal Synchronous Privileged Instruction 138 Normal Synchronous Debug Exception 144 Normal Asynchronous Performance Monitor 145 Normal Asynchronous Timer / Counter 146 Normal Asynchronous Memory I / O Error 160-191 Normal Asynchronous Hardware Interrupt 192-253 Reserved 254 Normal Asynchronous Machine Check 255 Normal Asynchronous NMI 0 High Speed Trap Synchronous High Speed MMU TLB Mismatch 1 High Speed Trap High-speed MMU TLB correction 2-3 High-speed trap synchronization High-speed (reserved) 4-7 High-speed trap synchronization High-speed (reserved) ────────────────────────── III. Instruction Execution Unit FIG. 5 shows a control path portion and a data path portion of the IEU 104. The primary data path starts with the instruction / operand data bus from IFU 102. As a data bus, the immediate operand is sent to the operand alignment unit 470, and the register file (REG ARRA
Y) passed to 472. Register data is sent from register file 472 through bypass unit 474, through register file output bus 476, and through distribution bus 480 to a parallel array of functional computing elements (FUo-n). The data generated by functional unit 478o-n
Returned via output bus 482 to bypass unit 474 and / or register array 472 or both.

【0100】ロード/ストア・ユニット484 によってIE
U 104 のデータ経路部分が完成される。ロード/ストア
・ユニット484 はIEU 104 とCCU 106 間のデータ転送の
管理を担当する。具体的には、CCU 106 のデータ用キャ
ッシュ134 から取り出したロード・データはロード/ス
トア・ユニット484 によってロード・データ・バス486
を経由してレジスタ配列472 へ転送される。CCU 106 の
データ用キャッシュにストアされるデータは機能ユニッ
トの配分バス480 から受信される。IEU 104 の制御経路
部分はIEU データ経路を通る情報の送出、管理、および
その処理を行うことを担当する本発明の好適実施例で
は、IEU 制御経路は複数の命令の並行実行を管理する機
能を備え、IEU データ経路は、IEU 104 のほぼすべての
データ経路エレメント間の複数のデータ転送を独立して
行う機能を備えている。IEU 制御経路は命令/オペラン
ド・バス124 を経由して命令を受信すると、それを応じ
て動作する。具体的には、命令セットはEデコード・ユ
ニット490 によって受信される。本発明の好適実施例で
は、Eデコード・ユニット490 はIFIFO マスタ・レジス
タ216 、224 に保持されている両方の命令セットを受信
して、デコードする。8命令すべてのデコードの結果
は、キャリー・チェッカ(CRY CHKR)ユニット492 、依存
性チェッカ(DEP CHKR)ユニット494 、レジスタ改名ユニ
ット(REG RENAME)496、命令発行(ISSUEUR) ユニット49
8 および退避制御ユニット(RETIRE CLT)500 へ送られ
る。
Load / Store Unit 484 by IE
The data path part of U104 is completed. The load / store unit 484 is responsible for managing data transfer between the IEU 104 and the CCU 106. Specifically, the load data retrieved from the data cache 134 of the CCU 106 is loaded by the load / store unit 484 onto the load data bus 486.
Is transferred to the register array 472 via The data stored in the data cache of the CCU 106 is received from the functional unit distribution bus 480. In the preferred embodiment of the present invention, where the control path portion of the IEU 104 is responsible for sending, managing, and processing information along the IEU data path, the IEU control path has the function of managing the parallel execution of multiple instructions. In addition, the IEU data path has the function of independently performing multiple data transfers between almost all data path elements of the IEU 104. When the IEU control path receives an instruction via the instruction / operand bus 124, it operates accordingly. Specifically, the instruction set is received by E-decode unit 490. In the preferred embodiment of the present invention, the E decode unit 490 receives and decodes both instruction sets held in IFIFO master registers 216, 224. The results of decoding of all eight instructions are the carry checker (CRY CHKR) unit 492, the dependency checker (DEP CHKR) unit 494, the register rename unit (REG RENAME) 496, and the instruction issue (ISSUEUR) unit 49.
8 and the evacuation control unit (RETIRE CLT) 500.

【0101】キャリー・チェッカ・ユニット492 はEデ
コード・ユニット490 から制御ライン502 を経由して、
係続中の保留されている8命令に関するデコード化情報
を受信する。キャリー・チェッカ492 の機能は、保留さ
れている命令のうち、プロセッサ状況ワードのキャリー
・ビットに影響を与える、あるいはキャリー・ビットの
状態に左右される命令を識別することである。この制御
情報は制御ライン504を経由して命令発行ユニット498
へ送られる。
The carry checker unit 492 receives the signal from the E decode unit 490 via the control line 502,
Receive decoding information for the pending 8 pending instructions. The function of the carry checker 492 is to identify the pending instructions that affect the carry bit of the processor status word or are dependent on the state of the carry bit. This control information is sent to the instruction issuing unit 498 via the control line 504.
Sent to

【0102】保留状態の8命令によって使用されている
レジスタ・ファイル472 のレジスタを示しているデコー
ド化情報は、制御ライン506 を経由して直接にレジスタ
改名ユニット496 へ送られる。この情報は、依存関係チ
ェッカ・ユニット494 へも送られる。依存関係チェッカ
・ユニット494 の機能は、保留状態の命令のどれがレジ
スタをデータの宛先として参照しているか、もしあれ
ば、どの命令がこれらの宛先レジスタのいずれかに依存
しているかを判断することである。レジスタに依存する
命令は、制御ライン508 を経由してレジスタ改名ユニッ
ト496 へ送られる制御信号によって識別される。
Decoding information indicating the registers in the register file 472 used by the eight pending instructions is sent directly to the register rename unit 496 via control line 506. This information is also sent to the dependency checker unit 494. The function of the dependency checker unit 494 determines which pending instructions refer to a register as a data destination, and if so, which instructions depend on one of these destination registers. That is. Register dependent instructions are identified by control signals sent to register rename unit 496 via control line 508.

【0103】最後に、Eデコード・ユニット490 は保留
状態の8命令の各々の特定の内容と機能を識別した制御
情報を制御ライン510 を経由して命令発行ユニット498
へ送る。命令発行ユニット498 はデータ経路資源、特
に、保留状態の命令の実行のためにどの機能ユニットが
使用できるかを判断することを担当する。アーキテクチ
ャ100 の好適実施例によれば、命令発行ユニット498 は
データ経路資源が使用可能であること、キャリーとレジ
スタ依存関係の制約を条件として、8個の保留状態命令
のいずれかを順序外で実行できるようにする。レジスタ
改名ユニット496は、実行できるように適当に制約が解
除された命令のビット・マップを制御ライン512 を経由
して命令発行ユニット498 へ送る。すでに実行された
(完了した)命令およびレジスタまたはキャリーに依存
する命令は論理的にビット・マップから除かれる。
Finally, the E decode unit 490 sends control information identifying the specific contents and function of each of the eight pending instructions via the control line 510 to the instruction issuing unit 498.
Send to The instruction issuing unit 498 is responsible for determining data path resources, particularly which functional units are available for execution of pending instructions. According to a preferred embodiment of the architecture 100, the instruction issuing unit 498 executes any of the eight pending instructions out of order, subject to the availability of data path resources and constraints on carry and register dependencies. It can be so. The register renaming unit 496 sends the bit map of the appropriately unconstrained instruction to be executed to the instruction issuing unit 498 via control line 512. Instructions that have already been executed (completed) and instructions that depend on registers or carry are logically removed from the bit map.

【0104】必要とする機能ユニット478o-nが使用可能
であるかどうかに応じて、命令発行ユニット498 は各シ
ステム・クロック・サイクルに複数の命令の実行を開始
することができる。機能ユニット478o-nの状況は状況バ
ス514 を経由して命令発行ユニット498 へ送られる。命
令の実行を開始し、開始後の実行管理を行うための制御
信号は命令発行ユニット498 から制御ライン516 を経由
してレジスタ改名ユニット496 へ送られ、また選択的に
機能ユニット478o-nへ送られる。制御信号を受けると、
レジスタ改名ユニット496 はレジスタ選択信号をレジス
タ・ファイル・アクセス制御バス518 上に送出する。バ
ス518 上に送出された制御信号でどのレジスタが割込み
可能にされたかは、実行中の命令を選択することによっ
て、およびレジスタ改名ユニット496 がその特定命令に
よって参照されたレジスタを判断することによって判断
される。
[0104] Depending on whether the required functional units 478o-n are available, the instruction issuing unit 498 can begin executing multiple instructions each system clock cycle. The status of functional units 478o-n is sent to instruction issue unit 498 via status bus 514. Control signals for starting the execution of the instruction and for managing the execution after the start are sent from the instruction issuing unit 498 to the register renaming unit 496 via the control line 516, and optionally to the functional units 478o-n. Can be When receiving the control signal,
Register renaming unit 496 sends a register select signal on register file access control bus 518. Which register is enabled by the control signal sent on bus 518 is determined by selecting the instruction being executed and by register renaming unit 496 determining the register referenced by that particular instruction. Is done.

【0105】バイパス制御ユニット(BYPASS CTL) 520
は、一般的には、制御ライン524 上の制御信号を通して
バイパス・データ・ルーチング・ユニット474 の動作を
制御する。バイパス制御ユニット520 は機能ユニット47
8o-nの各々の状況をモニタし、制御ライン522 を経由し
てレジスタ改名ユニット496 から送られてきたレジスタ
参照に関連して、データをレジスタ・ファイル472 から
機能ユニット478o-nへ送るべきかどうか、あるいは機能
ユニット478o-nから出力されるデータをバイパス・ユニ
ット474 経由で機能ユニット宛先バス480 へ即時に送っ
て、命令発行ユニット498 によって選択された新発行の
命令の実行のために使用できるかどうかを判断する。ど
ちらの場合も、命令発行ユニット498 は機能ユニット47
8o-nの各々への特殊レジスタ・データを選択的に使用可
能にすることによって、宛先バス480 から機能ユニット
478o-nへデータを送ることを直接に制御する。
The bypass control unit (BYPASS CTL) 520
Generally controls the operation of bypass data routing unit 474 through control signals on control line 524. Bypass control unit 520 is functional unit 47
8o-n should be monitored and data sent from register file 472 to functional units 478o-n in connection with register references sent from register rename unit 496 via control line 522? Whether or not, the data output from the functional unit 478o-n can be immediately sent to the functional unit destination bus 480 via the bypass unit 474 and used for execution of the newly issued instruction selected by the instruction issuing unit 498. Determine whether or not. In either case, instruction issue unit 498 is replaced by functional unit 47
By selectively making special register data available to each of the 8o-n, the functional unit
Directly control sending data to 478o-n.

【0106】IEU 制御経路の残りのユニットには、退避
制御ユニット500 、制御フロー制御(CF CTL)ユニット52
8 、および完了制御(DONE CTL)ユニット536 がある。退
避制御ユニット500 は順序外で実行された命令の実行を
無効または確認するように動作する。ある命令が順序外
で実行されると、先行命令もすべて退避されたならば、
その命令は、確認または退避されることができる。現セ
ット中の保留状態の8命令のどれが実行されたかの識別
情報が制御ライン532 上に送出されると、その識別情報
に基づいて、退避制御ユニット500 はバス518 に接続さ
れた制御ライン534 上に制御信号を送出して、レジスタ
配列472 にストアされた結果データを順序外で実行され
た命令の先行実行の結果として実効的に確認する。
The remaining units on the IEU control path include an evacuation control unit 500 and a control flow control (CF CTL) unit 52.
8 and a completion control (DONE CTL) unit 536. The evacuation control unit 500 operates to invalidate or confirm execution of instructions executed out of order. If an instruction is executed out of order, and all preceding instructions have been saved,
The instruction can be confirmed or evacuated. When the identification information indicating which of the eight pending instructions in the current set has been executed is sent out on the control line 532, the evacuation control unit 500 causes the evacuation control unit 500 to output the information on the control line 534 connected to the bus 518 based on the identification information. To effectively check the result data stored in the register array 472 as a result of the preceding execution of the instruction executed out of order.

【0107】退避制御ユニット500 は、各命令を退避す
るとき、PCインクリメント/サイズ制御信号を制御ライ
ン344 を経由してIFU 102 へ送る。複数の命令を順序外
で実行でき、従って、同時に退避する準備状態に置くこ
とができるので、退避制御ユニット500 は同時に退避さ
れた命令数に基づいてサイズ値を判断する。最後に、IF
IFO マスタ・レジスタ224 のすべての命令が実行され、
退避された場合は、退避制御ユニット500 はIFIFO 読取
り制御信号を制御ライン342 を経由してIFU 102 へ送っ
て、IFIFO ユニット264 のシフト・オペレーションを開
始することにより、Eデコード・ユニット490 に追加の
4命令を実行保留命令として与える。
The save control unit 500 sends a PC increment / size control signal to the IFU 102 via the control line 344 when saving each instruction. Since multiple instructions can be executed out of order, and thus can be placed in a ready state to be saved simultaneously, the save control unit 500 determines the size value based on the number of instructions saved simultaneously. Finally, IF
All instructions in IFO master register 224 are executed,
If so, the evacuation control unit 500 sends an IFIFO read control signal to the IFU 102 via the control line 342 to initiate the shift operation of the IFIFO unit 264, thereby adding to the E-decoding unit 490. Four instructions are given as execution pending instructions.

【0108】制御フロー制御ユニット528 は各条件付き
ブランチ命令の論理的ブランチ結果を検出するという、
特定化された機能を備えている。制御フロー制御ユニッ
ト528 は現在保留中の条件付きブランチ命令の8ビット
・ベクトルIDをEデコード・ユニット490 から制御ライ
ン510 を経由して受信する。8ビット・ベクトル命令完
了制御信号は、同じように完了制御ユニット540 から制
御ライン538 を経由して受信される。この完了制御信号
によって、制御フロー制御ユニット528 は、条件付きブ
ランチ命令が、条件付き制御フロー状況を判断するのに
十分な個所まで完了すると、それを判別することができ
る。保留中の条件付きブランチ命令の制御フロー状況結
果は、その実行時に制御フロー制御ユニット528 によっ
てストアされる。条件付き制御フロー命令の結果を判断
するために必要なデータは、レジスタ配列472 内の一時
状況レジスタから制御ライン520 を経由して得られる。
各条件付き制御フロー命令が実行されると、制御フロー
制御ユニットは新しい制御フロー結果信号を制御ライン
348 を経由してIFU 102 へ送る。好適実施例では、この
制御フロー結果信号は2個の8ビット・ベクトルを含ん
でおり、このベクトルは、保留されている可能性のある
8個の制御フロー命令のそれぞれのビット位置別の状況
結果が分かっているかどうか、また、ビット位置の対応
づけによって得られる対応する状況結果状態を定義して
いる。
Control flow control unit 528 detects the logical branch result of each conditional branch instruction.
Has specialized functions. Control flow control unit 528 receives the 8-bit vector ID of the currently pending conditional branch instruction from E-decode unit 490 via control line 510. An 8-bit vector instruction completion control signal is similarly received from completion control unit 540 via control line 538. The completion control signal allows control flow control unit 528 to determine when a conditional branch instruction has completed enough to determine a conditional control flow situation. The control flow status result of the pending conditional branch instruction is stored by control flow control unit 528 during its execution. The data required to determine the result of the conditional control flow instruction is obtained via a control line 520 from a temporary status register in register array 472.
As each conditional control flow instruction is executed, the control flow control unit sends a new control flow result signal to the control line.
Send to IFU 102 via 348. In the preferred embodiment, the control flow result signal includes two 8-bit vectors, which are the status results for each of the eight potentially pending control flow instructions by bit position. Are defined, and the corresponding status result states obtained by the bit position mapping.

【0109】最後に、完了制御ユニット540 は機能ユニ
ット478o-nの各々のオペレーションに関する実行状況を
モニタするためのものである。機能ユニット478o-nのい
ずれかが命令実行オペレーションの完了を通知すると、
完了制御ユニット540 は対応する完了制御信号を制御ラ
イン542 上に送出して、レジスタ改名ユニット496 、命
令発行ユニット498 、退避制御ユニット500 およびバイ
パス制御ユニット520にアラート(警告)する。
Finally, the completion control unit 540 is for monitoring the execution status of each operation of the functional units 478o-n. When any of the functional units 478o-n signals the completion of the instruction execution operation,
Completion control unit 540 sends a corresponding completion control signal on control line 542 to alert the register renaming unit 496, instruction issuing unit 498, save control unit 500 and bypass control unit 520.

【0110】機能ユニット478o-nを並列配列構成にする
ことにより、IEU 104 の制御の一貫性を向上している。
命令を正しく認識して、実行のためのスケジュールする
ためには、個々の機能ユニット478o-nの特性を命令発行
ユニット498 に知らせる必要がある。機能ユニット478o
-nは、必要とする機能を実行するために必要な特定制御
フロー・オペレーションを判別し、実行することを担当
する。従って、命令発行ユニット498 以外は、IEU 制御
ユニットには、命令の制御フロー処理を独立して知らせ
る必要はない。命令発行ユニット498 と機能ユニット47
8o-nは共同して、残りの制御フロー管理ユニット496 、
500 、520 、528 、540 に実行させる機能を必要な制御
信号のプロンプトで知らせる。従って、機能ユニット47
8o-nの特定の制御フロー・オペレーションの変更は、IE
U 104 の制御オペレーションに影響しない。さらに、既
存の機能ユニット478o-nの機能を強化する場合や、拡張
精度浮動小数点乗算ユニットや拡張精度浮動小数点ALU
、高速フーリエ計算機能ユニット、三角関数計算ユニ
ットなどの、別の機能ユニット478o-nを1つまたは2つ
以上を追加する場合でも、命令発行ユニット498 を若干
変更するだけですむ。必要なる変更を行うには、Eデコ
ード・ユニット490 によって隔離された対応する命令フ
ィールドに基づいて、特定の命令を認識し、その命令と
必要とする機能ユニット478o-nとを関係づける必要があ
る。レジスタ・データの選択の制御、データのルーチン
グ、命令完了と退避は、機能ユニット478o-nの他の機能
ユニットすべてに対して実行される他のすべての命令の
処理と矛盾がないようになっている。 A)IEU データ経路の詳細 IEU データ経路の中心となるエレメントはレジスタ・フ
ァイル472 である。しかし、本発明によれば、IEU デー
タ経路内には、個々の機能用に最適化された並列データ
経路がいくつか用意されている。主要データ経路は整数
と浮動小数点の2つである。各並列データ経路内では、
レジスタ・ファイル472 の一部そのデータ経路内で行わ
れるデータ操作をサポートするようになっている。 1)レジスタ・ファイルの詳細 図6(a)は、データ経路レジスタ・ファイル550 の好
適アーキテクチャの概要図である。データ経路レジスタ
・ファイル550 は一時バッファ552 、レジスタ・ファイ
ル配列564 、入力セレクタ559 、および出力セレクタ55
6 を含んでいる。最終的にレジスタ配列564 へ送られる
データは、統合データ入力バス558'を経由して一時バッ
ファ552 によって最初に受信されるのが代表例である。
つまり、データ経路レジスタ・ファイル550 へ送られる
データはすべて入力セレクタ559によって多重化され
て、複数の入力バス55(好ましくは2つの)から入力バ
ス558'上に送出される。制御バス518 上に送出されたレ
ジスタ選択およびイネーブル制御信号は一時バッファ55
2 内の受信データのレジスタ・ロケーションを選択す
る。一時バッファにストアされるデータを生成した命令
が退避されると、再び制御バス518 上に送出された制御
信号は一時バッファ552 からレジスタ・ファイル配列56
4 内の論理的に対応づけられたレジスタへデータ・バス
560 を経由してデータを転送することを許可する。しか
し、命令が退避される前は、一時バッファ552 にストア
されたデータは一時バッファにストアされたデータをデ
ータ・バス560 のバイパス部分を経由して出力データ・
セレクタ556 へ送ることにより、後続の命令の実行時に
使用することが可能である。制御バス518 経由で送られ
る制御信号によって制御されるセレクタ556 は、一時バ
ッファ552 のレジスタからのデータとレジスタ・ファイ
ル配列564 のレジスタからのデータのどちらかを選択す
る。結果のデータはレジスタ・ファイル出力バス564 上
に送出される。また、実行中の命令が完了と同時に退避
される場合は、つまり、その命令が順序内で実行された
場合は、結果データをバイパス延長部分558"を経由して
直接にレジスタ配列554 へ送るように指示することがで
きる。
By making the functional units 478o-n in a parallel array configuration, the control consistency of the IEU 104 is improved.
In order to correctly recognize and schedule instructions for execution, it is necessary to inform the instruction issuing unit 498 of the characteristics of the individual functional units 478o-n. Functional unit 478o
-n is responsible for determining and performing the specific control flow operations needed to perform the required function. Therefore, other than the instruction issuing unit 498, the IEU control unit does not need to be independently informed of the control flow processing of the instruction. Instruction issuing unit 498 and functional unit 47
8o-n will jointly work with the remaining control flow management unit 496,
The functions to be performed by the 500, 520, 528, and 540 are indicated by prompts for necessary control signals. Therefore, the function unit 47
8o-n specific control flow operation changes can be
Does not affect control operations of U104. Furthermore, when enhancing the functions of the existing functional unit 478o-n, the extended-precision floating-point multiplication unit and the extended-precision floating-point ALU
Even if one or more additional functional units 478o-n, such as a fast Fourier calculation function unit and a trigonometric function calculation unit, are added, only the instruction issue unit 498 needs to be slightly changed. To make the necessary changes, it is necessary to recognize a particular instruction based on the corresponding instruction field isolated by the E-decode unit 490 and associate that instruction with the required functional unit 478o-n. . Control of register data selection, data routing, instruction completion and retraction are now consistent with the processing of all other instructions executed on all other functional units of functional unit 478o-n. I have. A) IEU Datapath Details The central element of the IEU datapath is the register file 472. However, according to the present invention, there are several parallel data paths in the IEU data path that are optimized for individual functions. There are two main data paths, integer and floating point. Within each parallel data path,
A portion of register file 472 is adapted to support data operations performed within its data path. 1) Details of the register file FIG. 6A is a schematic diagram of a preferred architecture of the data path register file 550. The data path register file 550 includes a temporary buffer 552, a register file array 564, an input selector 559, and an output selector 55.
Contains 6 The data ultimately sent to register array 564 is typically received first by temporary buffer 552 via integrated data input bus 558 '.
That is, all data sent to the datapath register file 550 is multiplexed by the input selector 559 and sent out the input buses 55 (preferably two) onto the input bus 558 '. The register select and enable control signals sent out on control bus 518 are
Select the register location of the received data in 2. When the instruction that generated the data to be stored in the temporary buffer is saved, the control signal sent out on the control bus 518 is transferred from the temporary buffer 552 to the register file array 56 again.
Data bus to logically associated registers in 4
Allow transfer of data via 560. However, before the instruction is saved, the data stored in the temporary buffer 552 transfers the data stored in the temporary buffer to the output data via the bypass portion of the data bus 560.
By sending the instruction to the selector 556, it can be used at the time of executing the subsequent instruction. Selector 556, controlled by a control signal sent via control bus 518, selects between data from registers in temporary buffer 552 and data from registers in register file array 564. The resulting data is sent out on register file output bus 564. If the instruction being executed is saved upon completion, that is, if the instruction is executed in order, the result data should be sent directly to the register array 554 via the bypass extension 558 ". Can be instructed.

【0111】本発明の好適実施例によれば、各データ経
路レジスタ・ファイル550 は2つのレジスタ操作を同時
に行えるようになっている。従って、入力バス558 を通
して2つの全レジスタ幅データ値を一時バッファ552 に
書き込むことができる。内部的には、一時バッファ552
はマルチプレクサ配列になっているので、入力データを
一時バッファ552 内の任意の2レジスタへ同時に送るこ
とができる。同様に、内部マルチプレクサにより一時バ
ッファ552 の任意の5レジスタを選択して、データをバ
ス560 上に出力することができる。レジスタ・ファイル
配列564 は同じように入出力マルチプレクサを備えてい
るので、2つのレジスタを選択して、それぞれのデータ
を同時にバス560 から受信することも、5つのレジスタ
を選択してバス562 経由で送ることもできる。最後に、
レジスタ・ファイル出力セレクタ556 は、バス560 、56
2 から受信した10レジスタ・データ値のうち任意の5つ
がレジスタ・ファイル出力バス564 上に同時に出力され
るように実現するのが好ましい。
In accordance with the preferred embodiment of the present invention, each datapath register file 550 is capable of performing two register operations simultaneously. Thus, two full register width data values can be written to temporary buffer 552 via input bus 558. Internally, the temporary buffer 552
Is arranged in a multiplexer array, so that input data can be sent to any two registers in the temporary buffer 552 at the same time. Similarly, any five registers of temporary buffer 552 can be selected by the internal multiplexer to output data on bus 560. Since the register file array 564 also has an input / output multiplexer, two registers can be selected to receive their data simultaneously from the bus 560, or five registers can be selected via the bus 562. You can also send it. Finally,
The register file output selector 556 is connected to buses 560 and 56
Preferably, any five of the ten register data values received from 2 are output simultaneously on register file output bus 564.

【0112】一時バッファ内のレジスタ・セットは図6
(b)にその概要が示されている。レジスタ・セット55
2'は8このシングル・ワード(32ビット) レジスタI0R
D、I1RD...I7RD から構成されている。レジスタ・セッ
ト552'は4個のダブル・ワード・レジスタI0RD、I0RD+1
(I0RD4) 、I1RD、I1RD+1(ISRD)...I3RD 、I3RD+1(I7RD)
のセットとして使用することも可能である。
The register set in the temporary buffer is shown in FIG.
(B) shows the outline. Register set 55
2 'is 8 single word (32 bits) register I0R
D, I1RD ... I7RD. Register set 552 'consists of four double word registers I0RD, I0RD + 1
(I0RD4), I1RD, I1RD + 1 (ISRD) ... I3RD, I3RD + 1 (I7RD)
Can also be used as a set.

【0113】本発明の好適実施例によれば、レジスタ・
ファイル配列564 内の各レジスタを重複して設ける代わ
りに、一時バッファ・レジスタ・セット552 内のレジス
タは2個のIFIFO マスタ・レジスタ216 、224 内のそれ
ぞれの命令の相対ロケーションに基づいて、レジスタ改
名ユニット496 によって参照される。本アーキテクチャ
100 で実現される各命令は、最高2つまでのレジスタま
たは1つのダブル・ワード・レジスタを出力として参照
して、命令の実行によって生成されたデータの宛先とす
ることができる。代表例として、命令は1つの出力レジ
スタだけを参照する。従って、その位置を図6(c)に
示しているように、8個の保留中命令のうち1つの出力
レジスタを参照する命令2(I2) の場合は、データ宛先
レジスタI2RDが選択されて、命令の実行によって生成さ
れたデータを受け入れる。命令I2によって生成されたデ
ータが後続の命令、例えば、I5によって使用される場合
は、I2RDレジスタにストアされたデータはバス560 を経
由して転送され、結果のデータは一時バッファ552 に送
り返されて、I5RDで示したレジスタにストアされる。特
に、命令I5は命令I2によって決まるので、命令I5は、I2
からの結果データが得られるまでは実行することができ
ない。しかし、理解されるように、命令I5は、必要とす
る入力データを一時バッファ552'の命令I2のデータ・ロ
ケーションから得れば、命令I2の退避前に実行すること
が可能である。
According to a preferred embodiment of the present invention, the register
Instead of duplicating each register in the file array 564, registers in the temporary buffer register set 552 are renamed based on the relative location of each instruction in the two IFIFO master registers 216, 224. Referenced by unit 496. Book architecture
Each instruction implemented at 100 can refer to up to two registers or one double word register as an output to destination data generated by execution of the instruction. Typically, the instruction references only one output register. Therefore, as shown in FIG. 6C, in the case of the instruction 2 (I 2 ) which refers to one output register among the eight pending instructions, the data destination register I2RD is selected. Accept the data generated by the execution of the instruction. Instruction data generated by the instruction I 2 is followed, for example, when used by the I 5, data stored in I2RD register is transferred via the bus 560, the resulting data is sent back to the temporary buffer 552 And stored in the register indicated by I5RD. In particular, since instruction I 5 is determined by the instruction I 2, instruction I 5 is, I 2
It cannot be executed until the result data from is obtained. However, as will be appreciated, the instruction I 5 is to lump the input data required from the data location of the instruction I 2 of the temporary buffer 552 'can be performed before saving instruction I 2 .

【0114】最後に、命令I2が退避されると、レジスタ
I2RDからのデータは、退避個所の命令の論理位置から判
断されて、レジスタ・ファイル配列564 ないのレジスタ
・ロケーションに書かれる。すなわち、退避制御ユニッ
ト560 は、制御ライン510 経由でEデコード・ユニット
490 から与えれたレジスタ参照フィールド・データから
レジスタ・ファイル配列内の宛先レジスタのアドレスを
判断する。命令I0-3が退避されると、I4RD-I7RD に入っ
ている値は、IFIFO ユニット264 のシフトと同時にシフ
トされて、I0RD-I3RD に移される。
[0114] Finally, the instruction I 2 is retracted, the register
The data from the I2RD is written to the register location in the register file array 564, judging from the logical position of the instruction at the save location. That is, the evacuation control unit 560 is connected to the E decode unit via the control line 510.
Determine the address of the destination register in the register file array from the register reference field data provided from 490. When the instruction I 0-3 is retracted, the values contained in I4RD-I7RD is shifted concurrently with the shift of the IFIFO unit 264 is transferred to I0RD-I3RD.

【0115】命令I2からダブル・ワード結果値が得られ
る場合は、さらに複雑になる。本発明の好適実施例によ
れば、ロケーションI2RDとI6RDの組合せが、命令I2が退
避されるか、さもなければキャンセルまで、その命令か
ら得た結果データをストアしておくために使用される。
好適実施例では、命令I4+7 の実行は、命令I0-3のいず
れかによるダブル・ワード出力の参照がレジスタ改名ユ
ニット496 によって検出された場合には、保留される。
これにより、一時バッファ552'全体をダブル・ワード・
レジスタのシングル・ランクとして使用することが可能
になる。命令I0 -3が退避されると、一時バッファ552'は
シングル・ワード・レジスタの2ランクとして再び使用
することができる。さらに、いずれかの命令I4+7 の実
行は、ダブル・ワード出力レジスタが必要な場合には、
命令が対応するI0-3にシフトされるまで保留される。
[0115] If a double word result value is obtained from the instruction I 2 is further complicated. According to a preferred embodiment of the present invention, a combination of location I2RD and I6RD is either the instruction I 2 is retracted, or otherwise until canceled, is used to keep stores the result data from the instruction .
In the preferred embodiment, execution of instruction I4 + 7 is suspended if a reference to the double word output by any of instructions I0-3 is detected by register rename unit 496.
This allows the entire temporary buffer 552 'to be double-word
It can be used as a single rank of registers. When instructions I 0 -3 are saved, the temporary buffer 552 'can be used again as two ranks of a single word register. In addition, the execution of any instruction I 4 + 7 will require a double word output register if
Hold until the instruction is shifted to the corresponding I 0-3 .

【0116】レジスタ・ファイル配列564 の論理的編成
は図7(a)〜図7(b)に示されている。本発明の好
適実施例によれば、整数データ経路用のレジスタ・ファ
イル配列564 は40個の32ビット幅レジスタから構成され
ている。このレジスタ・セットはレジスタ・セット"A"
を構成し、ベース・レジスタ・セットre[0..23]565、汎
用レジスタre[24..31]566 からなるトップ・セット、お
よび8個の汎用トラップ・レジスタre[24..31]からなる
シャドウ・レジスタ・セットとして編成されている。通
常のオペレーションでは、汎用レジスタre[0..31]565、
566 は整数データ経路用のレジスタ・ファイル配列のア
クティブ"A" レジスタ・セットを構成している。
The logical organization of the register file array 564 is shown in FIGS. 7 (a) and 7 (b). According to a preferred embodiment of the present invention, the register file array 564 for the integer data path is comprised of 40 32-bit wide registers. This register set is register set "A"
From the top set consisting of the base register set re [0..23] 565, general-purpose register re [24..31] 566, and eight general-purpose trap registers re [24..31]. Organized as a shadow register set. In normal operation, general-purpose register re [0..31] 565,
566 comprises the active "A" register set of the register file array for the integer data path.

【0117】図7(b)に示すように、トラップ・レジ
スタ re[24..31]567をスワップしたアクティブ・レジス
タ・セット"A" に移しておけば、レジスタre[0..23] 56
5 のアクティブ・ベース・セットを一緒にアクセスする
ことが可能である。"A" レジスタ・セットのこの構成
は、割込みの受信が確認されるか、例外トラップ処理ル
ーチンが実行されると、選択される。レジスタ・セッ
ト"A" のこの状態は、割込み許可命令の実行またはトラ
ップからのリターン命令に実行によって図7(a)に示
す状態に明示によって戻るまで維持される。
As shown in FIG. 7B, if the trap register re [24..31] 567 is moved to the swapped active register set "A", the register re [0..23] 56
It is possible to access 5 active base sets together. This configuration of the "A" register set is selected upon receipt of an interrupt or execution of an exception trap handling routine. This state of register set "A" is maintained until it explicitly returns to the state shown in FIG. 7 (a) by executing an interrupt enable instruction or executing a return instruction from a trap.

【0118】アーキテクチャ100 によって実現された本
発明の好適実施例では、浮動小数点データ経路は図8に
その概要を示すように拡張精度レジスタ・ファイル配列
572を使用する。レジスタ・ファイル配列572 は、各々
が64ビット幅の32個のレジスタrf[0..31]5から構成され
ている。浮動小数点レジスタ・ファイル572 は整数レジ
スタrb[0..31]5の"B" セットとして論理的に参照するこ
との可能である。アーキテクチャ100 では、この"B" セ
ットのレジスタは浮動小数点レジスタrf[0..31] の各々
の下位32ビットに相当している。
In the preferred embodiment of the present invention implemented by architecture 100, the floating point data path is an extended precision register file array as outlined in FIG.
Use 572. The register file array 572 includes 32 registers rf [0..31] 5 each having a 64-bit width. The floating-point register file 572 can be logically referred to as the "B" set of the integer register rb [0..31] 5. In architecture 100, this "B" set of registers corresponds to the lower 32 bits of each of the floating point registers rf [0..31].

【0119】第3のデータ経路を表すものとして、ブー
ル演算子レジスタ・セット574 が図9に示すように設け
られている。これは、ブール演算の論理結果をストアす
る。この"C" レジスタ・セット574 は32個の1ビット・
レジスタrc[0..31] から構成されている。ブール・レジ
スタ・セット574 のオペレーションは、ブール演算の結
果をブール・レジスタ・セット574 の任意の命令選択レ
ジスタへ送ることができる点でユニークである。これ
は、等しい、等しくない、より大、その他単純なブール
状況値などの条件を表す1ビット・フラグをストアする
シングル・プロセッサ状況ワード・レジスタを使用する
のと対照的である。
To represent the third data path, a Boolean operator register set 574 is provided as shown in FIG. It stores the logical result of a Boolean operation. This "C" register set 574 consists of 32 1-bit
It consists of registers rc [0..31]. The operation of Boolean register set 574 is unique in that the result of the Boolean operation can be sent to any instruction select register of Boolean register set 574. This is in contrast to using a single processor status word register that stores one bit flags that represent conditions such as equal, unequal, greater, and other simple Boolean status values.

【0120】浮動小数点レジスタ・セット572 とブール
・レジスタ・セット574 は、双方共、図6(b)に示す
整数一時バッファ552 と同じアーキテクチャの一時バッ
ファによって補数がとられる。基本的違いは、一時バッ
ファ・レジスタの幅が補数をとるレジスタ・ファイル配
列572 、574 の幅と同じなるように定義されていること
である。好適実施例では、幅はそれぞれ64ビットと1ビ
ットになっている。
Both the floating point register set 572 and the Boolean register set 574 are complemented by a temporary buffer of the same architecture as the integer temporary buffer 552 shown in FIG. The basic difference is that the width of the temporary buffer register is defined to be the same as the width of the complemented register file arrays 572,574. In the preferred embodiment, the widths are 64 bits and 1 bit, respectively.

【0121】多数の追加の特殊レジスタが、レジスタ配
列472 に少なくとも論理的に存在している。図7(c)
に示すように、レジスタ配列472 に物理的に存在するレ
ジスタはカーネル・スタック・ポインタ(Kernel stack
pointer)568 、プロセッサ状態レジスタ(PSR)569、旧プ
ロセッサ状態レジスタ(PPSR)570および8個の一時プロ
セッサ状態レジスタの配列(tPSR[0..7])571 からなって
いる。残りの特殊レジスタはアーキテクチャ100 の各所
に分散している。特殊アドレスおよびデータ・バス354
はデータを選択して、特殊レジスタおよび"A" と"B" レ
ジスタ・セット間で転送するためのものである。特殊レ
ジスタ移動命令は"A" または"B" レジスタ・セットから
レジスタを選択し、転送の方向を選択し、特殊レジスタ
のアドレスIDを指定するためのものである。
A number of additional special registers are at least logically present in the register array 472. FIG. 7 (c)
As shown in the figure, the registers physically present in the register array 472 are the kernel stack pointer (Kernel stack pointer).
pointer) 568, a processor status register (PSR) 569, an old processor status register (PPSR) 570, and an array (tPSR [0..7]) 571 of eight temporary processor status registers. The remaining special registers are distributed throughout the architecture 100. Special address and data bus 354
Is for selecting data and transferring it between special registers and the "A" and "B" register sets. The special register move instruction selects a register from the "A" or "B" register set, selects the transfer direction, and specifies the address ID of the special register.

【0122】カーネル・スタック・ポインタ・レジスタ
とプロセッサ状態レジスタは、他の特殊レジスタとは異
なっている。カーネル・スタック・ポインタは、カーネ
ル状態にあるとき、標準のレジスタ間移動命令を実行す
ることによってアクセス可能である。一時プロセッサ状
態レジスタは直接にアクセスすることはできない。その
代わりに、そのレジスタ配列はプロセッサ状態レジスタ
の値を伝播して、順序外で実行される命令で使用できる
ようにする継承メカニズム(inheritance mechanism)を
現実するために使用される。初期伝播値はプロセッサ状
態レジスタの値である。つまり、最後に退避された命令
から得た値である。この初期値は一時プロセッサ状態レ
ジスタから全方向に伝播され、順序外で実行される命令
が対応する位置にある一時プロセッサ状態レジスタ内の
値をアクセスできるようにする。命令が依存し、変更で
きる条件コード・ビットは、その命令がもつ特性によっ
て定義される。命令が依存関係、レジスタはたは条件コ
ードによって制約されないことが、レジスタ依存関係チ
ェッカ・ユニット494 とキャリー依存関係チェッカ492
によって判断された場合は、命令は順序外で実行するこ
とができる。プロセッサ状態レジスタの条件コード・ビ
ットの変更は論理的に対応する一時プロセッサ状態レジ
スタに指示される。具体的には、変更の可能性があるビ
ットだけが一時プロセッサ状態レジスタに入っている値
に適用され、上位のすべての一時プロセッサ状態レジス
タに伝播される。その結果、順序外で実行されるすべて
の命令は介在するPSR 変更命令によって適切に変更され
たプロセッサ状態レジスタ値から実行される。命令が退
避されたときは、対応する一時プロセッサ状態レジスタ
値だけがPSR レジスタ569 に転送される。その他の特殊
レジスタは表IIに説明されている。
The kernel stack pointer register and the processor status register are different from other special registers. The kernel stack pointer, when in kernel state, is accessible by executing standard inter-register move instructions. The temporary processor status register cannot be accessed directly. Instead, the register array is used to implement an inheritance mechanism that propagates the value of the processor state register and makes it available to instructions executed out of order. The initial propagation value is the value of the processor status register. That is, the value obtained from the last saved instruction. This initial value is propagated omni-directionally from the temporary processor status register to allow instructions executed out of order to access the value in the temporary processor status register at the corresponding location. The condition code bits on which an instruction depends and which can be changed are defined by the characteristics of the instruction. Register dependency checker unit 494 and carry dependency checker 492 indicate that the instruction is not constrained by dependencies, registers or condition codes.
The instructions can be executed out of order if determined by. Changes in the condition code bits of the processor status register are indicated in the logically corresponding temporary processor status register. In particular, only bits that may change are applied to the value in the temporary processor status register and propagated to all higher order temporary processor status registers. As a result, all instructions executed out of order are executed from the processor state register values appropriately modified by the intervening PSR modification instructions. When an instruction is evacuated, only the corresponding temporary processor state register value is transferred to PSR register 569. Other special registers are described in Table II.

【0123】 表II ──────────────────────────────────── 特殊レジスタ 特殊移動レジスタ R/W 説明 PC R プログラム・カウンタ:一般的にはPCは現在実 行中のプログラム命令ストリームの次のアドレス を格納している。 IF PC R/W IFUプログラム・カウンタ: IF PCは正確な次の実行アドレスを格納して いる。 PFnPC R プリフェッチ・プログラム・カウンタ:MBUF 、TBUFおよびEBUF PFnPCはそれぞ れのプリフェッチ命令ストリームの次のプリフェ ッチ命令アドレスを格納している。 uPC R/W マイクロ・プログラム・カウンタ:プロシージャ 命令のあとに続く命令のアドレスを格納している 。これはプロシージャ命令がリターンしたとき最 初に実行される命令のアドレスである。 xPC R/W 割り込み/例外プログラム・カウンタ:割り込み または例外(または両方)のリターン・アドレス を格納している。リターン・アドレスはトラップ 発生時のIF PCアドレスである。 TBR W トラップ・ベース・アドレス:トラップ処理ルー チンへディスパッチするとき使用されるベクトル ・テーブルのベース・アドレス。各エントリは1 ワード長である。割り込みロジック・ユニット3 63から与えられるトラップ番号は、このアドレ スが指しているテーブルまでのインデックスとし て使用される。 FTB W 高速トラップ・ベース・レジスタ:即時トラップ 処理ルーチン・テーブルのベース・レジスタ。各 テーブル・エントリは32ワードであり、トラッ プ処理ルーチンを直接に実行するために使用され る。割り込みロジック・ユニット363から与え られるトラップ番号を32倍したものは、このア ドレスが指しているテーブルまでのオフセットと して使用される。 PBR W プロシージャ・ベース・レジスタ:プロシージャ ・ルーチンへディスパッチするとき使用されるベ クトル・テーブルのベース・アドレス。各エント リは1ワード長であり、4ワード境界に位置合わ せされている。プロシージャ命令フィールドとし て与えられるプロシージャ番号はこのアドレスが 指しているテーブルまでのインデックスとして使 用される。 PSR R/W プロセッサ状態レジスタ:プロセッサ状況ワード を格納している。状況データ・ビットは、キャリ ー、オーバーフロー、ゼロ、負、プロセッサ・モ ード、現割り込みレベル、実行中のプロシージャ ・ルーチン、0による除算、オーバフロー例外、 ハードウェア機能割り込み可能、プロシージャ割 り込み可能、割り込み可能などのビットがある。 PPSR R/W 旧プロセッサ状態レジスタ:命令が正しく完了す るか、割り込みまたはトラップが引き起こされる と、PSRからロードされる。 CSR R/W 状態比較(ブール)レジスタ:シングル・ワード としてアクセス可能なブール・レジスタ・セット 。 PCSR R/W 旧状態比較レジスタ:命令が正しく完了するか、 割り込みまたはトラップが引き起こされると、C SRからロードされる。 2)整数データ経路の詳細 本発明の好適実施例にしたがって構成されるIEU 104 の
整数データ経路は図10に示されている。説明の便宣
上、整数データ経路580 と結ばれる多数の制御経路は図
には示していない。これらの接続関係は図5を参照して
説明したとおりである。
Table II { Special Register Special Move Register R / W Description PCR Program Counter: Generally, the PC stores the next address of the currently executing program instruction stream. IF PC R / W IFU program counter: IF The PC stores the exact next execution address. PFnPC R Prefetch Program Counter: MBUF, TBUF and EBUF PFnPC stores the next prefetch instruction address of each prefetch instruction stream. uPC R / W Micro program counter: Stores the address of the instruction following the procedure instruction. This is the address of the first instruction to be executed when the procedure instruction returns. xPC R / W Interrupt / Exception Program Counter: Stores the interrupt or exception (or both) return addresses. The return address is the IF at the time of the trap PC address. TBR W Trap Base Address: Base address of the vector table used when dispatching to trap processing routines. Each entry is one word long. The trap number given from the interrupt logic unit 363 is used as an index to the table pointed to by this address. FTB W Fast Trap Base Register: Base register for immediate trap handling routine table. Each table entry is 32 words and is used to execute trap processing routines directly. The value obtained by multiplying the trap number given by the interrupt logic unit 363 by 32 is used as an offset to the table pointed to by this address. PBR W Procedure Base Register: Base address of the vector table used when dispatching to procedure routines. Each entry is one word long and is aligned on a four word boundary. The procedure number given as the procedure instruction field is used as an index to the table pointed to by this address. PSR R / W Processor Status Register: Stores the processor status word. Status data bits include carry, overflow, zero, negative, processor mode, current interrupt level, running procedure routine, divide by zero, overflow exception, hardware function interrupt enabled, and procedure interrupt enabled. There are bits that can be interrupted. PPSR R / W Old Processor Status Register: Loaded from PSR when the instruction completes successfully or an interrupt or trap is triggered. CSR R / W State Compare (Boolean) Register: A set of Boolean registers accessible as a single word. PCSR R / W Old State Compare Register: Loaded from the CSR when the instruction completes successfully or an interrupt or trap is triggered. 2) Details of Integer Data Path The integer data path of IEU 104 configured according to the preferred embodiment of the present invention is shown in FIG. For purposes of explanation, a number of control paths connected to integer data path 580 are not shown. These connection relationships are as described with reference to FIG.

【0124】データ経路580 の入力データは位置合わせ
ユニット582 、584 および整数ロード/ストア・ユニッ
ト586 から得られる。整数即値(inteer immediate)デー
タ値は、最初は命令埋込み(embedded)データ・フィール
ドとして与えられ、バス588経由でオペランド・ユニッ
ト470 から得られる。位置合わせユニット582 は整数デ
ータ値を隔離し、その結果値を出力バス590 を経由して
マルチプレクサ592 へ送られる。マルチプレクサ592 へ
の別の入力は特殊レジスタ・アドレスとデータ・バス35
4 である。
The input data on the data path 580 is obtained from the alignment units 582, 584 and the integer load / store unit 586. The integer immediate data value is initially provided as an instruction embedded data field and is obtained from the operand unit 470 via bus 588. Alignment unit 582 isolates the integer data value and sends the resulting value to multiplexer 592 via output bus 590. Another input to multiplexer 592 is a special register address and data bus 35
4

【0125】命令ストリームから得られる即値(immedia
te) オペランドも、データ・バス594 経由でオペランド
・ユニット570 から得られる。これらの値は、出力バス
596上に送出される前に、位置合わせユニット584 によ
って再度右寄せされる。
The immediate value (immedia) obtained from the instruction stream
te) Operands are also available from operand unit 570 via data bus 594. These values are
Before being sent out on 596, it is right justified again by the alignment unit 584.

【0126】整数ロード/ストア・ユニット586 は外部
データ・バス598 を通してCCU 106と双方向でやりとり
する。IEU 104 へのインバウンド・データは整数ロード
/ストア・ユニット586 から入力データ・バス600 を経
由して入力ラッチ602 へ転送される。マルチプレクサ59
2 とラッチ602 からの出力データは、マルチプレクサ60
8 のマルチプレクサ入力バス604 、606 上に送出され
る。機能ユニット出力バス482'からのデータもマルチプ
レクサ608 に送られる。このマルチプレクサ608はアー
キテクチャ100 の好適実施例では、データを同時に出力
マルチプレクサ・バス610 へ送る2つの経路を備えてい
る。されに、マルチプレクサ608 を通るデータ転送は、
システム・クロックの各半サイクル以内に完了すること
ができる。本アーキテクチャ100 で実現される大部分の
命令は、1つの宛先レジスタを利用するので、最大4つ
までの命令によって各システム・クロック・サイクルの
間データを一時バッファ612 へ送ることができる。
Integer load / store unit 586 interacts with CCU 106 via external data bus 598 in both directions. Inbound data to IEU 104 is transferred from integer load / store unit 586 to input latch 602 via input data bus 600. Multiplexer 59
2 and the output data from latch 602
8 multiplexer input buses 604,606. Data from the functional unit output bus 482 'is also sent to the multiplexer 608. The multiplexer 608, in the preferred embodiment of the architecture 100, has two paths to send data to the output multiplexer bus 610 simultaneously. In addition, the data transfer through multiplexer 608 is
Can be completed within each half cycle of the system clock. Most instructions implemented in the present architecture 100 utilize a single destination register so that up to four instructions can send data to the temporary buffer 612 during each system clock cycle.

【0127】一時バッファ612 からのデータは一時レジ
スタ出力バス616 を経由して整数レジスタ・ファイル配
列614 へ、あるいは代替一時バッファ・レジスタ・バス
618を経由して出力マルチプレクサ620 ヘ転送すること
ができる。整数レジスタ配列出力バス622 は整数レジス
タ・データをマルチプレクサ620 へ転送することができ
る。一時バッファ612 と整数レジスタ・ファイル配列61
4 に接続された出力バスは、それぞれ5個のレジスタ値
を同時に出力することを可能にする。つまり、合計5個
までのソース・レジスタを参照する2つの命令を同時に
出すことができる。一時バッファ612 、レジスタ・ファ
イル配列614 およびマルチプレクサ620は、アウトバウ
ンド・レジスタ・データの転送を半システム・クロック
・サイクルごとに行うことを可能にする。従って、最高
4個までの整数および浮動少数点命令を各クロック・サ
イクルの間に出すことができる。
The data from the temporary buffer 612 is sent to the integer register file array 614 via the temporary register output bus 616, or an alternative temporary buffer register bus.
It can be forwarded to output multiplexer 620 via 618. Integer register array output bus 622 can transfer integer register data to multiplexer 620. Temporary buffer 612 and integer register file array 61
The output bus connected to 4 allows each of the five register values to be output simultaneously. That is, two instructions referring to a total of up to five source registers can be issued simultaneously. Temporary buffer 612, register file array 614, and multiplexer 620 allow for the transfer of outbound register data to occur every half system clock cycle. Thus, up to four integer and floating point instructions can be issued during each clock cycle.

【0128】マルチプレクサ620 はアウトバウンド・レ
ジスタ・データ値をレジスタ・ファイル配列614 から、
あるいは一時バッファ612 から直接に選択する働きをす
る。これにより、以前に順序外で実行された命令に依存
する順序外実行命令をIEU 104 によって実行させること
ができる。これにより、保留状態の命令を順序外で実行
することによってIEU 整数データ経路の実行スループッ
ト能力を最大化すると共に、順序外のデータ結果を、実
行され退避された命令から得たデータ結果から正確に分
離するという2目標を容易に達成することができる。マ
シンの正確な状態を復元する必要のあるような割込みや
他の例外条件が起こると、本発明によれば、一時バッフ
ァ612 に存在するデータ値を簡単にクリアすることがで
きる。従って、レジスタ・ファイル配列614 は、割込み
または他の例外条件が発生する以前に完了し、退避され
た命令の実行によってのみ得られたデータ値を正確に収
めたままになっている。
Multiplexer 620 converts outbound register data values from register file array 614 to
Alternatively, it serves to select directly from the temporary buffer 612. This allows the IEU 104 to execute out-of-order execution instructions that depend on previously executed out-of-order instructions. This maximizes the execution throughput capability of the IEU integer data path by executing pending instructions out of order and accurately reconstructs out-of-order data results from data results from executed and evacuated instructions. The two goals of separation can be easily achieved. When an interrupt or other exceptional condition occurs that requires the correct state of the machine to be restored, the present invention allows the data values present in temporary buffer 612 to be easily cleared. Thus, register file array 614 has completed prior to the occurrence of an interrupt or other exceptional condition, and remains accurately populated with data values obtained only by execution of the saved instruction.

【0129】マルチプレクサ620 の各半システム・サイ
クル・オペレーション時に選択されたレジスタ・データ
値は最高5つまでがマルチプレクサ出力バス624 を経由
して整数バイパス・ユニット626 へ送られる。このバイ
パス・ユニット626 は、基本的に、マルチプレクサが並
列の配列からなり、その入力いずれかに現れたデータを
その出力のいずれかへ送ることができる。バイパス・ユ
ニット626 の入力は、マルチプレクサ592 から出力バス
604 を経由する特殊レジスタ・アドレス指定データ値ま
たは即値の整数値、バス624 上に送出される最高5つま
でのレジスタ・データ値、整数ロード/ストア・ユニッ
ト586 からダブル整数バス600 を経由するロード・オペ
ランド・データ、その出力バス596 を経由して位置合わ
せユニット584 から得た即値オベランド値、最後に、機
能ユニット出力バス482 からのバイパス・データ経路か
らなっている。このバイパス経路とデータ・バス482 は
システム・クロック・サイクルごとに4個のレジスタ値
を同時に転送することができる。
During each half-system cycle operation of multiplexer 620, up to five selected register data values are sent to integer bypass unit 626 via multiplexer output bus 624. This bypass unit 626 basically consists of a parallel arrangement of multiplexers, which can send data appearing at any of its inputs to any of its outputs. The input of bypass unit 626 is connected to the output bus from multiplexer 592.
Special register addressing data value or immediate integer value via 604, up to 5 register data values sent out on bus 624, load from integer load / store unit 586 via double integer bus 600 It consists of operand data, its immediate Oberland value obtained from the alignment unit 584 via its output bus 596, and finally the bypass data path from the functional unit output bus 482. This bypass path and data bus 482 can simultaneously transfer four register values every system clock cycle.

【0130】データはバイパス・ユニット626 から浮動
小数点データ・バスに接続された整数バイパス・バス62
8 上に出力されて、最高5つまでのレジスタ・データ値
を同時に転送する機能をもつ2つのオペランド・データ
・バスと、整数ロード/ストア・ユニット586 へデータ
を送るために使用されるストア・データ・バス632 へ送
られる。
Data is passed from the bypass unit 626 to the integer bypass bus 62 connected to the floating point data bus.
8 Two operand data buses output on and capable of simultaneously transferring up to five register data values and a store data bus used to send data to the integer load / store unit 586. Sent to data bus 632.

【0131】機能ユニット分配バス480 はルータ・ユニ
ット634 のオペレーションを通して実現されている。ま
た、ルータ・ユニット634 はその入力から受信された5
個のレジスタ値を整数データ経路に設けられた機能ユニ
ットへ送ることを可能にする並列のマルチプレクサ配列
によって実現される。具体的には、ルータ・ユニット63
4 はバイパス・ユニット626 からバス630 を経由して送
られてきた5個のレジスタ・データ値、アドレス・バス
352 を経由して送られてきた現IF PCアドレス値、PC制
御ユニット362 によって判断され、ライン378'上に送出
された制御フロー・オフセット値を受信する。ルータ・
ユニット634 は、浮動小数点データ経路内に設けられた
バイパス・ユニットからとり出されたオペランド・デー
タ値をデータ・バス636 を経由して受信することもでき
る(オプション)。
The functional unit distribution bus 480 is implemented through the operation of the router unit 634. Router unit 634 also receives 5
This is realized by a parallel multiplexer arrangement which allows the sending of register values to functional units provided in the integer data path. Specifically, the router unit 63
4 is the five register data values sent from the bypass unit 626 via the bus 630, and the address bus
Current IF sent via 352 A PC address value, determined by the PC control unit 362, receives the control flow offset value sent out on line 378 '. Router
Unit 634 may also receive, via data bus 636, operand data values retrieved from a bypass unit provided in the floating point data path (optional).

【0132】ルータ・ユニット634 によって受信された
レジスタ・データ値は、特殊レジスタ・アドレスおよび
データ・バス354 上を転送されて、機能ユニット640 、
642、644 へ送られる。具体的には、ルータ・ユニット6
34 は最高3つまでのレジスタ・オペランド値をルータ
出力バス646 、648 、640 を経由して機能ユニット640
、642 、644 の各々へ送る機能を備えている。本アー
キテクチャ100 の一般的アーキテクチャによれは、最高
2つまでの命令を同時に機能ユニット640 、642、644
に対して出すことが可能である。本発明の好適実施例に
よれば、3つの専用整数機能ユニットに、それぞれプロ
グラマブル・シフト機能と2つの算術演算ロジック・ユ
ニット機能をもたせることができる。
The register data values received by the router unit 634 are transferred over the special register address and data bus 354 to the functional units 640,
Sent to 642, 644. Specifically, router unit 6
34 transfers up to three register operand values via the router output buses 646, 648, 640 to the functional unit 640.
, 642, and 644. According to the general architecture of the present architecture 100, up to two instructions may be simultaneously executed by the functional units 640, 642, 644.
Is possible. According to a preferred embodiment of the present invention, the three dedicated integer functional units can each have a programmable shift function and two arithmetic logic unit functions.

【0133】ALU0機能ユニット644 、ALU1機能ユニット
642 およびシフタ機能ユニット640はそれぞれの出力レ
ジスタ・出力を機能ユニット・バス482'上に送出する。
ALU0とシフタ機能ユニット644 、640 から得た出力デー
タも浮動小数点データ経路に接続された共用整数機能ユ
ニット・バス650 上に送出される。類似の浮動小数点機
能ユニット出力値データ・バス652 が浮動小数点データ
経路から機能ユニット出力バス482'へ設けられている。
ALU0 function unit 644, ALU1 function unit
642 and shifter functional unit 640 send their respective output registers / outputs onto functional unit bus 482 '.
The output data from ALU0 and shifter function units 644, 640 is also sent on a shared integer function unit bus 650 connected to the floating point data path. A similar floating point functional unit output value data bus 652 is provided from the floating point data path to the functional unit output bus 482 '.

【0134】ALU0機能ユニット644 はIFU 102 のプリフ
ェッチ操作と整数ロード/ストア・ユニット586 のデー
タ操作の両方をサポートするために仮想アドレス値を生
成する場合にも使用される。ALU0機能ユニット644 によ
って計算された仮想アドレス値はIFU 102 のターゲット
・アドレス・バス346 とCCU 106 の両方に接続された出
力バス654 上に送出され、実行ユニットの物理アドレス
(EX PADDR)が得られる。ラッチ646 は、ALU0機能ユニッ
ト644 によって生成されたアドレスの仮想化部分をスト
アするためのものである。アドレスのこの仮想化部分は
出力バス658 上に送出されて、VMU 108 へ送られる。 3)浮動小数点データ経路の詳細 次に、図11は浮動小数点データ経路を示したものであ
る。初期データは、この場合も、即値整数オペランド・
バス588 、即値オペランド・バス594 および特殊レジス
タ・アドレス・データ・バス354 を含む、複数のソース
から受信される。外部データの最終的ソースは外部デー
タ・バス598 を通してCCU 106 に接続された浮動小数点
ロード/ストア・ユニット622 である。
ALU0 functional unit 644 is also used to generate virtual address values to support both IFU 102 prefetch operations and integer load / store unit 586 data operations. The virtual address value calculated by the ALU0 functional unit 644 is sent out on an output bus 654 connected to both the target address bus 346 of the IFU 102 and the CCU 106, and the physical address of the execution unit
(EX PADDR) is obtained. Latch 646 is for storing the virtualized portion of the address generated by ALU0 functional unit 644. This virtualized portion of the address is sent out on output bus 658 and sent to VMU 108. 3) Details of the floating-point data path Next, FIG. 11 shows the floating-point data path. The initial data is again the immediate integer operand
Received from multiple sources, including bus 588, immediate operand bus 594, and special register address data bus 354. The ultimate source of external data is a floating point load / store unit 622 connected to CCU 106 via external data bus 598.

【0135】即値整数オペランドは、位置合わせ出力デ
ータ・バス668 を経由してマルチプレクサ666 に渡す前
に整数データ・フィールドを右寄せする働きをする位置
合わせユニット664 によって受信される。マルチプレク
サ666 は特殊レジスタ・アドレス・データ・バス354 も
受信する。即値オペランドは第2の位置合わせユニット
670 へ送られ、右寄せされてから出力バス672 上に送出
される。浮動小数点ロード/ストア・ユニット662 から
のインバウンド・データ(inbound data)は、ロード・デ
ータ・バス676 からラッチ674 によって受信される。マ
ルチプレクサ666 、ラッチ674 および機能ユニット・デ
ータ・リターン・バス482"からのデータはマルチプレク
サ678 の入力から受信される。マルチプレクサ678 は選
択可能なデータ経路を備え、2つのレジスタ・データ値
がシステム・クロックの半サイクルごとに、マルチプレ
クサ出力バス682 を経由して一時バッファ680 に書き込
まれることを可能にする。一時バッファ680 は図6
(b)に示す一時バッファ552'と論理的に同じレジスタ
・セットを備えている。一時バッファ680 はさらに、最
高5個までのレジスタ・データ値を一時バッファ680 か
ら読み取って、データ・バス686 を経由して浮動小数点
レジスタ・ファイル配列684 と、出力データ・バス690
を経由して出力マルチプレクサ688 へ送ることができ
る。マルチプレクサ688 は、データ・バス692 を経由し
て、浮動小数点ファイル配列684 から最高5個までのレ
ジスタ・データ値も同時に受信する。マルチプレクサ68
8 は最高5個までのレジスタ・データ値を選択して、デ
ータ・バス696 を経由してバイパス・ユニット694 へ同
時に転送する働きをする。バイパス・ユニット694 は、
データ・バス672 、マルチプレクサ666 からの出力デー
タ・バス698 、ロード・データ・バス676 および機能ユ
ニット・データ・リターン・バス482"のバイパス延長部
分を経由して、位置合わせユニット670 から与えられた
即値オペランド値も受信する。バイパス・ユニット694
は最高5個までのレジスタ・オペランド・データ値を同
時に選択して、バイパス・ユニット出力バス700 、浮動
小数点ロード/ストア・ユニット662 に接続されたスト
ア・データ・バス702 、および整数データ経路580 のル
ータ・ユニット634 に接続された浮動小数点バイパス・
バス636 に出力するように動く。
The immediate integer operand is received by the alignment unit 664, which serves to right justify the integer data field before passing to the multiplexer 666 via the alignment output data bus 668. Multiplexer 666 also receives special register address data bus 354. Immediate operand is the second alignment unit
Sent to 670, right justified and sent out on output bus 672. Inbound data from the floating point load / store unit 662 is received by the latch 674 from the load data bus 676. Data from multiplexer 666, latch 674, and functional unit data return bus 482 "is received from the input of multiplexer 678. Multiplexer 678 has a selectable data path and provides two register data values for the system clock. Every half cycle of the multiplexor buffer 680 via the multiplexer output bus 682.
It has the same register set as the temporary buffer 552 'shown in FIG. Temporary buffer 680 further reads up to five register data values from temporary buffer 680 and provides a floating point register file array 684 via data bus 686 and an output data bus 690.
To the output multiplexer 688. Multiplexer 688 also receives up to five register data values from floating point file array 684 via data bus 692 at the same time. Multiplexer 68
8 serves to select up to five register data values and simultaneously transfer them to the bypass unit 694 via the data bus 696. Bypass unit 694 is
The immediate value provided by the alignment unit 670 via the data bus 672, the output data bus 698 from the multiplexer 666, the load data bus 676 and the bypass extension of the functional unit data return bus 482 ". Operand value is also received.Bypass unit 694
Selects simultaneously up to five register operand data values to provide a bypass unit output bus 700, a store data bus 702 connected to a floating point load / store unit 662, and an integer data path 580. Floating-point bypass connected to router unit 634
Operates to output to bus 636.

【0136】浮動小数点ルータ・ユニット704 は、バイ
パス・ユニット出力バス700 と整数データ経路バイパス
・バス628 とそれぞれの機能ユニット712 、714 、716
に接続された機能ユニット入力バス706 、708 、710 と
の間で同時にデータ経路を選択できる機能を備えてい
る。アーキテクチャ100 の好適実施例による入力バス70
6 、708 、710 の各々は、最高3個までのレジスタ・オ
ペランド・データ値を機能ユニット712 、714 、716 の
各々へ同時に転送することが可能である。これらの機能
ユニット712 、714 、716 の出力バスは機能ユニット・
データ・リターン・バス482"に結合され、データをレジ
スタ・ファイル入力マルチプレクサ678 へ戻すようにな
っている。整数データ経路機能ユニット出力バス650
を、機能ユニット・データ・リターン・バス482"に接続
するために設けることも可能である。本発明のアーキテ
クチャ100 によれば、マルチプレクサ機能ユニット712
とファイルALU 714 の機能ユニット出力バスを浮動小数
点データ経路機能ユニット・バス652 を経由して整数デ
ータ経路500 の機能ユニット・データ・リターン・バス
482'に接続することが可能である。 4)ブール・レジスタ・データ経路の詳細 ブール演算データ経路720 は図12に示されている。こ
のデータ経路720 は基本的に2種類の命令の実行をサポ
ートするために利用される。最初のタイプは、オペラン
ド比較命令であり、この命令では、整数レジスタ・セッ
トと浮動小数点レジスタ・セットから選択された、ある
いは即値オペランドとして与えられた2つのオペランド
が、ALU 機能ユニットの1つで整数と浮動小数点データ
経路を減算することによって比較される。この比較は、
ALU 機能ユニット642 、644 、714 、716 のいずかによ
る減算によって行われ、その結果の符号とゼロ状況ビッ
トは入力セレクタと比較演算子結合ユニット722 へ送ら
れる。このユニット722 は、制御信号を指定した命令を
Eデコード・ユニット490 から受け取ると、ALU 機能ユ
ニット642 、644 、714 、716 の出力を選択し、符号お
よびゼロ・ビットを結合し、ブール比較結果値を抽出す
る。出力バス723 を通して比較演算の結果を入力マルチ
プレクサ726 とバイパス・ユニット742 へ同時に転送す
ることができる。整数および浮動小数点データ経路と同
じように、バイパス・ユニット742 は並列のマルチプレ
クサ配列として実現され、バイパス・ユニット742 の入
力間で複数のデータ経路を選択して、複数の出力と結ぶ
ことができる。バイパス・ユニット742 の他の入力はブ
ール演算結果リターン・データ・バス724 とデータ・バ
ス744 上の2つのブール・オペランドからなっている。
バイパス・ユニット742は、最高2つまでの同時に実行
中のブール命令を表したブール・オペランドを、オペラ
ンド・バス748 を経由してブール演算機能ユニット746
へ転送することができる。また、バイパス・ユニット74
6 は最高2個までのシングル・ビット・ブール・オペラ
ンド・ビット(CF0、CF1)を制御フロー結果制御ライン75
0 、752 を経由して同時に転送することができる。
The floating point router unit 704 includes a bypass unit output bus 700, an integer data path bypass bus 628, and respective functional units 712, 714, 716.
And a function for simultaneously selecting a data path between the function unit input buses 706, 708, and 710 connected to the function unit. Input bus 70 according to the preferred embodiment of architecture 100
6, 708, 710 are each capable of simultaneously transferring up to three register operand data values to each of the functional units 712, 714, 716. The output bus of these functional units 712, 714, 716
Data return bus 482 "is coupled to return data to register file input multiplexer 678. Integer data path functional unit output bus 650.
Can be provided to connect to the functional unit data return bus 482 ". According to the architecture 100 of the present invention, the multiplexer functional unit 712
ALU 714 functional unit output bus via floating point data path functional unit bus 652 via integer data path 500 functional unit data return bus
482 'can be connected. 4) Details of Boolean Register Data Path The Boolean data path 720 is shown in FIG. This data path 720 is basically used to support the execution of two types of instructions. The first type is an operand compare instruction, in which two operands selected from the set of integer registers and the set of floating-point registers or provided as immediate operands are converted to integers in one of the ALU functional units. And by subtracting the floating point data path. This comparison is
The subtraction is performed by one of the ALU functional units 642, 644, 714, 716, and the resulting sign and zero status bits are sent to the input selector and comparison operator combining unit 722. When unit 722 receives an instruction specifying a control signal from E-decode unit 490, it selects the output of ALU functional units 642, 644, 714, 716, combines the sign and zero bits, and sets the Boolean comparison result value. Is extracted. The result of the comparison operation can be simultaneously transferred to input multiplexer 726 and bypass unit 742 via output bus 723. As with the integer and floating point data paths, the bypass unit 742 is implemented as a parallel multiplexer array, and multiple data paths can be selected between the inputs of the bypass unit 742 to connect to multiple outputs. The other inputs of bypass unit 742 comprise a Boolean result return data bus 724 and two Boolean operands on data bus 744.
The bypass unit 742 converts Boolean operands representing up to two concurrently executing Boolean instructions to the Boolean operation unit 746 via the operand bus 748.
Can be transferred to Also, bypass unit 74
6 controls up to two single-bit Boolean operand bits (CF0, CF1).
0 and 752 can be transferred simultaneously.

【0137】ブール演算データ経路の残り部分は、比較
結果バス723 とブール結果バス724上に送出された比較
およびブール演算結果値を、その入力として受信する入
力マルチプレクサ726 を含んでいる。このバス724 は最
高2個までのブール結果ビットを同時にマルチプレクサ
726 へ転送することができる。さらに、最高2個までの
比較結果ビットをバス724 を経由してマルチプレクサ72
6 へ転送することができる。マルチプレクサ726 はマル
チプレクサの入力端に現れた任意の2個の信号ビットを
マルチプレクサの出力端を経由して、システム・クロッ
クの各半サイクル時にブール演算一時バッファ728 へ転
送することができる。一時バッファ728は、2つの重要
な点が異なることを除けば、図6(b)に示した一時バ
ッファ752'と論理的に同じである。第1の相違点は、一
時バッファ728 内の各レジスタ・エントリがシングル・
ビットからなることである。第2の相違点は、8個の保
留中命令スロットの各々に1つのレジスタだけが設けら
れていることである。これは、ブール演算の結果全部が
定義によって1つの結果ビットによって定義されるため
である。
The remainder of the Boolean data path includes an input multiplexer 726 that receives as input its comparison and Boolean result values output on comparison result bus 723 and Boolean result bus 724. This bus 724 muxes up to two Boolean result bits simultaneously.
726. Further, up to two comparison result bits are transferred to the multiplexer 72 via the bus 724.
6 can be forwarded. Multiplexer 726 can transfer any two signal bits appearing at the input of the multiplexer to the Boolean temporary buffer 728 at each half cycle of the system clock via the output of the multiplexer. Temporary buffer 728 is logically the same as temporary buffer 752 'shown in FIG. 6 (b), except for two important differences. The first difference is that each register entry in temporary buffer 728 is a single
Consists of bits. The second difference is that only one register is provided for each of the eight pending instruction slots. This is because the entire result of a Boolean operation is defined by one result bit by definition.

【0138】一時バッファ728 は最高4個までの出力オ
ペランド値を同時に出力する。これにより、各々2つの
ソース・レジスタへのアクセスを必要とする2個のブー
ル命令を同時に実行させることができる。4個のブール
・レジスタ値はシステム・クロックの各半サイクルこと
にオペランド・バス736 上に送出し、マルチプレクサ73
8 へあるいはブール・オペランド・データ・バス734 を
経由してブール・レジスタ・ファイル配列732 へ転送す
ることができる。ブール・レジスタ・ファイル配列732
は、図9に論理的に示すように、1個の32ビット幅デー
タ・レジスタであり、任意に組み合わせた最高4個まで
のシングル・ビット・ロケーションを、一時バッファ72
8 からのデータで修正し、システム・クロックの各半サ
イクルごとにブール・レジスタ・ファイル配列732 から
読み取って出力バス740 上に送出することができる。マ
ルチプレクサ738 はバス736 、740 経由でその出力端か
ら受信したブール・オペランドの任意のペアを、オペラ
ンド出力バス744 上に送出してバイパス・ユニット742
へ転送する。
The temporary buffer 728 simultaneously outputs up to four output operand values. This allows two Boolean instructions, each requiring access to two source registers, to be executed simultaneously. The four Boolean register values are sent out on operand bus 736 at each half cycle of the system clock and multiplexer 73
8 or via the Boolean operand data bus 734 to the Boolean register file array 732. Boolean register file array 732
Is a single 32-bit wide data register, as logically shown in FIG. 9, and stores up to four single-bit locations in any combination.
8 and can be read from the Boolean register file array 732 and sent out on the output bus 740 every half cycle of the system clock. Multiplexer 738 sends any pair of Boolean operands received from its outputs on buses 736 and 740 onto operand output bus 744 for bypass unit 742.
Transfer to

【0139】ブール演算機能ユニット746 は2個のソー
ス値についてブール演算を幅広く実行する機能を備えて
いる。比較命令の場合には、ソース値は整数および浮動
小数点レジスタ・セットのいずれかから得たペアのオペ
ランドとIEU 104 へ送られる任意の即値オペランドであ
り、ブール命令の場合は、ブール・レジスタ・オペラン
ドの任意の2つである。表III と表IVは、本発明のアー
キテクチャ100 の好適実施例における論理比較演算を示
すものである。表Vは本発明のアーキテクチャ100 の好
適実施例における直接ブール演算を示すものである。表
III-Vに示されている命令条件コードと機能コードは対
応する命令のセグメントを表している。また、命令はペ
アのソース・オペランド・レジスタと、対応するブール
演算結果をストアするための宛先ブール・レジスタを指
定する。
The Boolean operation unit 746 has a function of performing a Boolean operation on two source values in a wide range. For compare instructions, the source value is a pair of operands from either the integer and floating-point register set and any immediate operands sent to the IEU 104; for Boolean instructions, the Boolean register operand Are any two of Tables III and IV show the logical comparison operations in the preferred embodiment of the architecture 100 of the present invention. Table V illustrates direct Boolean operations in a preferred embodiment of the architecture 100 of the present invention. table
The instruction condition codes and function codes shown in III-V represent the corresponding instruction segments. The instruction also specifies a pair of source operand registers and a destination Boolean register for storing the corresponding Boolean result.

【0140】 表III ───────────────────────────── 整数の比較 命令 条件 * 記号 条件コード rs1はrs2より大 > 0000 rs1はrs2より大か等しい >= 0001 rs1はrs2より小 < 0010 rs1はrs2より小か等しい <= 0011 rs1はrs2と等しくない ≠ 0100 rs1はrs2と等しい == 0101 予備 0110 無条件 1111 ───────────────────────────── *rs=レジスタ・ソース 表IV ───────────────────────────── 浮動小数点の比較 命令 条件 記号 条件コード rs1はrs2より大 > 0000 rs1はrs2より大か等しい >= 0001 rs1はrs2より小 < 0010 rs1はrs2より小か等しい <= 0011 rs1はrs2と等しくない ≠ 0100 rs1はrs2と等しい == 0101 無順序 ? 1000 無順序またはrs1はrs2より大 ?> 1001 無順序、rs1はrs2より大か等しい ?>= 1010 無順序またはrs1はrs2より小 ?< 1011 無順序、rs1はrs2より小か等しい ?<= 1100 無順序またはrs1はrs2と等しい ?= 1101 予備 1110−1111 ─────────────────────────────── 表V ──────────────────────────── ブール演算 命令 演算* 記号 機能コード 0 Zero 0000 bs1&bs2 AND 0001 bs1&−bs2 ANN2 0010 bs1 bs1 0011 −bs1&bs2 ANN1 0100 bs2 bs2 0101 bs1- bs2 XOR 0110 bs1 bs2 OR 0111 −bs1&−bs2 NOR 1000 −bs1- bs2 XNOR 1001 −bs2 NOT2 1010 bs1 −bs2 ORN2 1011 −bs1 NOT1 1100 −bs1 bs2 ORN1 1101 −bs1 −bs2 NAND 1110 1 ONE 1111 ──────────────────────────── *bs=ブール・ソース・レジスタ B) ロード/ストア・制御ユニット 図13はロード/ストア・ユニット760 の例を示したも
のである。データ経路580 、660 に別々に示されている
が、ロード/ストア・ユニット586 、662 は1つの共用
ロード/ストア・ユニット760 として実現するのが好ま
しい。それぞれのデータ経路580 、660 からのインタフ
ェースはアドレス・バス762 およびロードとストア・デ
ータ・バス764(600 、676)、766(632 、702)を経由して
いる。
Table III { Integer Comparison Instruction Conditions * Symbol Condition Code rs1 is Greater Than rs2> 0000 rs1 is greater than or equal to rs2> = 0001 rs1 is less than or equal to rs2 <0010 rs1 is less than or equal to rs2 <= 0011 rs1 is not equal to rs2 {0100 rs1 is equal to rs2 == 0101 Reserved 0110 unconditional 1111} ─────────────────────────── * rs = register source Table IV ─────────────── ────────────── compare instruction condition symbol condition code rs1 floating point atmospheric> 0000 rs1 is greater than or equal to rs2 than rs2> = 0001 rs1 is small <0010 rs1 than rs2 rs Less than or equal to <= 0011 rs1 is not equal to rs2 ≠ 0100 rs1 == 0101 unordered equals rs2? 1000 Unordered or rs1 greater than rs2? > 1001 unordered, rs1 is greater than or equal to rs2? > = 1010 unordered or rs1 less than rs2? <1011 unordered, rs1 is less than or equal to rs2? <= 1100 unordered or rs1 equals rs2? = 1101 Reserved 1110-1111 ─────────────────────────────── Table V ─────────── ───────────────── Boolean operation instruction operation * Symbol function code 0 Zero 0000 bs1 & bs2 AND 0001 bs1 & -bs2 ANN2 0010 bs1 bs1 0011 -bs1 & bs2 ANN1 0100 bs2 bs2 0101 bs1 - bs2 XOR 0110 bs1 bs2 OR 0111 -bs1 & -bs2 NOR 1000 -bs1 - bs2 XNOR 1001 -bs2 NOT2 1010 bs1 -bs2 ORN2 1011 -bs1 NOT1 1100 -bs1 bs2 ORN1 1101 -bs1 -bs2 NAND 1110 1 ONE 1111 ────── ─── ─────────────────── * bs = Boolean source register B) Load / store / control unit Figure 13 shows an example of load / store unit 760 It is. Although shown separately in data paths 580, 660, load / store units 586, 662 are preferably implemented as one shared load / store unit 760. The interfaces from the respective data paths 580, 660 are via address bus 762 and load and store data buses 764 (600, 676), 766 (632, 702).

【0141】ロード/ストア・ユニット760 によって使
用されるアドレスは、IFU 102 およびIEU 104 の残り部
分で使用される仮想アドレスとは対照的に、物理アドレ
スである。IFU 102 は仮想IFU 102 で動作し、CCU 106
とVMU 108 間の調整に依存して物理アドレスを生成する
のに対し、IEU 104 ではロード/ストア・ユニット760
を物理アドレス・モードで直接に動作させる必要があ
る。この要件が必要になるのは、順序外で実行されるた
めに、物理アドレス・データをストア・オペレーション
がオーバラップするような命令が存在する場合、および
CCU 106 からロード/ストア・ユニット760 への順序外
のデータ・リターンが存在する場合に、データ保全性を
保つためである。データ保全性を保つために、ロード/
ストア・ユニット760 はストア命令がIEU 104 によって
退避されるまで、ストア命令から得たデータをバッファ
に置いておく。その結果、ロード/ストア・ユニット76
0 によってバッファに置かれたストア・データはロード
/ストア・ユニット760 に1つだけ存在することができ
る。実行されたが退避されていないストア命令と同じ物
理アドレスを参照するロード命令は、ストア命令が実際
に退避されるまで実行が遅延される。その時点で、スト
ア・データをロード/ストア・ユニット760 からCCU 10
6 へ転送し、CCU データ・ロード・オペレーションの実
行によって即時にロード・バックすることができる。
The address used by the load / store unit 760 is a physical address, as opposed to the virtual address used in the IFU 102 and the rest of the IEU 104. IFU 102 runs on virtual IFU 102 and CCU 106
The IEU 104 generates a physical address, while the load / store unit 760
Must operate directly in physical address mode. This requirement is necessary if there are instructions that are executed out of order, causing the store operation to overlap the physical address data, and
This is to maintain data integrity if there is an out-of-order data return from CCU 106 to load / store unit 760. To maintain data integrity, load /
Store unit 760 buffers data from the store instruction until the store instruction is saved by IEU 104. As a result, the load / store unit 76
Only one store data buffered by zero can exist in the load / store unit 760. The execution of a load instruction that refers to the same physical address as the executed but not saved store instruction is delayed until the store instruction is actually saved. At that point, store data is loaded from the load / store unit 760 to the CCU 10
6 and can be immediately loaded back by performing a CCU data load operation.

【0142】具体的には、物理アドレス全体がUMU 108
からロード/ストア・アドレス・バス762 上に送出され
る。ロード・アドレスは、一般的には、ロード・アドレ
ス・レジスタ7680-3にストアされる。ストア・アドレス
はストア・アドレス・レジスタ7703-0にラッチされる。
ロード/ストア制御ユニット774 は命令発行ユニット49
8 から受信した制御信号を受けて作動し、ロード・アド
レスとストア・アドレスをレジスタ7683-0、7703-0にラ
ッチすることを調整する。ロード/ストア制御ユニット
774 はロード・アドレスをラッチするための制御信号を
制御ライン778上に送出し、ストア・アドレスをラッチ
するための制御信号を制御ライン780 上に送出する。ス
トア・データはストア・データ・レジスタ・セット782
3-0の論理的に対応するスロットにストア・アドレスを
ラッチするのと同時にラッチされる。4x4x32ビット幅ア
ドレス比較ユニット772 には、ロードおよびストア・ア
ドレス・レジスタ7683-0、7703-0に入っているアドレス
の各々が同時に力される。システム・クロックの各半サ
イクル時の完全マトリックス・アドレス比較の実行は、
制御ライン776 を介してロード/ストア制御ユニット77
4 によって制御される。ストア・アドレスに一致するロ
ード・アドレスの存在と論理ロケーションは、制御ライ
ン776 を経由してロード/ストア制御ユニット774 へ送
られる。
Specifically, the entire physical address is UMU 108
From the load / store address bus 762. Load address is, in general, is stored in the load address register 768 0-3. Store address is latched into store address registers 770 3-0.
The load / store control unit 774 is an instruction issuing unit 49
Actuated in response to a control signal received from 8 to adjust the latching load addresses and store address registers 768 3-0, 770 3-0. Load / store control unit
774 sends a control signal to latch the load address on control line 778 and sends a control signal to latch the store address on control line 780. Store data is stored data register set 782
The store address is latched at the same time as the store address is latched in the logically corresponding slot of 3-0 . 4x4x32 bit wide address comparator unit 772, load and store address registers 768 3-0, each of the addresses contained in 770 3-0 are force simultaneously. Performing a full matrix address comparison during each half cycle of the system clock
Load / store control unit 77 via control line 776
Controlled by four. The presence and logical location of the load address that matches the store address is sent to the load / store control unit 774 via control line 776.

【0143】ロード・アドレスがVMU 108 から与えら
れ、保留中のストアがない場合は、ロード・アドレス
は、CCU ロード・オペレーションの開始と同時に、バス
762 から直接にアドレス・セレクタ786 へバイパスされ
る。しかし、ストア・データが保留されている場合は、
ロード・アドレスは使用可能なロード・アドレス・ラッ
チ7680-3にラッチされる。対応するストア・データ命令
が退避されるとの制御信号を退避制御ユニット500 から
受信すると、ロード/ストア制御ユニット774 はCCU デ
ータ転送操作を開始し、制御ライン784 を通してCCU 10
6 へのアクセスの仲裁を行う。CCU 106 がレディ(read
y) を通知すると、ロード/ストア制御ユニット774 はC
CU 物理アドレスをCCU PADDR アドレス・バス788 上に
送出するようにセレクタ786 に指示する。このアドレス
はアドレス・バス790 を経由して対応するストア・レジ
スタ7703-0から得られる。対応するストア・データ・レ
ジスタ7823-0からのデータはCCU データ・バス792 上に
送出される。
If the load address is provided by the VMU 108 and there are no pending stores, the load address is read from the bus at the beginning of the CCU load operation.
762 bypasses directly to address selector 786. However, if store data is pending,
The load address is latched to the available load address latch 768 0-3. Upon receiving a control signal from the save control unit 500 that the corresponding store data instruction is saved, the load / store control unit 774 initiates a CCU data transfer operation, and the CCU 10
Arbitrate access to 6. CCU 106 is ready
y), the load / store control unit 774
Instructs selector 786 to send the CU physical address onto CCU PADDR address bus 788. This address can be obtained from the store register 770 3-0 corresponding via the address bus 790. Data from the corresponding store data register 782 3-0 is sent out on the CCU data bus 792.

【0144】ロード命令が命令発行ユニット498 から出
されると、ロード/ストア制御ユニット774 はロード・
アドレス・ラッチ7683-0の1つが要求されたロード・ア
ドレスをラッチすることを許可する。選択された特定の
ラッチ7680-3は関係命令セット内のロード命令の位置に
論理的に対応している。命令発行ユニット498 は保留中
の可能性のある2命令セットのどちらかの中のロード命
令を示している5ビット・ベクトルをロード/ストア制
御ユニット774 へ渡す。コンパレータ772 が一致するス
トア・アドレスを示していない場合は、ロード・アドレ
スはアドレス・バス794 を経由してセレクタ786 へ送ら
れ、CCU PADRアドレス・バス788 上に出力される。アド
レスの提供は、ロード/ストア制御ユニット774 とCCU
106 間でやりとれされるCCU 要求とレディ制御信号に従
って行われる。実行ID値(ExID 値) もロード/ストア制
御ユニット774 によって準備されてCCU 106 に対して出
され、CCU 106 がExID値を含む要求データをそのあとで
返却するときロード要求を識別する。このID値は4ビッ
ト・ベクトルからなり、現ロード要求を出したそれぞれ
のロード・アドレス・ラッチ7680-3を固有ビットで指定
している。5番目のビットはロード命令を収めている命
令セットを識別するために使用される。このID値は、従
って、命令発行ユニット498 からロード要求と一緒に送
られるビット・ベクトルと同じである。
When a load instruction is issued from the instruction issuing unit 498, the load / store control unit 774 causes the load / store
One of the address latches 768 3-0 allows to latch the requested load address. Particular latch 768 0-3 selected corresponds logically to the position of the load instruction related instruction set. Instruction issue unit 498 passes to load / store control unit 774 a 5-bit vector indicating a load instruction in either of the two possibly pending instruction sets. If comparator 772 does not indicate a matching store address, the load address is sent to selector 786 via address bus 794 and output on CCU PADR address bus 788. The address is provided by the load / store control unit 774 and the CCU
This is done according to the CCU request and ready control signal exchanged between the 106. An execution ID value (ExID value) is also prepared by the load / store control unit 774 and issued to the CCU 106 to identify the load request when the CCU 106 subsequently returns request data including the ExID value. This ID value consists of 4-bit vector, which specifies the respective load address latch 768 0-3 that issued the current load request unique bits. The fifth bit is used to identify the instruction set containing the load instruction. This ID value is therefore the same as the bit vector sent with the load request from the instruction issue unit 498.

【0145】先行する要求ロード・データが使用可能で
あることがCCU 106 からロード/ストア制御ユニット77
4 へ通知されると、ロード/ストア制御ユニット774
は、位置合わせユニットがデータ受信し、それをロード
・データ・バス764 上に送出することを許可する。位置
合わせユニット798 はロード・データを右寄せする働き
をする。
The availability of the preceding request load data is indicated by the CCU 106 to the load / store control unit 77.
4, the load / store control unit 774
Allows the alignment unit to receive data and send it out on the load data bus 764. Alignment unit 798 serves to right justify the load data.

【0146】CCU 106 からデータが返却されると同時
に、ロード/ストア制御ユニット774はCCU 106 からExI
D値を受信する。他方、ロード/ストア制御ユニット774
はロード・データがロード・データ・バス764 上に送
出されることを知らせる制御信号を命令発行ユニット49
8 へ送り、さらに、どのロード命令に対してロード・デ
ータが返却されるのかを示したビット・ベクトルを返却
する。 C)IEU 制御経路の詳細 再び図5を参照して、IEU 制御経路のオペレーション
を、図14に示したタイミング図と関連づけて説明す
る。図14に示した命令の実行タイミングは本発明のオ
ペレーションを例示したもので、種々態様に変更可能で
あることは勿論である。
At the same time as the data is returned from CCU 106, load / store control unit 774 sends ExI from CCU 106.
Receive the D value. On the other hand, the load / store control unit 774
Sends a control signal indicating that the load data is sent out on the load data bus 764 to the instruction issuing unit 49.
8 and return a bit vector indicating for which load instruction the load data is returned. C) Details of the IEU Control Path Referring again to FIG. 5, the operation of the IEU control path will be described with reference to the timing diagram shown in FIG. The execution timing of the instruction shown in FIG. 14 is an example of the operation of the present invention, and it is needless to say that the execution timing can be changed to various modes.

【0147】図14のタイミング図はプロセッサ・シス
テム・クロック・サイクルP0-6のシーケンスを示してい
る。各プロセッサ・サイクルは内部TサイクルT0から始
まる。本発明の好適実施例によるアーキテクチャ100 で
は、各プロセッサ・サイクルは2つのTサイクルからな
っている。
The timing diagram of FIG. 14 shows the sequence of the processor system clock cycle P0-6 . Each processor cycle begins with the internal T cycle T 0. In the architecture 100 according to the preferred embodiment of the present invention, each processor cycle consists of two T cycles.

【0148】プロセッサ・サイクル0のときは、IFU 10
2 とVMU 108 は物理アドレスを生成するように動作す
る。この物理アドレスはCCU 106 へ送られ、命令用キャ
ッシュ・アクセス・オペレーションが開始される。要求
された命令セットが命令用キャッシュ132 にあると、命
令セットはプロセッサ・サイクル1のほぼ中間でIFU 10
2 へ戻される。そのあと、IFU 102 はプリフェッチ・ユ
ニット260 とIFIFO 264を経由する命令セットの転送を
管理し、転送された命令セットはまずIEU 104 へ実行の
ために渡される。 1)Eデコード・ユニットの詳細 Eデコード・ユニット490 は全命令セットを並列に受け
取って、プロセッサ・サイクル1が完了する前にデコー
ドする。Eデコード・ユニット490 は、好適アーキテク
チャ100 では、バス124 を経由して受け取ったすべての
有効命令を並列に直接デコードする機能を備えた順列組
合せ理論に基づくロジック・ブロックとして実現されて
いる。アーキテクチャ100 によって認識される命令は、
各タイプ別に、命令、レジスタ要件および必要な資源の
仕様と共に表VIに示されている。
In processor cycle 0, IFU 10
2 and VMU 108 operate to generate a physical address. This physical address is sent to the CCU 106, and an instruction cache access operation is started. If the requested instruction set is in the instruction cache 132, the instruction set is
Returned to 2. Thereafter, IFU 102 manages the transfer of the instruction set via prefetch unit 260 and IFIFO 264, and the transferred instruction set is first passed to IEU 104 for execution. 1) Details of E Decode Unit E decode unit 490 receives the entire instruction set in parallel and decodes before processor cycle 1 is completed. E-decode unit 490 is implemented in preferred architecture 100 as a logic block based on permutational combinatorial logic with the ability to directly decode all valid instructions received via bus 124 in parallel. The instructions recognized by architecture 100 are:
For each type, the instructions, register requirements, and required resource specifications are provided in Table VI.

【0149】 表VI ──────────────────────────────────── 命令/仕様 命令 制御とオペランド情報* レジスタ間移動 論理/算術演算機能コード: 加算、減算、乗算、シフトその他の指定 宛先レジスタ PSRのみセット ソース・レジスタ1 ソース・レジスタ2または即値定数値 レジスタセットA/B選択 即値からレジスタへ 宛先レジスタ 移動 即値整数または浮動小数点定数値 レジスタ・セットA/B選択 ロード/ストア・レジスタ オペレーション機能コード: ロードまたはストアの指定、即値、ベースと 即値、またはベースとオフセットの使用 ソース/宛先レジスタ ベース・レジスタ インデックス・レジスタまたは即値定数値 レジスタ・セットA/B選択 即値コール 符号付き即値変位 制御フロー オペレーション機能コード: ブランチ・タイプとトリガ条件の指定 ベース・レジスタ インデックス・レジスタ、即値定数変位値、また はトラップ番号 レジスタ・セットA/B選択 特殊レジスタ移動 オペレーション機能コード: 特殊/整数レジスタとの間の移動の指定 特殊レジスタ・アドレス識別子ソース/宛先レジ スタ レジスタ・セットA/B選択 整数変換移動 オペレーション機能コード: 浮動小数点から整数への変換タイプの指定 ソース/宛先レジスタ レジスタ・セットA/B選択 ブール関数 ブール関数コード:AND、ORなどの指定 宛先ブール・レジスタ ソース・レジスタ1 ソース・レジスタ2 レジスタ・セットA/B選択 拡張プロシージャ プロシージャ指定子:プロシージャ・ベース値か らのアドレス・オフセットの指定 オペレーション:値をプロシージャ・ルーチンへ 渡す アトミック・プロシージャ プロシージャ指定子:アドレス値の指定 ──────────────────────────────────── *−命令は、デコードされて命令を識別するフィールドのほかにこれらのフィー ルドを含んでいる。Table VI { Instruction / Specification Instruction Control and Operand Information * Movement between registers Logical / arithmetic operation function code: Addition, subtraction, multiplication, shift, etc. Designation of destination register PSR only Source register 1 Source register 2 or immediate constant value Register set A / B selection Immediate value to register Destination register move Immediate integer or floating-point constant register set A / B selection Load / store register Operation function code: Specify load or store, use immediate, base and immediate, or use base and offset Source / destination register Base register Index register Register or immediate constant value Register set A / B selection Immediate call Signed immediate displacement control Control flow Operation function code: Specification of branch type and trigger condition Base register Index register, immediate constant displacement value, or trap number Register set A / B selection Special register move Operation function code: Special / integer register Transfer specification Special register / address identifier Source / destination register Register set A / B selection Integer conversion movement Operation function code: Specification of floating-point to integer conversion type Source / destination register Register set A / B selection Boolean function Boolean function code: Specification of AND, OR, etc. Destination Boolean register Source register 1 Source register 2 Register set A / B selection Extended procedure Procedure specifier: Address from procedure base value Specifying offset Operation: Passing a value to a procedure routine Atomic procedure Procedure specifier: Specifying an address value ─────────────────────────── * * -Instructions contain these fields in addition to the fields that are decoded to identify the instruction.

【0150】Eデコード・ユニット490 は命令セットの
各命令を並列にデコードする。その結果の命令の識別、
命令機能、レジスタ参照および機能要件はEデコード・
ユニット490 の出力から得られる。この情報は再生成さ
れ、命令セット内のすべての命令が退避されるまで、プ
ロセッサ・サイクルの各半サイクル期間、Eデコード・
ユニット490 によってラッチされる。従って、保留状態
の8命令すべてに関する情報が、Eデコード・ユニット
490 の出力から絶えず得られるようになっている。この
情報は、8エレメント・ビット・ベクトルの形式で表示
され、各ベクトルのビットまたはサブフィールドは2つ
の保留中命令セット内の対応する命令の物理ロケーショ
ンに論理的に対応している。従って、8個のベクトルが
制御ライン502 を経由してキャリー・チェッカ492 へ送
られる。この場合、各ベクトルは、対応する命令がプロ
セッサ状況ワードのキャリー・ビットに作用を及ぼして
いるか、あるいはそれに依存しているかを指定してい
る。8個のベクトルが各命令の特定の内容と機能ユニッ
ト要件を示すために制御ライン510 を経由して送られ
る。8個のベクトルが制御ライン506 を経由して送ら
れ、8個の保留中命令の各々によって使用されたレジス
タ参照を指定している。これらのベクトルはプロセッサ
・サイクル1が終了する前に送られる。 2)キャリー・チェッカ・ユニットの詳細 キャリー・チェッカ・ユニット492 は図14に示すオペ
レーションのデータ依存関係フェーズ期間の間に依存関
係検査ユニット494 を並列に動作する。キャリー・チェ
ッカ・ユニット492 は好適アーキテクチャ100 では順列
組合せ論理に基づくロジックをして実現されている。従
って、キャリー・チェッカ・ユニット492 によるオペレ
ーションの各繰返し時に、命令がプロセッサ状態レジス
タのキャリー・フラグを変更したかどうかについて8個
の命令すべてが考慮される。これが必要とされるのは、
その前の命令によって設定されたキャリー・ビットの状
況に依存する命令を順序外で実行することを可能にする
ためである。制御ライン504 上に送出された制御信号に
より、キャリー・チェッカ・ユニット492 は、キャリー
・フラグに対する先行命令の実行に依存する特定の命令
を識別することができる。
E-decode unit 490 decodes each instruction of the instruction set in parallel. Identification of the resulting instruction,
Instruction decode, register references and functional requirements are E-decoded
Obtained from the output of unit 490. This information is regenerated and E-decoded for each half-cycle of the processor cycle until all instructions in the
Latched by unit 490. Thus, information about all eight pending instructions is stored in the E decode unit.
It is constantly available from the 490 output. This information is represented in the form of an 8-element bit vector, with the bits or subfields of each vector logically corresponding to the physical location of the corresponding instruction in the two pending instruction sets. Accordingly, eight vectors are sent to carry checker 492 via control line 502. In this case, each vector specifies whether the corresponding instruction affects or depends on the carry bit of the processor status word. Eight vectors are sent via control line 510 to indicate the specific content and functional unit requirements of each instruction. Eight vectors are sent via control line 506, specifying the register references used by each of the eight pending instructions. These vectors are sent before the end of processor cycle 1. 2) Carry checker unit details Carry checker unit 492 operates in parallel with dependency check unit 494 during the data dependency phase of the operation shown in FIG. Carry checker unit 492 is implemented in preferred architecture 100 using logic based on permutational combinatorial logic. Thus, at each iteration of the operation by carry checker unit 492, all eight instructions are considered as to whether the instruction has changed the carry flag in the processor status register. This is needed because
This is to allow instructions that depend on the status of the carry bit set by the previous instruction to be executed out of order. The control signals issued on control line 504 allow carry checker unit 492 to identify a particular instruction that relies on the execution of the preceding instruction on the carry flag.

【0151】さらに、キャリー・チェッカ・ユニット49
2 は8個の保留中命令の各々についてキャリー・ビット
の一時的コピーをもっている。キャリー・ビットを変更
していない命令については、キャリー・チェッカ・ユニ
ット492 はプログラム命令ストリームの順序でキャリー
・ビットを次の命令に伝える。従って、順序外で実行さ
れ、キャリー・ビットを変更する命令を実行させること
が可能であり、さらに、その順序外で実行される命令に
依存する後続の命令も、キャリー・ビットを変更する命
令のあとに置かれていても、実行することが可能であ
る。さらに、キャリー・ビットがキャリー・チェッカ・
ユニット492 によって維持されているので、これらの命
令の退避以前に例外が起こったとき、キャリー・チェッ
カ・ユニットは内部一時キャリー・ビット・レジスタを
クリアするだけでよいことから、順序外で実行すること
が容易になる。その結果、プロセッサ状況レジスタは、
順序外で実行される命令の実行による影響を受けない。
キャリー・チェッカ・ユニット492 が維持している一時
キャリー・ビット・レジスタは、順序外で実行される各
命令が完了すると更新される。順序外で実行される命令
が退避されると、プログラム命令ストリームの中で最後
に退避された命令に対応するキャリー・ビットはプロセ
ッサ状況レジスタのキャリー・ビット・ロケーションへ
転送される。 3)データ依存関係チェッカ・ユニットの詳細 データ依存関係チェッカ・ユニット494 はEデコード・
ユニット490 から制御ライン506 を経由して8個のレジ
スタ参照識別ベクトルを受け取る。各レジスタの参照は
32個のレジスタを一度に1つを識別するのに適した5ビ
ット値と、"A"、"B" またはブール・レジスタ・セット
内に置かれているレジスタ・バンクを識別する2ビット
値によって示されている。浮動小数点レジスタ・セット
は"B" レジスタ・セットとも呼ばれる。各命令は最高3
つまでのレジスタ参照フィールドをもつことができる。
2つのソース・レジスタ・フィールドと1つの宛先レジ
スタ・フィールドである。ある種の命令、特にレジスタ
間移動命令は、宛先レジスタを指定している場合があっ
ても、Eデコード・ユニット490 によって認識される命
令ビット・フィールドは、実際に作成される出力データ
がないことを意味している場合がある。むしろ、命令の
実行は、プロセッサ状況レジスタの値の変更を判断する
ことだけを目的としている。
Further, carry checker unit 49
2 has a temporary copy of the carry bit for each of the eight pending instructions. For instructions that do not change the carry bit, carry checker unit 492 passes the carry bit to the next instruction in the order of the program instruction stream. Thus, it is possible to cause an instruction that is executed out of order to change the carry bit to be executed, and that subsequent instructions that depend on the instruction being executed out of order also execute instructions that change the carry bit. It can be executed even if it is placed after. In addition, the carry bit is the carry checker
Because the carry checker unit only needs to clear the internal temporary carry bit register when an exception occurs before these instructions are saved, as maintained by unit 492, it must be executed out of order. Becomes easier. As a result, the processor status register
Unaffected by execution of instructions executed out of order.
The temporary carry bit register maintained by carry checker unit 492 is updated as each instruction executed out of order is completed. When instructions executed out of order are saved, the carry bit corresponding to the last saved instruction in the program instruction stream is transferred to the carry bit location of the processor status register. 3) Details of the data dependency checker unit The data dependency checker unit 494
Eight register reference identification vectors are received from unit 490 via control line 506. Reference of each register is
A 5-bit value suitable for identifying 32 registers one at a time and a 2-bit value identifying a register bank located in an "A", "B" or Boolean register set It is shown. The floating point register set is also called the "B" register set. Each instruction is up to 3
It can have up to three register reference fields.
Two source register fields and one destination register field. Certain instructions, especially inter-register move instructions, may specify a destination register, but the instruction bit field recognized by the E-decode unit 490 has no output data actually created. May mean. Rather, execution of the instruction is only intended to determine a change in the value of the processor status register.

【0152】データ依存関係チェッカ494 も好適アーキ
テクチャ100 において純然たる組合せロジック(pure co
mbinatorial logic)で実現されているが、これはプログ
ラム命令ストリーム内に後に現れる命令のソース・レジ
スタ参照と相対的に前に置かれた命令の宛先レジスタ参
照との間の依存関係を同時に判断するように動作する。
ビット配列は、どの命令が他の命令に依存するかを識別
するだけでなく、各依存関係がどのレジスタに基づいて
生じたかを識別するデータ依存関係チェッカ494 によっ
て作られる。キャリーとレジスタ・データの依存関係
は、第2プロセッサ・サイクルの開始直後に判別され
る。 4)レジスタ改名ユニットの詳細 レジスタ改名ユニット496 は8個の保留中の命令すべて
のレジスタ参照のIDを制御ライン506 を経由して、レジ
スタ依存関係を制御ライン508 を経由して受け取る。8
個のエレメントからのマトリックスも制御ライン542 を
経由して受け取る。これらのエレメントは、保留中命令
の現セットの中でどの命令が実行されたか( 完了した
か) を示している。この情報から、レジスタ改名ユニッ
ト496 は制御信号の8エレメント配列を制御ライン512
を経由して命令発行ユニット498 へ送る。このようにし
て送られた制御情報は、現セットのデータ依存関係が判
別された場合に、まだ実行されていない現在保留中の命
令のうちどの命令の実行が可能になったかについてレジ
スタ改名ユニット496 が行った判断を反映している。レ
ジスタ改名ユニット496 は実行のために同時に出される
最高6個までの命令を識別した選択制御信号をライン51
6 を経由して受信する。つまり、2個の整数命令、2個
の浮動小数点命令および2個のブール命令である。
The data dependency checker 494 is also a pure combinational logic (pure co
mbinatorial logic), which simultaneously determines the dependency between the source register reference of an instruction that appears later in the program instruction stream and the destination register reference of the instruction that precedes it. Works.
The bit array is created by a data dependency checker 494 that identifies not only which instructions depend on other instructions, but also on which register each dependency originated. The dependency between carry and register data is determined immediately after the start of the second processor cycle. 4) Register Renaming Unit Details Register renaming unit 496 receives the IDs of the register references for all eight pending instructions via control line 506 and the register dependencies via control line 508. 8
Matrices from the individual elements are also received via control line 542. These elements indicate which instruction in the current set of pending instructions has been executed (completed). From this information, the register renaming unit 496 converts the 8-element array of control signals to the control line 512
To the instruction issuing unit 498 via The control information sent in this manner provides a register renaming unit 496 which of the currently pending instructions that have not been executed, when the data dependencies of the current set are determined, can be executed. Reflects the decisions made. The register renaming unit 496 sends a selection control signal identifying up to six instructions issued simultaneously for execution on line 51.
Receive via 6. That is, two integer instructions, two floating point instructions and two Boolean instructions.

【0153】レジスタ改名ユニット496 はバス418 を経
由してレジスタ・ファイル配列472へ送られた制御信号
を通して、識別された命令を実行する際にアクセスする
ソース・レジスタを選択するという、もう1つの機能を
備えている。順序外で実行される命令の宛先レジスタは
対応するデータ経路の一時バッファ612 、680 、728に
置かれているものとして選択される。順序内で実行され
る命令は完了すると退避され、その結果データはレジス
タ・ファイル614 、684 、732 にストアされてく。ソー
ス・レジスタの選択は、レジスタが以前に宛先として選
択され、対応する以前の命令がまだ退避されていないか
どうかによって決まる。そのような場合には、ソース・
レジスタは対応する一時バッファ612 、680 、728 から
選択される。以前の命令が退避されていた場合は、対応
するレジスタ・ファイル614 、684 、732 のレジスタが
選択される。その結果、レジスタ改名ユニット496 は、
順序外で実行される命令の場合には、レジスタ・ファイ
ル・レジスタの参照を一時バッファ・レジスタの参照に
実効的に置き換えるように動作する。
The register renaming unit 496 has another function of selecting, via control signals sent to the register file array 472 via the bus 418, the source register to be accessed when executing the identified instruction. It has. The destination register of the out-of-order executed instruction is selected as being located in the temporary buffer 612, 680, 728 of the corresponding data path. Instructions executed in sequence are saved upon completion, and the resulting data is stored in register files 614, 684, 732. The choice of source register depends on whether the register was previously selected as the destination and the corresponding previous instruction has not yet been saved. In such a case, the source
The registers are selected from the corresponding temporary buffers 612, 680, 728. If the previous instruction has been saved, the corresponding register file 614, 684, 732 register is selected. As a result, the register renaming unit 496
For instructions that are executed out of order, it operates to effectively replace the reference to the register file register with the reference to the temporary buffer register.

【0154】アーキテクチャ100 によれは、一時バッフ
ァ612 、680 、728 は対応するレジスタ・ファイル配列
のレジスタ構造と重複していない。むしろ、8個の保留
命令の各々に対して1つの宛先レジスタ・スロットが用
意されている。その結果、一時バッファ宛先レジスタ参
照の置換は、保留レジスタ・セット内の対応する命令の
ロケーションによって判断される。そのあとのソース・
レジスタ参照はソース依存関係が発生した命令に対して
データ依存関係チェッカ494 によって識別される。従っ
て、一時バッファ・レジスタ内の宛先スロットはレジス
タ改名ユニット496 によって容易に判断することが可能
である。 5)命令発行ユニットの詳細 命令発行ユニット498 は発行できる命令のセットをレジ
スタ改名ユニット496の出力をEデコード・ユニット490
によって識別された命令の機能要件に基づいて判断す
る。命令発行ユニット498 は制御ライン514 を経由して
報告された機能ユニット4780-nの各々の状況に基づいて
この判断を行う。従って、命令発行ユニット498 は発行
すべき使用可能な命令セットをレジスタ改名ユニット49
6 から受信すると、オペレーションを開始する。各命令
を実行するためにレジスタ・ファイルへのアクセスが必
要であるとすると、命令発行ユニット498 は現在命令を
実行中の機能ユニット4980-nが使用可能であることを予
想する。レジスタ改名ユニット496 へ発行すべき命令を
判断する際の遅延を最小にするために、命令発行ユニッ
ト498 は専用の組合せロジックで実現されている。
According to the architecture 100, the temporary buffers 612, 680, 728 do not overlap with the register structure of the corresponding register file array. Rather, one destination register slot is provided for each of the eight pending instructions. As a result, the replacement of the temporary buffer destination register reference is determined by the location of the corresponding instruction in the pending register set. The source after that
The register reference is identified by the data dependency checker 494 for the instruction in which the source dependency occurred. Thus, the destination slot in the temporary buffer register can be easily determined by the register renaming unit 496. 5) Instruction issuing unit details The instruction issuing unit 498 sets the set of instructions that can be issued to the output of the register
Is determined based on the functional requirements of the instruction identified by. Instruction issuing unit 498 makes this determination based on the status of each of functional units 4780 -n reported via control line 514. Therefore, the instruction issuing unit 498 registers the available instruction set to be issued in the register renaming unit 49.
Start operation when receiving from 6. Assuming that access to the register file is required to execute each instruction, instruction issuing unit 498 expects the functional units 4980 -n currently executing the instruction to be available. To minimize the delay in determining which instruction to issue to register rename unit 496, instruction issue unit 498 is implemented with dedicated combinational logic.

【0155】発行すべき命令を判断すると、レジスタ改
名ユニット496 はレジスタ・ファイルへのアクセスを開
始し、このアクセスは第3プロセッサ・サイクルP2が終
了するまで続けられる。プロセッサ・サイクルP3が開始
すると、命令発行ユニット498 は、「Execute 0 」で示
すように1つまたは2つ以上の機能ユニット4780-nによ
るオペレーションを開始し、レジスタ・ファイル配列47
2 から送られてきたソース・データを受信して処理す
る。
[0155] When it is determined to be issued instruction, the register rename unit 496 initiates access to the register file, this access is continued until the third processor cycle P 2 ends. If processor cycle P 3 is started, the instruction issue unit 498 starts operation according to one or more functional units 478 0 -n as indicated by "Execute 0", the register file array 47
Receives and processes the source data sent from 2.

【0156】代表例として、アーキテクチャ100 で処理
される大部分の命令は1プロセッサ・サイクルで機能ユ
ニットを通して実行される。しかし、一部の命令は、
「Execute 1 」で示すように、同時に出された命令を完
了するのに複数のプロセッサ・サイクルを必要とする。
Execute 0 命令とExecute 1 命令は、例えば、それぞれ
ALU と浮動小数点乗算機能ユニットに実行させることが
できる。ALU 機能ユニットは、図14に示すように、1
プロセッサ・サイクル内で出力データを発生し、この出
力データはラッチしておくだけで、第5プロセッサ・サ
イクルP4時に別の命令を実行する際に使用することがで
きる。浮動小数点乗算機能ユニットは内部パイプライン
化機能ユニットにすることが好ましい。従って、次のプ
ロセッサ・サイクルで別の浮動小数点命令を出すことが
できる。しかし、最初の命令の結果はデータに依存する
プロセッサ・サイクル数の間使用することができない。
図14に示す命令は、機能ユニットでの処理を完了する
ためには、3プロセッサ・サイクルを必要とする。
Typically, most instructions processed by architecture 100 are executed through functional units in one processor cycle. However, some instructions
As indicated by "Execute 1", multiple processor cycles are required to complete a simultaneously issued instruction.
The Execute 0 and Execute 1 instructions are, for example,
It can be executed by the ALU and the floating-point multiplication function unit. As shown in FIG.
Generates output data at the processor cycle, the output data just previously latched, it can be used to perform another instruction during the fifth processor cycle P 4. Preferably, the floating point multiplication function unit is an internal pipelined function unit. Thus, another floating point instruction can be issued in the next processor cycle. However, the result of the first instruction is not available for a data-dependent number of processor cycles.
The instruction shown in FIG. 14 requires three processor cycles to complete processing in a functional unit.

【0157】各プロセッサ・サイクルの間に、命令発行
ユニット498 の機能は繰り返される。その結果、現在の
保留中の命令セットの状況と機能ユニット4780-nの全セ
ットの使用可能状況は各プロセッサ・サイクルの間に再
評価される。従って、最適条件のとき、好適アーキテク
チャ100 はプロセッサ・サイクルことに最高6個までの
命令を実行することができる。しかし、代表的な命令ミ
ックスから得られる総平均実行命令数は、1プロセッサ
・サイクル当たり1.5 個ないし2.0 個である。
During each processor cycle, the function of instruction issue unit 498 is repeated. As a result, the status of the current pending instruction set and the availability of the entire set of functional units 4780 -n is reevaluated during each processor cycle. Thus, under optimal conditions, the preferred architecture 100 can execute up to six instructions during a processor cycle. However, the total average number of executed instructions from a typical instruction mix is between 1.5 and 2.0 per processor cycle.

【0158】命令発行ユニット498 の機能で最後に考慮
すべきことは、このユニットがトラップ条件の処理と特
定命令の実行に関与することである。トラップ条件を発
生するためには、まだ退避されていないすべての命令を
IEU 104 からクリアする必要がある。このような事態
は、算術演算エラーに応答して機能ユニット4780-nのい
ずれからか、あるいは例えば、違法命令をデコードした
ときにEデコード・ユニット490 から、外部割込みを受
信し、それが割込み要求/受信確認制御ライン340 を経
由してIEU 104 へ中継されたのに応答して、起こること
がある。トラップ条件が発生したとき、命令発行ユニッ
ト498 は現在IEU 104 で保留されているすべての非退避
命令を中止または無効にすることを受け持つ。同時に退
避できない命令はすべて無効にされる。この結果は、プ
ログラム命令ストリームを順序内で実行する従来の方式
に対して割込みを正確に発生させるために不可欠であ
る。IEU 104 がトラップ処理プログラム・ルーチンの実
行を開始する準備状態になると、命令発行ユニット498
は制御ライン340 を経由するリターン制御信号によって
割込みの受信を確認する。また、従来の純然たる順序内
ルーチンにおいてある命令が実行される前に変更された
プログラム状態ビットに基づいて、その命令に対する例
外条件が認識される可能性を防止するために、命令発行
ユニット498 はPSR を変更する可能性のあるすべての命
令(特殊移動やトラップからのリターンなど)が厳格に
順序内で実行されるようにすることを受け持つ。
A final consideration in the function of the instruction issuing unit 498 is that it is involved in processing trap conditions and executing specific instructions. In order to generate a trap condition, all instructions that have not been
Must clear from IEU 104. Such a situation may be caused by receiving an external interrupt from either of the functional units 4780 -n in response to an arithmetic error, or from the E-decode unit 490 when decoding an illegal instruction, for example, Occurs in response to being relayed to IEU 104 via request / acknowledgement control line 340. When a trap condition occurs, instruction issue unit 498 is responsible for aborting or invalidating all non-evacuated instructions currently pending in IEU 104. All instructions that cannot be saved at the same time are invalidated. This result is essential for accurately generating interrupts over conventional schemes of executing the program instruction stream in order. When IEU 104 is ready to begin executing the trap handler routine, instruction issue unit 498
Confirms receipt of the interrupt by the return control signal via control line 340. Also, in order to prevent the possibility of an exception condition for an instruction being recognized based on a changed program state bit before the execution of the instruction in a conventional purely in-order routine, the instruction issue unit 498 may Responsible for ensuring that all instructions that may change the PSR (such as special moves and returns from traps) are executed in strict order.

【0159】プログラム制御の流れを変更するある種の
命令は、Iデコード・ユニット262によって判別されな
い。この種の命令には、サブルーチン・リターン・プロ
シージャ命令からのリターン、トラップからのリターン
がある。命令発行ユニット498 は判別制御信号をIEU リ
ターン制御ライン350 を経由してIFU 102 へ送る。特殊
レジスタ412 のうち対応するものが選択されて、コール
命令の時効時、トラップの発生時またはプロシージャ命
令の出現時に存在していたIF PC実行アドレスを出力す
る。 6)完了制御ユニットの詳細 完了制御ユニット540 は機能ユニット478o-nをモニタし
て、現在のオペレーションの完了状況を調べる。好適ア
ーキテクチャ100 では、完了制御ユニット540は各機能
ユニットによるオペレーションの完了を予想して、現在
保留中の命令セットの中の各命令の実行状況を示した完
了ベクトルを、機能ユニット478o-nによる命令の実行完
了よりも約半プロセッサ・サイクル前にレジスタ改名ユ
ニット496 、バイパス制御ユニット520 および退避制御
ユニット500 へ送る。これにより、命令発行ユニット49
8 はレジスタ改名ユニット496 を通して、実行を完了す
る機能ユニットを次の命令発行サイクルに対して使用可
能な資源として考慮することができる。バイパス制御ユ
ニット520 は、機能ユニットから出力された出力をバイ
パス・ストリーム474 を通るようにバイパスする準備を
行うことができる。最後に、退避制御ユニット500 は、
機能ユニット478o-nからレジスタ・ファイル配列472 へ
データを転送するのと同時に対応する命令を退避するよ
うに動作する。 7)退避制御ユニットの詳細 完了制御ユニット540 から送られた命令完了ベクトルの
ほかに、退避制御ユニット500 はEデコード・ユニット
490 から出力された最も古い命令セットをモニタする。
命令ストリーム順序の中の各命令に完了制御ユニット54
0 によって完了の印(マーク)がつけられると、退避制
御ユニット500 は、制御ライン534 上に送出された制御
信号を通して、一時バッファ・スロットからレジスタ・
ファイル配列472 内の対応する命令が指定したファイル
・レジスタ・ロケーションへデータを転送することを指
示する。1つまたは複数の命令が同時に退避されると、
PCInc/Size 制御信号が制御ライン344 上に送出され
る。各プロセッサ・サイクルごとに最高4個までの命令
を退避することが可能である。命令セット全体が退避さ
れると、IFIFO 読取り制御信号が制御ライン342 上に送
出されてIFIFO 264 を前進させる。 8)制御フロー制御ユニットの詳細 制御フロー制御ユニット528 は、現在の保留中命令セッ
ト内の制御フロー命令が解決されたかどうか、さらに、
その結果ブランチが行われたかどうかを指定した情報を
IFU 102 に絶えず与えるように動作する。制御フロー制
御ユニット528は、Eデコード・ユニット490 による制
御フロー・ブランチ命令の識別情報を制御ライン510 を
経由して取得する。現在のレジスタ依存関係のセット
は、制御ライン536 を経由してデータ依存関係チェッカ
・ユニット494 から制御フロー制御ユニット528 へ送ら
れるので、制御フロー制御ユニット528 はブランチ命令
の結果が依存関係に拘束されているかどうか、あるいは
判明しているかどうかを判断することができる。レジス
タ改名ユニット496 からバス518 を経由して送られたレ
ジスタの参照は制御フロー制御ユニット528 によってモ
ニタされ、ブランチ決定を定義するブール・レジスタが
判別される。従って、ブランチ決定は、制御フロー命令
の順序外の実行以前でも判断することが可能である。
Certain instructions that alter the flow of program control are not identified by I-decode unit 262. Such instructions include a return from a subroutine return procedure instruction and a return from a trap. The instruction issuing unit 498 sends a discrimination control signal to the IFU 102 via the IEU return control line 350. The corresponding one of the special registers 412 is selected, and the IF that exists at the time of aging of the call instruction, at the time of occurrence of the trap, or at the time of appearance of the procedure instruction is selected. Output PC execution address. 6) Details of Completion Control Unit Completion control unit 540 monitors functional units 478o-n to check the completion status of the current operation. In the preferred architecture 100, the completion control unit 540 anticipates completion of the operation by each functional unit, and generates a completion vector indicating the execution status of each instruction in the currently pending instruction set by the instruction unit 478o-n. Is sent to the register renaming unit 496, the bypass control unit 520, and the evacuation control unit 500 about half a processor cycle before the completion of the execution. Thereby, the instruction issuing unit 49
8, through register rename unit 496, can consider functional units that complete execution as available resources for the next instruction issue cycle. The bypass control unit 520 can prepare to bypass the output from the functional unit through the bypass stream 474. Finally, the evacuation control unit 500
At the same time as transferring data from the functional unit 478o-n to the register file array 472, the corresponding instruction is saved. 7) Details of the evacuation control unit In addition to the instruction completion vector sent from the completion control unit 540, the evacuation control unit 500 is an E-decode unit.
Monitor the oldest instruction set output from the 490.
Completion control unit 54 for each instruction in the instruction stream order
When marked complete by a 0, the evacuation control unit 500 sends the register data from the temporary buffer slot through the control signal sent on the control line 534.
The corresponding instruction in file array 472 indicates to transfer data to the specified file register location. If one or more instructions are evacuated simultaneously,
A PCInc / Size control signal is sent out on control line 344. Up to four instructions can be saved in each processor cycle. When the entire instruction set has been retired, an IFIFO read control signal is issued on control line 342 to advance IFIFO 264. 8) Control Flow Control Unit Details The control flow control unit 528 determines whether the control flow instructions in the current pending instruction set have been resolved, and
Information that specifies whether the branch was taken
It works to give it to IFU 102 constantly. The control flow control unit 528 acquires the identification information of the control flow branch instruction by the E decode unit 490 via the control line 510. The current set of register dependencies is sent from the data dependency checker unit 494 via the control line 536 to the control flow control unit 528, so that the control flow control unit 528 ties the results of the branch instruction to the dependencies. Can be determined whether or not it is known. Register references sent from register rename unit 496 via bus 518 are monitored by control flow control unit 528 to determine the Boolean registers that define branch decisions. Therefore, the branch decision can be determined even before execution of the out-of-order control flow instruction.

【0160】制御フロー命令の実行と同時に、バイパス
・ユニット472 は、制御フロー1と制御フロー2の制御
ライン750 、752 からなる制御ライン530 を経由して制
御フローの結果を制御フロー制御ユニット538 へ送るよ
うに指示される。最後に、制御フロー制御ユニット528
は各々が8ビットの2個のベクトルを制御ライン348を
経由してIFU 102 へ連続して送る。これらのベクトル
は、ベクトル内のビットに対応する論理ロケーションに
置かれた命令が解決されたか否か、およびその結果ブラ
ンチが行われたか否かを定義している。好適アーキテク
チャ100 では、制御フロー制御ユニット528 は制御ユニ
ット528 への入力制御信号を受けて連続的に動作する組
合せロジックとして実現されている。 9)バイパス制御ユニットの詳細 命令発行ユニット498 はバイパス制御ユニット520 と緊
密に協働して、レジスタ・ファイル配列472 と機能ユニ
ット478o-n間のデータのルーチング(経路指定)を制御
する。バイパス制御ユニット520 は、図14に示すオペ
レーションのレジスタ・ファイル・アクセス、出力およ
びストア・フェーズと関連して動作する。レジスタ・フ
ァイル・アクセスの間には、バイパス制御ユニット520
は命令の実行の出力フェーズの間に書き込まれている途
中にあるレジスタ・ファイル配列472 内の宛先レジスタ
のアクセスを、制御ライン522 を通して確認することが
できる。この場合、バイパス制御ユニット520 は、バイ
パスして機能ユニット配布バス480 に返すように、機能
ユニット出力バス482 上に送出された出力を選択するこ
とを指示する。バイパス・ユニット520 に対する制御
は、制御ライン542 を通して命令発行ユニット498 によ
って行われる。 IV. 仮想メモリ制御ユニット VMU 108 のインタフェース定義は図15に示されてい
る。VMU 108 はおもにVMU 制御ロジック・ユニット800
と内容アドレス(content addressable) メモリ(CAM)802
から構成されている。VMU 108 の一般的機能は図16に
ブロック図で示してある。同図において、仮想アドレス
の表示は、スペースID(sID[31:28])、仮想ページ番号(V
ADDR[27:14])、ページ・オフセット(PADDR[13:4]) 、お
よび要求ID(rID[3:0])に分割されている。物理アドレス
を生成するためのアルゴリズムでは、スペースIDを使用
して、スペース・テーブル842 内の16個のレジスタから
1つを選択するようになっている。選択したスペース・
レジスタの内容と仮想ページ番号とを組み合わせて、テ
ーブル索引バッファ(TLB)844をアクセスするときのアド
レスとして使用される。34ビット・アドレスは内容アド
レス・タグの働きをし、バッファ844 ないの対応するバ
ッファ・レジスタを指定するために使用される。タグに
一致するものが見つかると、18ビット幅レジスタ値が物
理アドレス846の上位18ビットとして得られる。ページ
・オフセットと要求IDは物理アドレス846 の下位14ビッ
トとして得られる。
Simultaneously with the execution of the control flow instruction, the bypass unit 472 sends the result of the control flow to the control flow control unit 538 via the control line 530 including the control lines 750 and 752 of the control flow 1 and the control flow 2. You will be instructed to send it. Finally, the control flow control unit 528
Continuously sends two vectors, each of 8 bits, to IFU 102 via control line 348. These vectors define whether the instruction located at the logical location corresponding to the bit in the vector has been resolved, and whether a branch has taken place as a result. In the preferred architecture 100, the control flow control unit 528 is implemented as combinational logic that operates continuously upon receiving input control signals to the control unit 528. 9) Details of the Bypass Control Unit The instruction issuing unit 498 works closely with the bypass control unit 520 to control the routing of data between the register file array 472 and the functional units 478o-n. The bypass control unit 520 operates in conjunction with the register file access, output and store phases of the operation shown in FIG. During register file access, bypass control unit 520
Can access via control line 522 the access of a destination register in register file array 472 that is being written during the output phase of execution of the instruction. In this case, bypass control unit 520 instructs to select the output sent on functional unit output bus 482 to bypass and return to functional unit distribution bus 480. Control over bypass unit 520 is provided by instruction issue unit 498 via control line 542. IV. The interface definition of the virtual memory control unit VMU 108 is shown in FIG. VMU 108 is mainly VMU control logic unit 800
And content addressable memory (CAM) 802
It is composed of The general functions of the VMU 108 are shown in a block diagram in FIG. In the figure, the display of the virtual address is represented by the space ID (sID [31:28]) and the virtual page number (V
ADDR [27:14]), page offset (PADDR [13: 4]), and request ID (rID [3: 0]). The algorithm for generating the physical address uses the space ID to select one of the 16 registers in the space table 842. Selected space
The contents of the register and the virtual page number are combined and used as an address when accessing the table look-up buffer (TLB) 844. The 34-bit address serves as a content address tag and is used to specify the corresponding buffer register in buffer 844. If a match is found for the tag, an 18-bit wide register value is obtained as the upper 18 bits of the physical address 846. The page offset and request ID are obtained as the lower 14 bits of the physical address 846.

【0161】タグに一致するものがテーブル索引バッフ
ァ844 に見つからないとVMU 不一致が通知される。この
場合は、MAU 112 に維持されている完全ページ・テーブ
ル・データ構造をアクセスする従来のハッシュ・アルゴ
リズム848 を採用したVMU 高速トラップ処理ルーチンを
実行させる必要がある。このページ・テーブル850 はア
ーキテクチャ100 によって現在使用中のすべてのメモリ
・ページのエントリを含んでいる。ハッシュ・アルゴリ
ズム848 は、現在の仮想ページ変換操作を満たすために
必要なページ・テーブル・エントリを判別する。これら
のページ・テーブル・エントリはMAU 112 からレジスタ
・セット"A" のトラップ・レジスタへロードされ、その
あと特殊レジスタ移動命令によってテーブル索引バッフ
ァ844 へ転送される。例外処理ルーチンから戻ると、VM
U 不一致例外を引き起こした命令はIEU 104 によって再
実行される。仮想アドレスから物理アドレスへの変換操
作は例外を引き起こさないで完了するはずである。
If no tag match is found in the table index buffer 844, a VMU mismatch is reported. In this case, it is necessary to execute a VMU fast trap processing routine employing the conventional hash algorithm 848 which accesses the complete page table data structure maintained in the MAU 112. This page table 850 contains entries for all memory pages currently in use by the architecture 100. Hash algorithm 848 determines the page table entries needed to satisfy the current virtual page translation operation. These page table entries are loaded from MAU 112 into the trap registers of register set "A" and then transferred to table look-up buffer 844 by a special register move instruction. When returning from the exception handling routine, the VM
The instruction that caused the U mismatch exception is re-executed by IEU 104. The virtual to physical address translation operation should complete without raising an exception.

【0162】VMU 制御ロジック800 はIFU 102 およびIE
U 104 とのデュアル・インタフェースとなる。準備信号
は制御ライン822 を経由してIEU 104 へ送られ、VMU 10
8 がアドレス変換のために使用可能であることを通知す
る。好適実施例では、VMU 108 は常にIFU 102 の変換要
求を受け付ける準備状態にある。IFU 102 およびIEU104
は共に、制御ライン328 および804 を経由して要求を
提示することができる。好適アーキテクチャ100 では、
IFU は優先してVMU 108 をアクセスすることができる。
その結果、ビジー(使用中)制御ライン820 は1だけが
IEU 104 に出力される。
The VMU control logic 800 includes the IFU 102 and the IE
Dual interface with U104. The ready signal is sent to IEU 104 via control line 822 and the VMU 10
Signals that 8 is available for address translation. In the preferred embodiment, VMU 108 is always ready to accept IFU 102 conversion requests. IFU 102 and IEU104
Can submit the request via control lines 328 and 804. In the preferred architecture 100,
The IFU can access the VMU 108 with priority.
As a result, only one busy (in use) control line 820
Output to IEU 104.

【0163】IFU 102 およびIEU 104 は共に、スペース
IDと仮想ページ番号フィールドを、それぞれ、制御ライ
ン326 および808 を経由してVMU 制御ロジック800 へ送
る。さらに、IEU 104 は読み書き制御信号を制御信号80
6 で出力する。この制御信号は、参照された仮想メモリ
のメモリ・アクセス保護属性を変更するために、そのア
ドレスをロード・オペレーションに使用すべきか、スト
ア・オペレーションに使用すべきを必要に応じて定義し
ている。仮想アドレスのスペースIDと仮想ページ・フィ
ールドはCAM ユニット802 に渡されて、実際の変換操作
が行われる。ページ・オフセットとExIDフィールドは最
終的にIEU 104 から直接にCCU 106 へ送られる。物理ペ
ージと要求IDフィールドはアドレス・ライン836 を経由
してCAMユニット802 へ送られる。テーブル索引バッフ
ァに一致するものが見つかると、ヒット・ラインと制御
出力ライン830 を経由してVMU 制御ロジック・ユニット
800 に通知される。その結果の18ビット長の物理アドレ
スはアドレス出力ライン824 上に出力される。
IFU 102 and IEU 104 are both spaces.
The ID and virtual page number fields are sent to VMU control logic 800 via control lines 326 and 808, respectively. In addition, IEU 104 applies read / write control signals to control signals 80
Output with 6. This control signal defines, as necessary, whether the address should be used for a load operation or a store operation to change the memory access protection attribute of the referenced virtual memory. The space ID and virtual page fields of the virtual address are passed to the CAM unit 802, where the actual translation operation is performed. The page offset and ExID fields are ultimately sent directly from the IEU 104 to the CCU 106. The physical page and request ID fields are sent to CAM unit 802 via address line 836. When a match is found in the table index buffer, the VMU control logic unit is routed via the hit line and control output line 830.
800 will be notified. The resulting 18-bit physical address is output on address output line 824.

【0164】VMU 制御ロジック・ユニット800 は、ライ
ン830 からヒットおよび制御出力制御信号を受けると、
仮想メモリ不一致と仮想メモリ例外制御信号をライン33
4 、332 上に出力する。仮想メモリ変換不一致とは、テ
ーブル索引バッファ844 内のページ・テーブルIDと一致
しなかっとことを意味する。その他の変換エラーはすべ
て仮想メモリ例外として報告される。
The VMU control logic unit 800 receives a hit and control output control signal from line 830,
Virtual memory mismatch and virtual memory exception control signal on line 33
4, output on 332 The virtual memory translation mismatch means that the page table ID in the table index buffer 844 does not match. All other translation errors are reported as virtual memory exceptions.

【0165】最後に、CAM ユニット802 内のデータ・テ
ーブルは特殊レジスタ間移動命令をIEU 104 が実行する
ことによって変更することができる。読み書き、レジス
タ選択、リセット、ロードおよびクリア制御信号はIEU
104 から制御ライン810 、812 、814 、816 、818 を経
由して出力される。CAM ユニット・レジスタに書くべき
出力は特殊レジスタ・データ・バス354 に接続されたア
ドレス・バス808 を経由してIEU 104 からVMU 制御ロジ
ック・ユニット800 によって受信される。このデータは
初期設定、レジスタ選択、および読み書き制御信号を制
御する制御信号と同時にバス836 を経由してCAM ユニッ
ト802 へ転送される。その結果、CAM ユニット802 内の
データ・レジスタは、より高レベルのオペレーティング
・システムで定義されているコンテキスト・スイッチを
処理するとき必要になるストアのための読出しを含め
て、アーキテクチャ100 の動的オペレーションの間に必
要に応じて即座に書き出すことができる。 V.キャッシュ制御ユニット CCU 106 用のデータ・インタフェース上のコントロール
を図17に示す。この場合も、インタフェースはIFU 10
2 用とIEU 104 用に別個に設けている。さらに、論理的
に別個になったインタフェースをCCU 106 に設けて、MC
U 110 と命令とデータの転送が行われる。IFU インタフ
ェースはアドレス・ライン324 上に送出される物理ペー
ジ・アドレスと、アドレス・ライン824 上に送出される
VMU 変換ページ・アドレスと、制御ライン294 、296 上
を別個に転送される要求IDとからなっている。単方向デ
ータ転送バス114 は命令セット全体をIFU 102 と並列に
転送するためのものである。最後に、読取り/使用中(r
ead/busy) 制御信号と準備(ready) 制御信号は制御ライ
ン298 、300 、302 を経由してCCU 106 へ送られる。
Finally, the data table in the CAM unit 802 can be changed by the IEU 104 executing a special register move instruction. Read / write, register select, reset, load and clear control signals are IEU
The signal is output from the control line 104 via control lines 810, 812, 814, 816, 818. The output to be written to the CAM unit register is received by the VMU control logic unit 800 from the IEU 104 via the address bus 808 connected to the special register data bus 354. This data is transferred to the CAM unit 802 via the bus 836 at the same time as control signals for controlling initialization, register selection, and read / write control signals. As a result, the data registers in the CAM unit 802 store the dynamic operations of the architecture 100, including reads for stores needed when processing context switches defined in higher-level operating systems. Can be exported immediately if needed. V. The control on the data interface for the cache control unit CCU 106 is shown in FIG. Again, the interface is IFU 10
2 and IEU 104 are provided separately. In addition, a logically separate interface is provided on the CCU 106 to
Command and data transfer is performed with U 110. The IFU interface sends out the physical page address on address line 324 and the physical page address on address line 824
It consists of a VMU translation page address and a request ID that is separately transferred on control lines 294 and 296. The unidirectional data transfer bus 114 is for transferring the entire instruction set in parallel with the IFU 102. Finally, read / busy (r
ead / busy and ready control signals are sent to the CCU 106 via control lines 298,300,302.

【0166】同様に、完全な物理アドレスはIEU 102 か
ら物理アドレス・バス788 を経由して送られる。要求Ex
IDはIEU 104 のロード/ストア・ユニットとの間で制御
ライン796 を経由して別個に送受される。80ビット幅の
単方向データ・バスをCCU 106 に設けて、IEU 104 と結
んでいる。しかし、アーキテクチャ100 の好適実施例で
は、下位の64ビットだけがIEU 104 によって使用され
る。全80ビット・データ転送バスをCCU 106 内に用意
し、サポートしたのは、浮動小数点データ経路660を変
更することにより、IEEE標準754 に準拠する浮動小数点
オペレーションをサポートするアーキテクチャ100 の将
来の実現をサポートするためである。
Similarly, the complete physical address is sent from IEU 102 via physical address bus 788. Request Ex
The ID is sent to and received from the IEU 104 load / store unit separately via control line 796. An 80-bit wide unidirectional data bus is provided in the CCU 106 and connected to the IEU 104. However, in the preferred embodiment of architecture 100, only the lower 64 bits are used by IEU 104. The full 80-bit data transfer bus was provided and supported in the CCU 106 by modifying the floating point data path 660 to enable future implementation of the architecture 100 that supports floating point operation in accordance with IEEE Standard 754. To support.

【0167】IEU 制御インタフェースは、要求、使用
中、準備、読み書きおよび制御信号784 を通して確立さ
れ、IFU 102 によって使用される対応する制御信号とほ
ぼ同じである。ただし、ロード・オペレーション・スト
ア・オペレーションを区別するために読み書き制御信号
が用意されている点が異なる。幅制御信号はIEU 104 が
CCU 106 をアクセスする都度、その間に転送されるバイ
ト数を指定している。これに対して、命令キャッシュ13
2 のアクセスは、いずれも、固定128 ビット幅データ・
フェッチ・オペレーションである。
The IEU control interface is established through request, busy, prepare, read / write and control signals 784 and is substantially the same as the corresponding control signals used by IFU 102. However, the difference is that a read / write control signal is provided to distinguish the load operation / store operation. The width control signal is IEU 104
Each time the CCU 106 is accessed, the number of bytes transferred during that time is specified. On the other hand, instruction cache 13
Each of the two accesses has a fixed 128-bit data width.
This is a fetch operation.

【0168】CCU 106 は、命令用キャッシュ132 とデー
タ用キャッシュ134 に対してほぼ従来のキャッシュ制御
機能を実装している。好適アーキテクチャ100 では、命
令用キャッシュ132 は256 個の128 ビット幅命令セット
をストアできる高速メモリになっている。データ用キャ
ッシュ134 は1024個の32ビット幅データ・ワードをスト
アすることができる。命令用キャッシュ132 およびデー
タ用キャッシュ134 の内容から即時に満たすことができ
ない命令とデータ要求はMCU 110 に引き渡される。命令
用キャッシュが不一致(ミス)の場合は、28ビット幅の
物理アドレスがMCU 110 へアドレス・バス860 を経由し
て送られる。要求IDおよびCCU 106 とMCU 100 のオペレ
ーションを調整するための追加制御信号は制御ライン86
2 上に送出される。MCU 110 がMAU 112 の必要な読取り
アクセスを調整すると、2つの連続する64ビット幅デー
タ転送がMAU 112 から直接に命令用キャッシュ132 へ行
われる。データ・バス136 が好適アーキテクチャ100 に
おいて64ビット幅であるとすると、2転送が必要であ
る。要求したデータがMCU 110 を経由して返送されると
き、要求オペレーションが保留されていた期間に保持さ
れていた要求IDも、制御ライン862 を経由してCCU 106
へ返送される。
The CCU 106 implements a substantially conventional cache control function for the instruction cache 132 and the data cache 134. In the preferred architecture 100, the instruction cache 132 is a high speed memory capable of storing 256 128-bit wide instruction sets. The data cache 134 can store 1024 32-bit wide data words. Instructions and data requests that cannot be immediately satisfied from the contents of the instruction cache 132 and the data cache 134 are delivered to the MCU 110. If the instruction cache does not match (miss), a 28-bit wide physical address is sent to the MCU 110 via the address bus 860. The request ID and additional control signals for coordinating the operation of CCU 106 and MCU 100
2 Sent up. When the MCU 110 coordinates the required read access of the MAU 112, two consecutive 64-bit wide data transfers are made from the MAU 112 directly to the instruction cache 132. Assuming that data bus 136 is 64 bits wide in preferred architecture 100, two transfers are required. When the requested data is returned via MCU 110, the request ID held during the time the request operation was pending is also returned via control line 862 to CCU 106.
Will be returned to

【0169】データ用キャッシュ134 とMCU 110 との間
のデータ転送オペレーションは、命令用キャッシュの場
合のオペレーションとほぼ同じである。データ・ロード
とストア・オペレーションは単一バイトを参照できるの
で、全32ビット幅物理アドレスがアドレス・バス864 を
経由してMCU 110 へ送られる。インタフェース制御信号
と要求ExIDは制御ライン866 を経由して転送される。双
方向64ビット幅データ転送はデータ用キャッシュ・バス
138 を経由して行われる。
The data transfer operation between the data cache 134 and the MCU 110 is almost the same as the operation in the case of the instruction cache. Since data load and store operations can reference a single byte, the full 32-bit wide physical address is sent to MCU 110 via address bus 864. The interface control signal and the request ExID are transferred via the control line 866. Cache bus for data for bidirectional 64-bit data transfer
Via 138.

【0170】[0170]

【発明の効果】以上のように本発明によれば、命令を順
序外に実行することができ、メインとターゲット命令ス
トリームのプリフェッチ命令転送経路を別々に設け、お
よびプロシージャ命令確認と専用プリフェッチ経路を設
けることができる。命令実行ユニットは最適化されてい
るので、最適化された複数のデータ処理経路で整数、浮
動小数点およびブール演算をサポートすることができ、
またそれぞれの一時レジスタ・ファイルが設けられてい
るので、容易に設定されるマシン状態の状況を正確に維
持しながら、順序外の実行と命令取消しを容易に行うこ
とができる。
As described above, according to the present invention, the instructions can be executed out of order, the prefetch instruction transfer paths for the main and target instruction streams are separately provided, and the procedure instruction confirmation and dedicated prefetch paths are provided. Can be provided. The instruction execution unit is optimized so that it can support integer, floating point and Boolean operations in multiple optimized data processing paths,
Further, since each temporary register file is provided, out-of-order execution and instruction cancellation can be easily performed while accurately maintaining the easily set machine state.

【0171】従って、上述した説明では、本発明の好適
実施例を開示しているが、当業者にとって本発明の範囲
内で種々変更および改良することが可能であることは勿
論である。
Therefore, although the above description discloses the preferred embodiment of the present invention, it is obvious that those skilled in the art can make various changes and improvements within the scope of the present invention.

【図面の簡単な説明】[Brief description of the drawings]

【図1】 本発明のマイクロプロセッサ・ア−キテクチ
ャを示す簡略ブロック図である。
FIG. 1 is a simplified block diagram illustrating the microprocessor architecture of the present invention.

【図2】 本発明の命令フェッチ・ユニットを示す詳細
ブロック図である。
FIG. 2 is a detailed block diagram showing an instruction fetch unit of the present invention.

【図3】 本発明のプログラム・カウンタ・ロジック・
ユニットを示すブロック図である。
FIG. 3 shows the program counter logic of the present invention.
It is a block diagram showing a unit.

【図4】 プログラム・カウンタ・デ−タと制御経路ロ
ジックを示す別の詳細ブロック図である。
FIG. 4 is another detailed block diagram showing program counter data and control path logic.

【図5】 本発明の命令実行ユニットを示す簡略ブロッ
ク図である。
FIG. 5 is a simplified block diagram showing an instruction execution unit of the present invention.

【図6】 レジスタ・ファイルと命令セットを説明する
図である。
FIG. 6 is a diagram illustrating a register file and an instruction set.

【図7】 1次整数レジスタ・セットの再構成可能ステ
−トを示す図である。
FIG. 7 illustrates the reconfigurable states of a primary integer register set.

【図8】 浮動小数点および2次整数レジスタ・セット
を示す図である。
FIG. 8 illustrates a floating point and secondary integer register set.

【図9】 3次ブ−ル・レジスタ・セットを示す図であ
る。
FIG. 9 illustrates a tertiary bull register set.

【図10】 命令実行ユニットの1次整数処理デ−タ経
路部分を示す詳細ブロック図である。
FIG. 10 is a detailed block diagram showing a primary integer processing data path portion of the instruction execution unit.

【図11】 命令実行ユニットの1次浮動小数点デ−タ
経路部分を示す詳細ブロック図である。
FIG. 11 is a detailed block diagram showing a primary floating-point data path portion of the instruction execution unit.

【図12】 命令実行ユニットのブ−ル演算デ−タ経路
部分を示す詳細ブロック図である。
FIG. 12 is a detailed block diagram showing a bull operation data path portion of the instruction execution unit.

【図13】 ロ−ド/ストア・ユニットを示す詳細ブロ
ック図である。
FIG. 13 is a detailed block diagram showing a load / store unit.

【図14】 複数の命令を実行する際のオペレ−ション
・シ−ケンスを示すタイミング図である。
FIG. 14 is a timing chart showing an operation sequence when executing a plurality of instructions.

【図15】 仮想メモリ制御ユニットを示す簡略ブロッ
ク図である。
FIG. 15 is a simplified block diagram showing a virtual memory control unit.

【図16】 仮想メモリ制御アルゴリズムを図形で示す
図である。
FIG. 16 is a diagram showing a virtual memory control algorithm in graphic form.

【図17】 キャッシュ制御ユニットを示す簡略ブロッ
ク図である。
FIG. 17 is a simplified block diagram showing a cache control unit.

【符号の説明】[Explanation of symbols]

100…アーキテクチャの概要、102…命令フェッチ
・ユニット(IFU)104…命令実行ユニット(IE
U)、106…キャッシュ制御ユニット(CUU)、1
08…仮想メモリ・ユニット(VMU)、110…メモ
リ制御ユニット(MCU)、112…メモリ・アレイ・
ユニット(MAU)。
100: Outline of architecture, 102: Instruction fetch unit (IFU) 104: Instruction execution unit (IE)
U), 106: Cache control unit (CUU), 1
08: virtual memory unit (VMU), 110: memory control unit (MCU), 112: memory array
Unit (MAU).

───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) G06F 9/32 310 G06F 9/32 310J 9/355 9/36 320 (72)発明者 ミヤヤマ,ヨシユキ アメリカ合衆国 95050 カリフォルニア 州 サンタ クララ ランチョ マコーミ ック ブールバード 2171 (72)発明者 ガルグ,サンジブ アメリカ合衆国 94539 カリフォルニア 州 フリーモント センティネル ドライ ブ 46820 (72)発明者 ハギワラ,ヤスアキ アメリカ合衆国 95050 カリフォルニア 州 サンタ クララ モンロー ストリー ト 2250 アパート 274 (72)発明者 ワン,ジョハネス アメリカ合衆国 94062 カリフォルニア 州 レッドウッド シティ キング スト リート 25 (72)発明者 ラウ,ティーリ アメリカ合衆国 94306 カリフォルニア 州 パロ アルト カレッジ アヴェニュ ー 411 アパート イー (72)発明者 トラン,クワン エイチ. アメリカ合衆国 95130 カリフォルニア 州 サン ノゼ メイフィールド アヴェ ニュー 2045──────────────────────────────────────────────────続 き Continued on the front page (51) Int.Cl. 7 Identification symbol FI Theme coat ゛ (Reference) G06F 9/32 310 G06F 9/32 310J 9/355 9/36 320 (72) Inventor Miyayama, Yoshiyuki United States 95050 Santa Clara, California Rancho McCormick Boulevard 2171 (72) Inventor Garg, Sanjib United States 94539 Fremont, Sentinel Drive, California 46820 (72) Inventor Hagiwara, Yasuki United States 95050 Santa Clara, Monroe Street 2250, California Apartment 274 (274) 72) Inventor One, Johannes United States 94062 Redwood City, California King Street 25 (72) Inventor Lau , Tiri United States 94306 Palo Alto College Avenue 411, California 411 Apartment E (72) Inventor Tran, Kwan H. United States 95130 San Jose Mayfield Avenue, California 2045

Claims (20)

【特許請求の範囲】[Claims] 【請求項1】 指定されたプログラム順序で命令を実行
するためのスーパースカラ処理システムであって、 命令セットに対応するレジスタデータを格納するための
一時バッファとレジスタファイルアレイとを含むレジス
タファイルと、 指定プログラム順序とは無関係に、前記命令セットに対
応する複数の作業を実行し、作業完了時に前記命令セッ
トに対応する複数の結果を生成する複数の機能ユニット
と、 前記レジスタデータを前記レジスタファイルから前記複
数の機能ユニットへ同時に転送するための第1手段と、 前記複数の結果を同時に配分するための第2手段と、と
を備え、 前記作業の前記所定の1つが指定プログラム順序外で行
われるとき、前記一時バッファが前記第2手段から前記
作業の所定の1つに対応する前記結果の1つを受取り、 前記作業の前記所定の1つが指定プログラム順序に従っ
て完了するとき、前記レジスタファイルアレイが前記第
2手段から前記作業の前記所定の1つの前記結果を受取
り、前記一時バッファ手段をバイパスすることを特徴と
するスーパースカラ処理システム。
1. A superscalar processing system for executing instructions in a specified program order, comprising: a register file including a temporary buffer for storing register data corresponding to an instruction set and a register file array; Irrespective of the designated program order, a plurality of functional units for executing a plurality of operations corresponding to the instruction set and generating a plurality of results corresponding to the instruction set upon completion of the operation; and A first means for simultaneously transferring to the plurality of functional units; and a second means for simultaneously distributing the plurality of results, wherein the predetermined one of the operations is performed out of a designated program order. When said temporary buffer stores one of said results corresponding to a predetermined one of said operations from said second means. Taking, when said predetermined one of said operations is completed according to a specified program order, said register file array receiving said result of said predetermined one of said operations from said second means and bypassing said temporary buffer means. Characterized super scalar processing system.
【請求項2】 前記レジスタファイルが整数レジスタフ
ァイルと浮動小数点レジスタファイルを含み、前記機能
ユニットが整数機能ユニットと浮動小数点機能ユニット
を含み、前記第1手段がデータを浮動小数点レジスタフ
ァイルから整数機能ユニットへ転送することを特徴とす
る請求項1記載のスーパースカラ処理システム。
2. The register file includes an integer register file and a floating point register file, the functional unit includes an integer functional unit and a floating point functional unit, and the first means transfers data from the floating point register file to the integer functional unit. The super scalar processing system according to claim 1, wherein the data is transferred to a super scalar processing system.
【請求項3】 指定されたプログラム順序で命令を実行
するためのスーパースカラ処理システムであって、 命令ストアから複数の命令を同時にフェッチする命令フ
ェッチ手段と、 前記命令フェッチ手段によりフェッチされた命令セット
に対応するレジスタデータを格納するためのレジスタフ
ァイルアレイと一時バッファとを含むレジスタファイル
と、 指定プログラム順序とは無関係に、前記命令セットに対
応する複数の作業を実行し、複数の作業の完了時に前記
命令セットに対応する複数の結果を生成する複数の機能
ユニットと、 前記レジスタデータを前記レジスタファイルから前記複
数の機能ユニットへ同時に転送するためのレジスタファ
イル出力バスと、 入力選択手段と、 前記複数の結果を前記入力選択手段へ同時に配分する出
力バスと、を備え、 前記作業の前記所定の1つが指定プログラム順序外で行
われるときは、前記一時バッファが前記入力選択手段か
ら前記作業の所定の1つに対応する前記結果の1つを受
取り、前記作業の前記所定の1つが指定プログラム順序
に従って完了するときは前記レジスタファイルアレイが
前記入力選択手段から前記作業の前記所定の1つの前記
結果を受取り、前記一時バッファ手段をバイパスするこ
とを特徴とするスーパースカラ処理システム。
3. A superscalar processing system for executing instructions in a designated program order, comprising: an instruction fetch unit for simultaneously fetching a plurality of instructions from an instruction store; and an instruction set fetched by the instruction fetch unit. A register file including a register file array and a temporary buffer for storing register data corresponding to the above, executing a plurality of operations corresponding to the instruction set regardless of a designated program order, and upon completion of the plurality of operations, A plurality of functional units for generating a plurality of results corresponding to the instruction set; a register file output bus for simultaneously transferring the register data from the register file to the plurality of functional units; an input selecting unit; Output bus for simultaneously distributing the result of And when the predetermined one of the operations is performed out of a designated program order, the temporary buffer receives one of the results corresponding to the predetermined one of the operations from the input selection means, The register file array receives the result of the predetermined one of the operations from the input selection means when the predetermined one of the operations is completed according to a specified program order, and bypasses the temporary buffer means. Super scalar processing system.
【請求項4】 前記複数の命令が前記指定プログラム順
序外でフェッチされることを特徴とする請求項3記載の
スーパースカラ処理システム。
4. The superscalar processing system according to claim 3, wherein said plurality of instructions are fetched out of said designated program order.
【請求項5】 条件付き分岐命令がフェッチされた後、
前記命令フェッチ手段部が2つの可能な命令ストリーム
をフェッチすることを特徴とする請求項3記載のスーパ
ースカラ処理システム。
5. After the conditional branch instruction is fetched,
4. A superscalar processing system according to claim 3, wherein said instruction fetch means fetches two possible instruction streams.
【請求項6】 指定プログラム順序で複数の命令を同時
にデコードするデコーダを含み、前記複数の命令のそれ
ぞれの特殊な性質と機能を識別する制御情報を提供する
ことを特徴とする請求項3記載のスーパースカラ処理シ
ステム。
6. The control method according to claim 3, further comprising a decoder for simultaneously decoding a plurality of instructions in a designated program order, and providing control information for identifying a special property and function of each of the plurality of instructions. Super scalar processing system.
【請求項7】 前記命令ストアが命令キャッシュである
ことを特徴とする請求項3記載のスーパースカラ処理シ
ステム。
7. The superscalar processing system according to claim 3, wherein said instruction store is an instruction cache.
【請求項8】 前記命令フェッチ手段が、前記命令スト
アから4つの命令を同時にフェッチすることを特徴とす
る請求項3記載のスーパースカラ処理システム。
8. The superscalar processing system according to claim 3, wherein said instruction fetch means fetches four instructions from said instruction store at the same time.
【請求項9】 さらに、命令バッファを含み、前記命令
フェッチ手段によりフェッチされた前記命令を格納する
ことを特徴とする請求項3記載のスーパースカラ処理シ
ステム。
9. The superscalar processing system according to claim 3, further comprising an instruction buffer, wherein said instruction fetched by said instruction fetch means is stored.
【請求項10】 指定されたプログラム順序で命令を実
行するためのスーパースカラ処理システムであって、 命令セットに対応するレジスタデータを格納するための
一時バッファとレジスタファイルアレイとを含むレジス
タファイルと、 指定プログラム順序とは無関係に、前記命令セットに対
応する複数の作業を実行し、作業完了時に前記命令セッ
トに対応する複数の結果を生成する複数の機能ユニット
と、 前記レジスタデータを前記レジスタファイルから前記複
数の機能ユニットへ同時に転送するためのレジスタファ
イル出力バスと、 前記複数の結果を同時に配分するための出力バスと、を
備え、 前記作業の前記所定の1つが指定プログラム順序外で行
われるときは、前記一時バッファが前記作業の所定の1
つに対応する前記結果の1つを受取り、前記作業の前記
所定の1つが指定プロ グラム順序に従って完了すると
きは、前記レジスタファイルアレイが前記作業の前記所
定の1つの前記結果を受取り、前記一時バッファ手段を
バイパスすることを特徴とするスーパースカラ処理シス
テム。
10. A superscalar processing system for executing instructions in a specified program order, comprising: a register file including a temporary buffer for storing register data corresponding to an instruction set and a register file array; Irrespective of the designated program order, a plurality of functional units for executing a plurality of operations corresponding to the instruction set and generating a plurality of results corresponding to the instruction set upon completion of the operation; and A register file output bus for simultaneous transfer to the plurality of functional units; and an output bus for simultaneously distributing the plurality of results, wherein the predetermined one of the operations is performed out of a designated program order. Means that the temporary buffer is
Receiving one of said results corresponding to one of said operations and said register file array receiving said result of said predetermined one of said operations when said predetermined one of said operations is completed according to a specified program order. A super scalar processing system characterized by bypassing a buffer means.
【請求項11】 前記レジスタファイルが整数レジスタ
ファイルと浮動小数点レジスタファイルを含み、前記機
能ユニットが整数機能ユニットと浮動小数点機能ユニッ
トを含み、前記レジスタファイル出力バスがレジスタデ
ータを前記浮動小数点レジスタファイルから前記整数機
能ユニットへ転送することを特徴とする請求項10記載
のスーパースカラ処理システム。
11. The register file includes an integer register file and a floating point register file, the functional unit includes an integer functional unit and a floating point functional unit, and the register file output bus transfers register data from the floating point register file. The super scalar processing system according to claim 10, wherein the transfer is performed to the integer functional unit.
【請求項12】 さらにロード/ストアユニットを含
み、前記出力バスがさらに結果を前記ロード/ストアユ
ニットへ配分し、前記ロード/ストアユニットが前記配
分結果をメモリ装置に格納することを特徴とする請求項
10記載のスーパースカラ処理システム。
12. The system of claim 11, further comprising a load / store unit, wherein said output bus further distributes results to said load / store unit, said load / store unit storing said distribution results in a memory device. Item 11. A superscalar processing system according to item 10.
【請求項13】 データの保全性(整合性、一体性)を
保証するために、前記配分結果を前記メモリ装置に格納
する前に、前記ロード/ストアユニットが前記配分結果
をバッファすることを特徴とする請求項12記載のスー
パースカラ処理システム。
13. The load / store unit buffers the allocation result before storing the allocation result in the memory device to ensure data integrity (consistency, integrity). The super scalar processing system according to claim 12, wherein
【請求項14】 命令を指定プログラム順序で実行する
スーパースカラ処理システムにおけるデータ処理方法で
あって、 命令セットに対応するレジスタデータを一時バッファと
レジスタファイルアレイを含むレジスタファイルに記憶
する段階と、 前記レジスタデータを複数の機能ユニットへ同時に転送
する段階と、 前記複数の機能ユニットを用いて、前記指定プログラム
順序とは無関係に、前記命令セットに対応する複数の作
業を実行する段階と、 前記複数の作業の完了時に、前記命令セットに対応する
複数の結果を生成する段階と、 前記複数の結果を同時に配分する段階と、 前記作業の所定の1つが、指定プログラム順序外で行わ
れるときは、前記作業の所定の1つに対応する結果を前
記一時バッファに格納する段階と、 前記作業の所定の1つが、指定プログラム順序に従って
行われるときは、前記作業の所定の1つに対応する結果
を前記レジスタファイルアレイに格納する段階とからな
るデータ処理方法。
14. A data processing method in a superscalar processing system for executing instructions in a designated program order, the method comprising: storing register data corresponding to an instruction set in a register file including a temporary buffer and a register file array; Simultaneously transferring register data to a plurality of functional units; executing a plurality of operations corresponding to the instruction set using the plurality of functional units, independently of the designated program order; Generating a plurality of results corresponding to the instruction set when the work is completed; allocating the plurality of results simultaneously; and when a predetermined one of the works is performed out of a specified program order, Storing in the temporary buffer a result corresponding to a predetermined one of the tasks; One of, when performed in accordance with specified program order, a data processing method comprising the step of storing a result corresponding to a given one of the tasks in the register file array.
【請求項15】 結果をロード/ストアユニットへ配分
する段階をさらに含むことを特徴とする請求項14記載
のデータ処理方法。
15. The method of claim 14, further comprising the step of distributing the results to load / store units.
【請求項16】 前記配分結果を前記ロード/ストアユ
ニットにバッファする段階をさらに含むことを 特徴と
する請求項15記載のデータ処理方法。
16. The data processing method according to claim 15, further comprising the step of buffering the distribution result in the load / store unit.
【請求項17】 前記配分結果をメモリ部装置に記憶す
る段階をさらに含むことを特徴とする、請求項16記載
のデータ処理方法。
17. The data processing method according to claim 16, further comprising storing the distribution result in a memory unit.
【請求項18】 命令ストアから1セットの命令を同時
にフェッチする段階をさらに含むことを特徴とする請求
項14記載のデータ処理方法。
18. The data processing method according to claim 14, further comprising the step of simultaneously fetching a set of instructions from an instruction store.
【請求項19】 前記1セットの命令が、前記指定プロ
グラム順序に関して順序に従わずにフェッチされること
を特徴とする請求項18記載のデータ処理方法。
19. The method of claim 18, wherein the set of instructions is fetched out of order with respect to the specified program order.
【請求項20】 条件付き分岐命令の後、2つの可能な
命令ストリームをフェッチする段階をさらに含むことを
特徴とする請求項14記載のデータ処理方法。
20. The method of claim 14, further comprising fetching two possible instruction streams after the conditional branch instruction.
JP11192703A 1999-07-07 1999-07-07 Super scalar processing system and data processing method Pending JP2000029698A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP11192703A JP2000029698A (en) 1999-07-07 1999-07-07 Super scalar processing system and data processing method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP11192703A JP2000029698A (en) 1999-07-07 1999-07-07 Super scalar processing system and data processing method

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP50215393A Division JP3441071B2 (en) 1991-07-08 1992-07-07 Microprocessor and data processing device

Publications (1)

Publication Number Publication Date
JP2000029698A true JP2000029698A (en) 2000-01-28

Family

ID=16295654

Family Applications (1)

Application Number Title Priority Date Filing Date
JP11192703A Pending JP2000029698A (en) 1999-07-07 1999-07-07 Super scalar processing system and data processing method

Country Status (1)

Country Link
JP (1) JP2000029698A (en)

Similar Documents

Publication Publication Date Title
JP3552995B2 (en) Data processing device
JP3654139B2 (en) Superscalar microprocessor and data processing device
JP3744534B2 (en) Super scalar processor and data processing apparatus
JP2000039996A (en) Superscalar processing system and data processing method
JP2000029698A (en) Super scalar processing system and data processing method
JP2000029697A (en) Super scalar processor, data processing method and computer system
JP2000122865A (en) Instruction executing processing method
JP2000122867A (en) Trap processing method
JP2000122866A (en) Data processor