JP2000091417A - Semiconductor device and its manufacture - Google Patents

Semiconductor device and its manufacture

Info

Publication number
JP2000091417A
JP2000091417A JP10255584A JP25558498A JP2000091417A JP 2000091417 A JP2000091417 A JP 2000091417A JP 10255584 A JP10255584 A JP 10255584A JP 25558498 A JP25558498 A JP 25558498A JP 2000091417 A JP2000091417 A JP 2000091417A
Authority
JP
Japan
Prior art keywords
forming
trench
semiconductor
region
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP10255584A
Other languages
Japanese (ja)
Inventor
Hirobumi Sumi
博文 角
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sony Corp
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Priority to JP10255584A priority Critical patent/JP2000091417A/en
Publication of JP2000091417A publication Critical patent/JP2000091417A/en
Pending legal-status Critical Current

Links

Landscapes

  • Element Separation (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Bipolar Integrated Circuits (AREA)
  • Semiconductor Memories (AREA)
  • Solid State Image Pick-Up Elements (AREA)

Abstract

PROBLEM TO BE SOLVED: To provide a semiconductor device, in which element isolation is made by shallow trench isolation(STI) and the resistance of which is reduced by solving the problem of junction leakage caused by recesses in the edge sections of trenches and another problem of the condensation of silicide, and to provide a method of manufacturing of the device. SOLUTION: A semiconductor device has a plurality of element-forming regions, which are isolated from each other with element-forming regions 17. The element isolation regions 17 are formed as trenches filled up with insulating films 19, and an impurity having the same conductivity as that of the charge carrier of semiconductor elements formed in the element-forming regions is diffused in the upper end sections 23 of the interfaces between the element forming regions and trenches.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、半導体装置および
その製造方法に関し、特に、STIのエッジ部の窪みに
起因した接合リークの問題が解消され、さらに、凝集し
にくく薄膜化されたサリサイドを形成することにより低
抵抗化され、微細化および高速化が実現された半導体装
置およびその製造方法に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a semiconductor device and a method of manufacturing the same, and more particularly, to a method of forming a salicide in which the problem of junction leakage due to a dent at the edge of an STI is solved and which is hardly aggregated and thinned. The present invention relates to a semiconductor device in which the resistance is reduced, miniaturization and speeding up are realized, and a method of manufacturing the same.

【0002】[0002]

【従来の技術】半導体素子の微細化に伴い、トランジス
タに形成される不純物拡散層は、ますますシャロー化す
る傾向がある。また、ゲート配線幅が縮小化しているた
め、短チャネル効果を防止し、ソース/ドレイン間の耐
圧を確保するためには、拡散層を浅く形成する必要があ
る。例えば、ゲート配線幅0.25μmに対して、拡散
層の深さは0.08μm以下とする必要がある。拡散層
のシャロー化に伴って、ソース/ドレインのシート抵抗
は増大する。その結果、素子の応答速度が低下するとい
う問題もある。ゲート遅延時間をτpdとすると、動作周
波数fは1/τpdに比例するため、動作周波数の向上が
望めなくなる。このような構造はマイクロプロセッサ、
特に高速動作を要求されるMPU等には不利となる。
2. Description of the Related Art With the miniaturization of semiconductor elements, impurity diffusion layers formed in transistors tend to be increasingly shallow. Further, since the width of the gate wiring is reduced, it is necessary to form the diffusion layer shallowly in order to prevent the short channel effect and secure the breakdown voltage between the source and the drain. For example, for a gate wiring width of 0.25 μm, the depth of the diffusion layer needs to be 0.08 μm or less. As the diffusion layer becomes shallower, the sheet resistance of the source / drain increases. As a result, there is a problem that the response speed of the element is reduced. Assuming that the gate delay time is τ pd , the operating frequency f is proportional to 1 / τ pd , so that improvement of the operating frequency cannot be expected. Such a structure is a microprocessor,
This is particularly disadvantageous for MPUs and the like that require high-speed operation.

【0003】そこで、上記のような抵抗増大による動作
速度の低下に対する対策として、ソース/ドレイン上に
のみ選択的に低抵抗のシリサイド、例えばTiSi2
CoSi2 等を形成するSALICIDE(self−
aligned silicide)技術が注目されて
いる。従来のMOS LSI製造工程例について、図3
4を参照して以下に説明する。
[0003] Therefore, as a countermeasure against reduction in operation speed due to the above-mentioned increase in resistance, the source / selective low resistance silicide only on the drain, for example, TiSi 2 and CoSi 2, etc. SALICIDE (self- extracting
Aligned silicide technology is attracting attention. FIG. 3 shows an example of a conventional MOS LSI manufacturing process.
4 will be described below.

【0004】まず、図34(A)に示すように、シリコ
ン窒化膜(不図示)をマスクとしてシリコン基板1を熱
酸化することにより、素子分離層(LOCOS)2を形
成する。LOCOS2によって相互に隔てられた素子形
成領域(アクティブ領域)上に、ゲート絶縁膜3を介し
て、例えばポリシリコンからなるゲート電極4を形成す
る。さらに、ソース/ドレイン領域5に比較して低濃度
の不純物を拡散させ、LDD領域(lightly−d
oped drain)6を形成する。ゲート電極に絶
縁体からなるサイドウォール7を形成してから、サイド
ウォール7をマスクとして不純物を拡散させ、ソース/
ドレイン領域5を形成する。
First, as shown in FIG. 34A, an element isolation layer (LOCOS) 2 is formed by thermally oxidizing a silicon substrate 1 using a silicon nitride film (not shown) as a mask. A gate electrode 4 made of, for example, polysilicon is formed on a device forming region (active region) separated from each other by the LOCOS 2 via a gate insulating film 3. Further, an impurity having a lower concentration than the source / drain region 5 is diffused, and the LDD region (lightly-d
(Operated drain) 6 is formed. After a sidewall 7 made of an insulator is formed on the gate electrode, impurities are diffused using the sidewall 7 as a mask,
The drain region 5 is formed.

【0005】次に、図34(B)に示すように、フッ酸
処理を行ってソース/ドレイン領域5上の自然酸化膜を
完全に除去する。その後、例えばスパッタリングによ
り、全面にTi層(不図示)を成膜する。熱処理を行っ
てTiをシリサイド化させ、ソース/ドレイン領域5お
よびゲート電極4上に選択的に、低抵抗のチタンシリサ
イド層(TiSi2 層)8を形成する。さらに、アンモ
ニア/過酸化水素水等に浸漬させることにより、絶縁膜
上の未反応のTiのみ選択的に除去する。
Next, as shown in FIG. 34B, a natural oxide film on the source / drain regions 5 is completely removed by performing a hydrofluoric acid treatment. Thereafter, a Ti layer (not shown) is formed on the entire surface by, for example, sputtering. A heat treatment is performed to silicify Ti, and a low-resistance titanium silicide layer (TiSi 2 layer) 8 is selectively formed on source / drain region 5 and gate electrode 4. Further, only the unreacted Ti on the insulating film is selectively removed by dipping in ammonia / hydrogen peroxide solution or the like.

【0006】図34(C)に示すように、MOSトラン
ジスタの上層に層間絶縁膜9を堆積させ、層間絶縁膜9
にコンタクトホール10を形成する。コンタクトホール
10内に、バリアメタル層11を介して、例えばタング
ステンプラグ12を埋め込み、その上層に、例えばAl
−Si等のAl系合金からなる金属配線層13を成膜
し、金属配線層13のパターニングを行うことにより、
配線領域を形成する。上記のプロセス例によれば、ソー
ス/ドレイン領域5およびゲート電極4上に低抵抗シリ
サイド層8が形成されるため、ソース/ドレイン領域5
およびゲート電極4の抵抗を、従来の半導体素子に比較
して1桁程度、低減できる。
As shown in FIG. 34C, an interlayer insulating film 9 is deposited on the upper layer of the MOS transistor.
Then, a contact hole 10 is formed. For example, a tungsten plug 12 is buried in the contact hole 10 via a barrier metal layer 11, and an upper layer such as Al
By forming a metal wiring layer 13 made of an Al-based alloy such as -Si and patterning the metal wiring layer 13,
A wiring region is formed. According to the above process example, since the low-resistance silicide layer 8 is formed on the source / drain region 5 and the gate electrode 4, the source / drain region 5
In addition, the resistance of the gate electrode 4 can be reduced by about one digit as compared with a conventional semiconductor device.

【0007】[0007]

【発明が解決しようとする課題】しかしながら、素子の
微細化に伴って拡散層領域も微細化されると、狭部のソ
ース/ドレイン領域上に高融点金属シリサイド層を形成
することが必要となる。狭部にTiSi2 を形成させる
とTiSi2 が凝集することが報告されており、結果的
に、ソース/ドレイン領域の抵抗の低減が望めなくな
る。また、拡散層のシャロー化に伴い、SALICID
Eにより形成されるシリサイド層の薄膜化も必要とな
る。しかしながら、シリサイド層を薄膜化することによ
ってもシリサイドの凝集が起こりやすくなり、シート抵
抗を低減化する上で妨げとなる。以上のことから、狭部
においても凝集が起こりにくく、薄膜化されたシリサイ
ド層を形成できるSALICIDE技術の開発が望まれ
ている。
However, when the diffusion layer region is miniaturized with miniaturization of the element, it is necessary to form a high melting point metal silicide layer on the narrow source / drain region. . It has been reported that TiSi 2 aggregates when TiSi 2 is formed in a narrow portion, and as a result, reduction in resistance of source / drain regions cannot be expected. Also, with the shallower diffusion layer, SALICID
It is also necessary to reduce the thickness of the silicide layer formed by E. However, even when the silicide layer is thinned, aggregation of the silicide easily occurs, which hinders reduction of the sheet resistance. From the above, there is a demand for the development of a SALICIDE technique that hardly causes aggregation even in a narrow portion and can form a thin silicide layer.

【0008】一方、図34に示すようなLOCOSを用
いた従来の素子分離法には、素子を微細化するとバーズ
ビークにより素子分離層(LOCOS)の占有面積が増
大してしまうという問題がある。そのため、近年、シャ
ロートレンチアイソレーション(STI)を用いた素子
分離法が注目されている。図35〜図37にSTIを形
成して素子分離を行い、STIにより相互に隔てられた
アクティブ領域上にMOSトランジスタを形成するプロ
セス例を示す。
On the other hand, the conventional element isolation method using LOCOS as shown in FIG. 34 has a problem that when the element is miniaturized, the area occupied by the element isolation layer (LOCOS) increases due to bird's beak. Therefore, in recent years, an element isolation method using shallow trench isolation (STI) has attracted attention. FIGS. 35 to 37 show an example of a process of forming an STI to perform element isolation and forming a MOS transistor on an active region separated from each other by the STI.

【0009】まず、図35(A)に示すように、シリコ
ン基板1上にパッド酸化膜(犠牲膜)14として、膜厚
10nm程度の熱酸化膜を形成する。その上層に、例え
ば減圧CVD法により、膜厚150nm程度のシリコン
窒化膜(SiN膜)15を形成する。
First, as shown in FIG. 35A, a thermal oxide film having a thickness of about 10 nm is formed as a pad oxide film (sacrifice film) 14 on the silicon substrate 1. A silicon nitride film (SiN film) 15 having a thickness of about 150 nm is formed as an upper layer by, for example, a low pressure CVD method.

【0010】次に、図35(B)に示すように、全面に
レジスト16を堆積させてから、レジスト16のパター
ニングを行う。レジスト16をマスクとしてシリコン基
板1のエッチングを行い、トレンチ17を形成する。続
いて、図36(A)に示すように、トレンチ17の内壁
に膜厚10nm程度の薄い熱酸化膜18を形成した後、
トレンチ17を埋め込むように膜厚600nm程度の酸
化膜19を堆積させる。埋め込み酸化膜19は、例えば
ECR(電子サイクロトロン)プラズマ装置等、高密度
プラズマ(HDP;high density pla
sma)を発生させることが可能な装置を用いて形成す
る。
Next, as shown in FIG. 35B, a resist 16 is deposited on the entire surface, and then the resist 16 is patterned. Using the resist 16 as a mask, the silicon substrate 1 is etched to form a trench 17. Subsequently, as shown in FIG. 36A, after a thin thermal oxide film 18 having a thickness of about 10 nm is formed on the inner wall of the trench 17,
An oxide film 19 having a thickness of about 600 nm is deposited so as to fill the trench 17. The buried oxide film 19 is formed of a high-density plasma (HDP) such as an ECR (Electron Cyclotron) plasma device.
It is formed using a device capable of generating sma).

【0011】酸化膜19は広いアクティブ領域20の上
部に、比較的厚く堆積されるため、CMP(化学的機械
研磨)による平坦化を行う前に、図36(B)に示すよ
うに、予め広いアクティブ領域20上の酸化膜19のみ
エッチング除去しておく。図37(A)に示すように、
CMPを行ってトレンチ17内にのみ酸化膜19を残
す。図37(B)に示すように、SiN膜15をホット
リン酸により除去してから、パッド酸化膜14をフッ酸
を用いたライトエッチングで除去する。
Since the oxide film 19 is relatively thickly deposited on the upper portion of the wide active region 20, before the planarization by CMP (chemical mechanical polishing), as shown in FIG. Only the oxide film 19 on the active region 20 is removed by etching. As shown in FIG.
The oxide film 19 is left only in the trench 17 by performing CMP. As shown in FIG. 37B, the SiN film 15 is removed by hot phosphoric acid, and then the pad oxide film 14 is removed by light etching using hydrofluoric acid.

【0012】続いて、シリコン基板1表面に熱酸化によ
り酸化膜(不図示)を形成し、pウェルまたはnウェル
形成のためのイオン注入を行ってから、酸化膜をエッチ
ングにより除去すると、図37(C)に示すように、ト
レンチ17のエッジ部分に窪み(落ち込み)21が発生
する。
Subsequently, an oxide film (not shown) is formed on the surface of the silicon substrate 1 by thermal oxidation, ions are implanted for forming a p-well or an n-well, and the oxide film is removed by etching. As shown in (C), a dent (dip) 21 occurs at the edge of the trench 17.

【0013】トレンチのエッジ部分が窪んだ状態で、ア
クティブ領域にトランジスタを形成し、ソース/ドレイ
ン領域上にサリサイドを形成すると、トレンチのエッジ
部分でサリサイドが過剰成長して接合リークの要因とな
る。また、トレンチのエッジ部分には膜ストレスや結晶
欠陥が集中しやすいため、これらの要因によっても接合
リークが増大する。このような接合リークにより、例え
ばDRAMの場合には記憶保持特性が低下するといった
問題が発生する。
If a transistor is formed in the active region and a salicide is formed on the source / drain region in a state where the edge of the trench is depressed, the salicide grows excessively at the edge of the trench and causes a junction leak. In addition, since film stress and crystal defects are likely to be concentrated at the edge of the trench, junction leakage also increases due to these factors. Such a junction leak causes a problem that, for example, in the case of a DRAM, the storage retention characteristics are deteriorated.

【0014】本発明は上記の問題点を鑑みてなされたも
のであり、したがって本発明は、STIにより素子分離
がなされた半導体装置において、トレンチのエッジ部の
窪みに起因した接合リークの問題が解消された半導体装
置およびその製造方法を提供することを目的とする。ま
た、本発明は、狭いアクティブ領域上においてもシリサ
イドの凝集が起こりにくく、かつ薄膜化されたサリサイ
ドを形成して低抵抗化させることにより、高速化かつ微
細化された半導体装置およびその製造方法を提供するこ
とを目的とする。
The present invention has been made in view of the above problems, and therefore, the present invention eliminates the problem of junction leakage caused by a depression at the edge of a trench in a semiconductor device in which elements are separated by STI. It is an object of the present invention to provide a semiconductor device and a method for manufacturing the same. Further, the present invention provides a semiconductor device which has a high speed and is miniaturized by forming a thinned salicide to reduce the resistance by hardly causing agglomeration of silicide even on a narrow active region, and a method of manufacturing the same. The purpose is to provide.

【0015】[0015]

【課題を解決するための手段】上記の目的を達成するた
め、本発明の半導体装置は、半導体基板上に、素子分離
領域により相互に隔てられた、複数の素子形成領域を有
する半導体装置において、前記素子分離領域は、半導体
基板表面に形成された、絶縁膜が埋め込まれた素子分離
用溝(トレンチ)であり、前記素子形成領域の前記トレ
ンチとの界面の上端部に、前記素子形成領域に形成され
ている半導体素子の電荷キャリアと導電型が同一であ
る、第1導電型不純物が拡散されていることを特徴とす
る。本発明の半導体装置は、好適には、前記半導体基板
の前記トレンチ底部および側壁部に接する部分に、前記
半導体素子の電荷キャリアと導電型が逆である第2導電
型不純物が拡散されていることを特徴とする。本発明の
半導体装置は好適には、前記半導体素子の少なくとも1
つは、nチャネル型電界効果トランジスタであり、前記
半導体素子の少なくとも1つは、pチャネル型電界効果
トランジスタであることを特徴とする。
In order to achieve the above object, a semiconductor device according to the present invention comprises a semiconductor substrate having a plurality of element formation regions separated from each other by element isolation regions on a semiconductor substrate. The element isolation region is an element isolation groove (trench) formed on the surface of the semiconductor substrate and having an insulating film embedded therein. The element isolation region is formed at an upper end of an interface between the element formation region and the trench. A first conductivity type impurity having the same conductivity type as that of the charge carrier of the formed semiconductor element is diffused. In the semiconductor device of the present invention, preferably, a second conductivity type impurity having a conductivity type opposite to that of the charge carrier of the semiconductor element is diffused in a portion of the semiconductor substrate which is in contact with the trench bottom and the side wall. It is characterized by. The semiconductor device of the present invention is preferably configured such that at least one of the semiconductor elements is provided.
One is an n-channel field-effect transistor, and at least one of the semiconductor elements is a p-channel field-effect transistor.

【0016】これにより、トレンチのエッジ部に形成さ
れる窪みの近傍に、ソース/ドレイン領域と導電型が同
じ不純物が拡散され、窪みに起因する接合リークが抑制
される。また、トレンチの底部および側壁部に、ソース
/ドレイン領域と導電型が逆である不純物を拡散させる
と、より十分な素子分離がなされるため、トレンチのエ
ッジ部における接合リークをさらに抑制することができ
る。
Thus, the impurity having the same conductivity type as the source / drain region is diffused in the vicinity of the dent formed at the edge of the trench, and the junction leak caused by the dent is suppressed. In addition, when impurities having a conductivity type opposite to that of the source / drain region are diffused into the bottom and side walls of the trench, more sufficient element isolation is performed, so that a junction leak at the edge of the trench can be further suppressed. it can.

【0017】また、本発明の半導体装置は、半導体基板
上に、素子分離領域により相互に隔てられた複数の素子
形成領域を有する半導体装置において、前記素子分離領
域は、半導体基板表面に形成された絶縁膜が埋め込まれ
たトレンチであり、前記素子形成領域の前記トレンチ側
壁との界面に、前記素子形成領域に形成されている半導
体素子の電荷キャリアと導電型が同一である、第1導電
型不純物が拡散されていることを特徴とする。本発明の
半導体装置は、好適には、前記半導体基板の前記トレン
チ底部および側壁部に接する部分に、前記半導体素子の
電荷キャリアと導電型が逆である第2導電型不純物が拡
散されていることを特徴とする。
Further, according to the semiconductor device of the present invention, in a semiconductor device having a plurality of element formation regions separated from each other by an element isolation region on a semiconductor substrate, the element isolation region is formed on a surface of the semiconductor substrate. A first conductivity type impurity, wherein the first conductivity type impurity is a trench in which an insulating film is buried, and a conductivity type is the same as that of a charge carrier of a semiconductor element formed in the element formation region at an interface between the element formation region and the trench side wall. Are diffused. In the semiconductor device of the present invention, preferably, a second conductivity type impurity having a conductivity type opposite to that of the charge carrier of the semiconductor element is diffused in a portion of the semiconductor substrate which is in contact with the trench bottom and the side wall. It is characterized by.

【0018】これにより、トレンチの側壁部に、ソース
/ドレイン領域と導電型が同じ不純物が深く拡散され、
トレンチ部もしくはトレンチのエッジ部の窪みに起因す
る接合リークが抑制される。また、トレンチの底部およ
び側壁部に、ソース/ドレイン領域と導電型が逆である
不純物を拡散させると、より十分な素子分離がなされる
ため、トレンチのエッジ部における接合リークをさらに
抑制することができる。
As a result, impurities having the same conductivity type as the source / drain regions are deeply diffused into the side wall of the trench,
Junction leakage due to the depression in the trench or the edge of the trench is suppressed. In addition, when impurities having a conductivity type opposite to that of the source / drain region are diffused into the bottom and side walls of the trench, more sufficient element isolation is performed, so that a junction leak at the edge of the trench can be further suppressed. it can.

【0019】本発明の半導体装置は、半導体基板上に素
子分離領域により相互に隔てられた複数の素子形成領域
を有する半導体装置において、前記素子分離領域は半導
体基板表面に形成された絶縁膜が埋め込まれたトレンチ
であり、前記素子形成領域と前記素子分離領域の表面は
均一な高さに平坦化されていることを特徴とする。
According to a semiconductor device of the present invention, there is provided a semiconductor device having a plurality of element formation regions separated from each other by an element isolation region on a semiconductor substrate, wherein the element isolation region is embedded with an insulating film formed on a surface of the semiconductor substrate. Wherein the surfaces of the element formation region and the element isolation region are planarized to a uniform height.

【0020】また、本発明の半導体装置は、半導体基板
上に、素子分離領域により相互に隔てられた複数の素子
形成領域を有する半導体装置において、前記素子分離領
域は、半導体基板表面に形成された絶縁膜が埋め込まれ
たトレンチであり、前記素子形成領域の前記トレンチと
の界面の上端部と、前記素子形成領域の表面は、均一な
高さに平坦化されていることを特徴とする。
Further, in the semiconductor device according to the present invention, in a semiconductor device having a plurality of element formation regions separated from each other by an element isolation region on a semiconductor substrate, the element isolation region is formed on a surface of the semiconductor substrate. A trench in which an insulating film is buried, wherein an upper end portion of an interface between the element formation region and the trench and a surface of the element formation region are flattened to a uniform height.

【0021】これにより、トレンチのエッジ部分に窪み
がなくなり、エッジ部分における膜ストレスも緩和され
るため、接合リークが抑制される。したがって、高周波
数、低消費電力の半導体装置が実現される。
As a result, no dent is formed at the edge of the trench, and the film stress at the edge is reduced, so that the junction leakage is suppressed. Therefore, a semiconductor device with high frequency and low power consumption is realized.

【0022】さらに、上記の目的を達成するため、本発
明の半導体装置の製造方法は、半導体基板上に犠牲膜を
形成する工程と、前記犠牲膜上に保護層を形成する工程
と、前記半導体基板に、複数の前記素子形成領域を相互
に分離するための、素子分離用溝(トレンチ)を形成す
る工程と、前記トレンチに絶縁膜を、一部が前記半導体
基板の表面に突出するように埋め込む工程と、前記絶縁
膜に化学的機械研磨を行い、素子分離領域を形成する工
程と、前記素子形成領域に半導体素子を形成する工程と
を少なくとも有する半導体装置の製造方法において、前
記保護層を形成後、前記トレンチ形成領域およびその周
辺部に、前記半導体素子の電荷キャリアと導電型が同一
である、第1導電型不純物を拡散させる工程を有し、前
記トレンチを形成する工程は、前記トレンチ辺縁部に、
前記第1導電型不純物拡散層を所定の間隔で残して、前
記トレンチを形成する工程であることを特徴とする。
Further, in order to achieve the above object, a method of manufacturing a semiconductor device according to the present invention comprises a step of forming a sacrificial film on a semiconductor substrate, a step of forming a protective layer on the sacrificial film, Forming a device isolation groove (trench) in the substrate for isolating the plurality of device formation regions from each other; and forming an insulating film in the trench so that a part thereof protrudes from the surface of the semiconductor substrate. A method of manufacturing a semiconductor device, comprising: embedding, performing chemical mechanical polishing on the insulating film to form an element isolation region, and forming a semiconductor element in the element formation region. Diffusing a first conductivity type impurity having the same conductivity type as that of the charge carrier of the semiconductor element into the trench forming region and a peripheral portion thereof after forming the trench; That step, the trench edges,
Forming the trench while leaving the first conductivity type impurity diffusion layer at a predetermined interval.

【0023】本発明の半導体装置の製造方法は、好適に
は、前記第1導電型不純物を拡散させる工程は、前記素
子形成領域のパターンを有するレジストをマスクとし
て、斜めイオン注入を行う工程であることを特徴とす
る。また、本発明の半導体装置の製造方法は、好適に
は、前記トレンチを形成後、前記トレンチ底部および側
壁部に接する部分の前記半導体基板に、前記半導体素子
の電荷キャリアと導電型が逆である、第2導電型不純物
を拡散させる工程を有することを特徴とする。
In the method of manufacturing a semiconductor device according to the present invention, preferably, the step of diffusing the first conductivity type impurity is a step of performing oblique ion implantation using a resist having a pattern of the element formation region as a mask. It is characterized by the following. In the method of manufacturing a semiconductor device according to the present invention, preferably, after the formation of the trench, a charge carrier of the semiconductor element and a conductivity type of the semiconductor element are opposite to a portion of the semiconductor substrate that is in contact with the bottom and the side wall of the trench. And a step of diffusing the second conductivity type impurity.

【0024】本発明の半導体装置の製造方法は、半導体
基板上に犠牲膜を形成する工程と、前記犠牲膜上に保護
層を形成する工程と、前記半導体基板に、複数の前記素
子形成領域を相互に分離するための、素子分離用溝(ト
レンチ)を形成する工程と、前記トレンチに絶縁膜を、
一部が前記半導体基板の表面に突出するように埋め込む
工程と、前記絶縁膜に化学的機械研磨を行い、素子分離
領域を形成する工程と、前記素子形成領域に半導体素子
を形成する工程とを少なくとも有する半導体装置の製造
方法において、前記素子分離領域を形成後、前記トレン
チ側壁部と前記素子形成領域との界面に、前記半導体素
子の電荷キャリアと導電型が同一である、第1導電型不
純物を拡散させる工程を有することを特徴とする。本発
明の半導体装置の製造方法は、好適には、前記トレンチ
を形成後、前記トレンチ底部および側壁部に接する部分
の前記半導体基板に、前記半導体素子の電荷キャリアと
導電型が逆である、第2導電型不純物を拡散させる工程
を有することを特徴とする。
According to the method of manufacturing a semiconductor device of the present invention, a step of forming a sacrificial film on a semiconductor substrate, a step of forming a protective layer on the sacrificial film, and forming a plurality of the element formation regions on the semiconductor substrate Forming an element isolation trench (trench) for isolating each other, and forming an insulating film in the trench;
A step of embedding a part of the semiconductor substrate so as to protrude, a step of performing chemical mechanical polishing on the insulating film to form an element isolation region, and a step of forming a semiconductor element in the element formation region. In a method of manufacturing a semiconductor device having at least a first conductivity type impurity, the conductivity type is the same as that of a charge carrier of the semiconductor element at an interface between the trench side wall and the element formation region after forming the element isolation region. Characterized by having a step of diffusing In the method for manufacturing a semiconductor device according to the present invention, preferably, after the formation of the trench, a portion of the semiconductor substrate in contact with the trench bottom portion and the sidewall portion has a conductivity type opposite to that of a charge carrier of the semiconductor element. A step of diffusing the two-conductivity-type impurity.

【0025】本発明の半導体装置の製造方法は、半導体
基板上に犠牲膜を形成する工程と、前記犠牲膜上に保護
層を形成する工程と、前記半導体基板に、複数の前記素
子形成領域を相互に分離するための、素子分離用溝(ト
レンチ)を形成する工程と、前記トレンチに絶縁膜を、
一部が前記半導体基板の表面に突出するように埋め込む
工程と、前記絶縁膜に化学的機械研磨を行い、素子分離
領域を形成する工程と、前記素子形成領域に半導体素子
を形成する工程とを少なくとも有する半導体装置の製造
方法において、前記絶縁膜に化学的機械研磨を行った
後、前記保護層を除去する工程と、全面に、有機系溶媒
に分散させたシリコン酸化物を塗布し(スピン・オン・
グラス;SOG)、酸化膜を形成する工程と、第2の化
学的機械研磨を行い、前記素子形成領域と、前記素子分
離領域の表面を、均一な高さに平坦化させる工程とを有
することを特徴とする。
According to a method of manufacturing a semiconductor device of the present invention, a step of forming a sacrificial film on a semiconductor substrate, a step of forming a protective layer on the sacrificial film, and forming a plurality of the element formation regions on the semiconductor substrate Forming an element isolation trench (trench) for isolating each other, and forming an insulating film in the trench;
A step of embedding a part of the semiconductor substrate so as to protrude, a step of performing chemical mechanical polishing on the insulating film to form an element isolation region, and a step of forming a semiconductor element in the element formation region. In a method of manufacturing a semiconductor device having at least a step of removing the protective layer after performing chemical mechanical polishing on the insulating film, and applying silicon oxide dispersed in an organic solvent on the entire surface (spin on·
Glass; SOG); forming an oxide film; and performing a second chemical mechanical polishing to flatten the surface of the element formation region and the element isolation region to a uniform height. It is characterized by.

【0026】本発明の半導体装置の製造方法は、半導体
基板上に犠牲膜を形成する工程と、前記犠牲膜上に保護
層を形成する工程と、前記半導体基板に、複数の前記素
子形成領域を相互に分離するための、素子分離用溝(ト
レンチ)を形成する工程と、前記トレンチに絶縁膜を、
一部が前記半導体基板の表面に突出するように埋め込む
工程と、前記絶縁膜に化学的機械研磨を行い、素子分離
領域を形成する工程と、前記素子形成領域に半導体素子
を形成する工程とを少なくとも有する半導体装置の製造
方法において、前記絶縁膜に化学的機械研磨を行った
後、前記保護層を除去して、前記素子形成領域の前記半
導体基板を露出させる工程と、前記素子形成領域に露出
した前記半導体基板にエッチングを行い、前記素子形成
領域の前記トレンチとの界面の上端部と、前記素子形成
領域の表面を、均一な高さに平坦化させる工程とを有
し、前記素子形成領域に前記半導体素子を形成する工程
は、前記素子形成領域の前記トレンチとの界面の上端部
と均一な高さに平坦化された、前記素子形成領域に前記
半導体素子を形成する工程であることを特徴とする。
According to a method of manufacturing a semiconductor device of the present invention, a step of forming a sacrificial film on a semiconductor substrate, a step of forming a protective layer on the sacrificial film, and forming a plurality of the element formation regions on the semiconductor substrate Forming an element isolation trench (trench) for isolating each other, and forming an insulating film in the trench;
A step of embedding a part of the semiconductor substrate so as to protrude, a step of performing chemical mechanical polishing on the insulating film to form an element isolation region, and a step of forming a semiconductor element in the element formation region. In a method of manufacturing a semiconductor device having at least a step of performing chemical mechanical polishing on the insulating film, removing the protective layer and exposing the semiconductor substrate in the element formation region, and exposing the semiconductor substrate in the element formation region. Etching the semiconductor substrate, and flattening the upper surface of the interface between the element forming region and the trench, and the surface of the element forming region to a uniform height. Forming the semiconductor element in the element formation region, which is flattened to a uniform height with an upper end portion of the interface between the element formation region and the trench. Characterized in that it is a degree.

【0027】本発明の半導体装置の製造方法は、半導体
基板上に犠牲膜を形成する工程と、前記犠牲膜上に保護
層を形成する工程と、前記半導体基板に、複数の前記素
子形成領域を相互に分離するための素子分離用溝(トレ
ンチ)を形成する工程と、前記トレンチに絶縁膜を、一
部が前記半導体基板の表面に突出するように埋め込む工
程と、前記絶縁膜に化学的機械研磨を行い、素子分離領
域を形成する工程と、前記素子形成領域に半導体素子を
形成する工程とを少なくとも有する半導体装置の製造方
法において、前記半導体素子を形成後、前記半導体素子
の表面にエッチングを行い、前記素子形成領域の前記ト
レンチとの界面の上端部と、前記素子形成領域の表面
を、均一な高さに平坦化させる工程を有することを特徴
とする。
According to the method of manufacturing a semiconductor device of the present invention, there are provided a step of forming a sacrificial film on a semiconductor substrate, a step of forming a protective layer on the sacrificial film, and forming a plurality of the element formation regions on the semiconductor substrate. Forming an element isolation groove (trench) for isolation from each other, embedding an insulating film in the trench so that a part thereof protrudes from the surface of the semiconductor substrate, and forming a chemical mechanical device in the insulating film. Polishing, a method of manufacturing a semiconductor device having at least a step of forming an element isolation region and a step of forming a semiconductor element in the element formation region, wherein after forming the semiconductor element, etching is performed on the surface of the semiconductor element. A step of flattening an upper end portion of an interface between the element formation region and the trench and a surface of the element formation region to a uniform height.

【0028】上記の本発明の半導体装置の製造方法によ
れば、トレンチのエッジ部の窪みに起因する接合リーク
が抑制された、半導体装置を製造することができる。ま
た、本発明の半導体装置の製造方法によれば、トレンチ
のエッジ部に窪みを生じさせずに、素子形成領域にトラ
ンジスタを形成することができる。これにより、トレン
チのエッジ部におけるサリサイドの過剰成長も防止する
ことができ、接合リークを十分に抑制することができ
る。
According to the method of manufacturing a semiconductor device of the present invention, it is possible to manufacture a semiconductor device in which a junction leak caused by a depression at an edge of a trench is suppressed. Further, according to the method of manufacturing a semiconductor device of the present invention, a transistor can be formed in an element formation region without causing a depression at an edge portion of a trench. This can prevent excessive growth of salicide at the edge of the trench, and can sufficiently suppress junction leakage.

【0029】[0029]

【発明の実施の形態】以下に、本発明の半導体装置およ
びその製造方法の実施の形態について、図面を参照して
説明する。 (実施形態1)図1は、本実施形態の半導体装置の断面
図である。図1の半導体装置においては、トレンチ17
により素子分離がなされ、ソース/ドレイン領域5とゲ
ート電極4を有するMOSトランジスタが形成されてい
る。
Embodiments of a semiconductor device and a method of manufacturing the same according to the present invention will be described below with reference to the drawings. (Embodiment 1) FIG. 1 is a sectional view of a semiconductor device of the present embodiment. In the semiconductor device of FIG.
, An MOS transistor having the source / drain region 5 and the gate electrode 4 is formed.

【0030】本実施形態の半導体装置においては、nチ
ャネル型トランジスタ形成領域(n領域)22にあるS
TIの浅い領域23にn+ 型不純物がイオン注入され、
さらに、n領域のSTIの深い領域24には低濃度のp
型不純物がイオン注入されている。一方、pチャネル型
トランジスタ形成領域(p領域)25にあるSTIの浅
い領域26にp+ 型不純物がイオン注入され、p領域の
STIの深い領域27には低濃度のn型不純物がイオン
注入されている。これにより、低抵抗であり、かつ接合
リークが抑制された細線ゲート構造となっている。
In the semiconductor device of this embodiment, the S in the n-channel transistor forming region (n region) 22
An n + -type impurity is ion-implanted into the shallow region 23 of TI,
Further, the low concentration p
Type impurities are ion-implanted. On the other hand, ap + -type impurity is ion-implanted into a shallow STI region 26 in a p-channel transistor formation region (p region) 25, and a low-concentration n-type impurity is ion-implanted into a deep STI region 27 in the p region. ing. As a result, a thin gate structure having low resistance and suppressing junction leakage is obtained.

【0031】次に、上記の本実施形態の半導体装置の製
造方法について説明する。まず、図2(A)に示すよう
に、シリコン基板1上にパッド酸化膜14として膜厚1
0nmの熱酸化膜を形成する。その上層に、例えば減圧
CVD法により、SiN膜15を膜厚150nmで形成
する。続いて、図2(B)に示すように、全面にレジス
ト28を堆積させてから、n領域にトレンチを形成する
ためのマスクとなるように、レジスト28のパターニン
グを行う。
Next, a method of manufacturing the semiconductor device of the present embodiment will be described. First, as shown in FIG. 2A, a silicon oxide film having a thickness of 1
A 0 nm thermal oxide film is formed. An SiN film 15 having a thickness of 150 nm is formed thereon by, for example, a low pressure CVD method. Subsequently, as shown in FIG. 2B, a resist 28 is deposited on the entire surface, and then the resist 28 is patterned so as to serve as a mask for forming a trench in the n region.

【0032】次に、図3(A)に示すように、レジスト
28の開口部にn+ 不純物のイオン注入を行い、n+
純物拡散領域23を形成する。イオン注入は、例えば、
Asをイオンエネルギー100keV、導入量5×10
15atoms/cm2 の条件で、45°に傾斜させた回
転イオン注入で行う。斜めイオン注入とすることによ
り、レジスト開口部近傍のレジスト下部にもイオンが注
入されるようにする。
Next, as shown in FIG. 3A, an n + impurity ion is implanted into the opening of the resist 28 to form an n + impurity diffusion region 23. Ion implantation, for example,
As with ion energy of 100 keV, introduced amount of 5 × 10
Rotational ion implantation inclined at 45 ° is performed under the condition of 15 atoms / cm 2 . By oblique ion implantation, ions are also implanted into the lower part of the resist near the opening of the resist.

【0033】図3(B)に示すように、レジスト28を
マスクとしてシリコン基板1のエッチングを行い、n領
域22にトレンチ17を形成する。これにより、トレン
チ17のエッジ部分に近接するアクティブ領域に、n+
不純物拡散領域23が形成される。さらに、図4(A)
に示すように、n領域に形成されたトレンチの内壁に、
p型不純物として、例えばホウ素をイオンエネルギー2
0keV、導入量5×1012atoms/cm2 の条件
でイオン注入し、不純物拡散層24を形成する。その
後、レジスト28を除去する。
As shown in FIG. 3B, the silicon substrate 1 is etched using the resist 28 as a mask to form a trench 17 in the n region 22. As a result, the active region near the edge of the trench 17 has n +
An impurity diffusion region 23 is formed. Further, FIG.
As shown in the figure, on the inner wall of the trench formed in the n region,
As a p-type impurity, for example, boron is ion energy 2
Ion implantation is performed under the conditions of 0 keV and a dose of 5 × 10 12 atoms / cm 2 to form an impurity diffusion layer 24. After that, the resist 28 is removed.

【0034】次に、図4(B)に示すように、全面にレ
ジスト29を堆積させてから、p領域25にトレンチを
形成するためのマスクとなるように、レジスト29のパ
ターニングを行う。続いて、レジスト29の開口部にp
+ 不純物のイオン注入を行い、p+ 不純物拡散領域26
を形成する。イオン注入は、例えば、ホウ素(B)をイ
オンエネルギー40keV、導入量3×1015atom
s/cm2 の条件で、45°に傾斜させた回転イオン注
入で行う。斜めイオン注入とすることにより、レジスト
開口部近傍のレジスト29下部にもイオンが注入される
ようにする。
Next, as shown in FIG. 4B, a resist 29 is deposited on the entire surface, and then the resist 29 is patterned so as to serve as a mask for forming a trench in the p region 25. Subsequently, p is applied to the opening of the resist 29.
+ Impurity ions are implanted into the p + impurity diffusion region 26.
To form For example, boron (B) is ion-implanted at an ion energy of 40 keV and a dose of 3 × 10 15 atoms.
It is performed by rotating ion implantation inclined at 45 ° under the condition of s / cm 2 . By oblique ion implantation, ions are also implanted below the resist 29 near the opening of the resist.

【0035】次に、図5(A)に示すように、レジスト
29をマスクとしてシリコン基板1のエッチングを行
い、p領域25にトレンチ17を形成する。これによ
り、トレンチ17のエッジ部分に近接するアクティブ領
域に、p+ 不純物拡散領域26が形成される。さらに、
図5(B)に示すように、p領域に形成されたトレンチ
の内壁に、n型不純物として、例えばリンをイオンエネ
ルギー20keV、導入量5×1012atoms/cm
2 の条件でイオン注入し、不純物拡散層27を形成す
る。
Next, as shown in FIG. 5A, the silicon substrate 1 is etched using the resist 29 as a mask to form a trench 17 in the p region 25. Thus, ap + impurity diffusion region 26 is formed in the active region near the edge of trench 17. further,
As shown in FIG. 5B, on the inner wall of the trench formed in the p region, for example, phosphorus as an n-type impurity is ion energy of 20 keV and the introduced amount is 5 × 10 12 atoms / cm.
Ion implantation is performed under the condition 2 to form the impurity diffusion layer 27.

【0036】図6(A)に示すように、例えばECRプ
ラズマCVD装置を用いて、トレンチ内を埋め込むよう
に酸化膜(HDP膜)19を600nm堆積させる。こ
こで、広いアクティブ領域20上には、酸化膜19が厚
く堆積されるため、図6(B)に示すように、レジスト
30をマスクとして広いアクティブ領域20上の酸化膜
19をエッチングして除去する。
As shown in FIG. 6A, an oxide film (HDP film) 19 is deposited to a thickness of 600 nm so as to fill the trench by using, for example, an ECR plasma CVD apparatus. Here, since the oxide film 19 is thickly deposited on the wide active region 20, the oxide film 19 on the wide active region 20 is removed by etching using the resist 30 as a mask, as shown in FIG. I do.

【0037】続いて、図7(A)に示すように、CMP
による研磨を例えば以下の条件で全面に行い、表面を平
坦化させる。 研磨剤(スラリー):過酸化水素水+シリカ スラリー流量:20sccm, 研磨ヘッド圧力:4.0psi ウェハ回転数:20rpm, ヘッド回転数:20rpm
Subsequently, as shown in FIG.
Polishing is performed on the entire surface under the following conditions, for example, to flatten the surface. Abrasive (slurry): Hydrogen peroxide + silica Slurry flow rate: 20 sccm, polishing head pressure: 4.0 psi Wafer rotation speed: 20 rpm, head rotation speed: 20 rpm

【0038】次に、図7(B)に示すように、SiN膜
15を、例えばホットリン酸(70℃)を用いたエッチ
ングにより除去する。さらに、図7(C)に示すよう
に、パッド酸化膜14を、例えばフッ酸を用いたライト
エッチングにより除去する。その後、シリコン基板1表
面に酸化膜(不図示)を形成し、pウェルまたはnウェ
ル(不図示)を形成するためのイオン注入を行った後、
酸化膜を除去する。
Next, as shown in FIG. 7B, the SiN film 15 is removed by etching using, for example, hot phosphoric acid (70 ° C.). Further, as shown in FIG. 7C, the pad oxide film 14 is removed by, for example, light etching using hydrofluoric acid. After that, an oxide film (not shown) is formed on the surface of the silicon substrate 1 and ion implantation for forming a p-well or an n-well (not shown) is performed.
The oxide film is removed.

【0039】次に、図8(A)に示すように、熱酸化に
よりゲート酸化膜3を形成し、その上層にゲート電極を
形成するためのポリシリコン層31を、例えば膜厚20
0nmで堆積させる。ポリシリコン層31の形成は、例
えば以下の条件で行う。 成膜ガス:SiH4 /He/N2 =100/400/2
00sccm 圧力:70Pa, 基板温度:610℃ ここで、ポリシリコン層31の酸化を防止するため、ポ
リシリコン層の上層にSiNまたはSiO2 層を膜厚1
00nm程度、積層させてもよい。
Next, as shown in FIG. 8A, a gate oxide film 3 is formed by thermal oxidation, and a polysilicon layer 31 for forming a gate electrode is formed on the gate oxide film 3 with a thickness of, for example, 20 nm.
Deposit at 0 nm. The formation of the polysilicon layer 31 is performed, for example, under the following conditions. Film forming gas: SiH 4 / He / N 2 = 100/400/2
00 sccm pressure: 70 Pa, substrate temperature: 610 ° C. Here, in order to prevent oxidation of the polysilicon layer 31, a SiN or SiO 2 layer having a thickness of 1
You may laminate | stack about 00 nm.

【0040】さらに、ポリシリコン層31(あるいは、
ポリシリコン層上層のSiNまたはSiO2 層)の上層
にレジスト32を堆積させてから、レジスト32に所定
のパターニングを行い、レジスト32をマスクとしてポ
リシリコン層31のエッチングを行う。これにより、図
8(B)に示すように、ゲート電極4が形成される。ポ
リシリコン層31のエッチングは、例えば以下の条件で
行う。 エッチングガス:Cl2 /O2 /HBr=75/2/1
20sccm 圧力:1Pa, RFパワー:60W, マイクロ波出
力:850W (但し、ポリシリコン層の上層にSiNまたはSiO2
層を形成している場合は、ポリシリコン層のエッチング
を行う前に、予め、エッチングガス:C4 8=50s
ccm,圧力:2Pa,RFパワー:1200Wの条件
で、エッチングしておく。)
Further, the polysilicon layer 31 (or
After depositing a resist 32 on the upper layer of the polysilicon layer (SiN or SiO 2 layer), the resist 32 is subjected to predetermined patterning, and the polysilicon layer 31 is etched using the resist 32 as a mask. Thus, the gate electrode 4 is formed as shown in FIG. The etching of the polysilicon layer 31 is performed, for example, under the following conditions. Etching gas: Cl 2 / O 2 / HBr = 75/2/1
20 sccm pressure: 1 Pa, RF power: 60 W, microwave output: 850 W (However, SiN or SiO 2
In the case where a layer is formed, before etching the polysilicon layer, an etching gas: C 4 F 8 = 50 s is set in advance.
Etching is performed under the conditions of ccm, pressure: 2 Pa, and RF power: 1200 W. )

【0041】次に、図8(B)に示すように、ゲート電
極4をマスクとしてイオン注入を行い、自己整合的にL
DD領域6を形成する。n領域22には、例えばヒ素を
イオンエネルギー30keV、導入量1×1013ato
ms/cm2 の条件でイオン注入する。また、p領域2
5には、例えばホウ素をイオンエネルギー30keV、
導入量1×1013atoms/cm2 の条件でイオン注
入する。これにより、LDD領域6が形成される。
Next, as shown in FIG. 8B, ion implantation is performed using the gate electrode 4 as a mask, and L is implanted in a self-aligned manner.
The DD region 6 is formed. In the n region 22, for example, arsenic is ion energy of 30 keV, and the introduced amount is 1 × 10 13 atom.
Ions are implanted under the condition of ms / cm 2 . Also, the p region 2
5 is, for example, boron ion energy of 30 keV,
Ion implantation is performed under the conditions of an introduction amount of 1 × 10 13 atoms / cm 2 . Thereby, the LDD region 6 is formed.

【0042】次に、図8(C)に示すように、ゲート電
極4にサイドウォール7を設けるため、全面に膜厚15
0nm程度の酸化膜(あるいは単層のSiN膜や、Si
N/SiO2 多層膜であってもよい。)を例えば以下の
条件で堆積させる。 成膜ガス:TEOS(テトラエトキシシラン)=50s
ccm 温度:720℃, 圧力:40Pa
Next, as shown in FIG. 8C, in order to provide the sidewalls 7 on the gate electrode 4,
Oxide film of about 0 nm (or single-layer SiN film, Si
It may be an N / SiO 2 multilayer film. Is deposited, for example, under the following conditions. Film forming gas: TEOS (tetraethoxysilane) = 50s
ccm temperature: 720 ° C, pressure: 40Pa

【0043】その後、例えば以下の条件で、全面エッチ
バックを行う。 エッチングガス:C4 8 =50sccm, 圧力:2
Pa RFパワー:1200W これにより、ゲート電極4に絶縁体サイドウォール7が
形成される。
Thereafter, the entire surface is etched back under the following conditions, for example. Etching gas: C 4 F 8 = 50 sccm, pressure: 2
Pa RF power: 1200 W As a result, the insulator sidewall 7 is formed on the gate electrode 4.

【0044】次に、図9(A)に示すように、サイドウ
ォール7をマスクとしてイオン注入を行い、ソース/ド
レイン領域5を形成する。n領域22には、例えばヒ素
をイオンエネルギー60keV、導入量3×1015at
oms/cm2 の条件でイオン注入する。また、p領域
25には、例えばBF2 をイオンエネルギー40ke
V、導入量3×1015atoms/cm2 の条件でイオ
ン注入する。その後、1000℃程度の短時間熱処理を
施して、ソース/ドレイン領域5にイオン注入された不
純物を拡散させることにより、MOSトランジスタが形
成される。続いて、SALICIDE技術を適用して、
ソース/ドレイン領域5あるいはゲート電極4の抵抗を
低減化させる。
Next, as shown in FIG. 9A, ion implantation is performed using the side walls 7 as a mask to form source / drain regions 5. In the n region 22, for example, arsenic is ion energy of 60 keV and the introduced amount is 3 × 10 15 at.
Ions are implanted under the condition of oms / cm 2 . In the p region 25, for example, BF 2 is ion energy of 40 ke.
V ions are implanted under the conditions of an introduction amount of 3 × 10 15 atoms / cm 2 . Thereafter, a short-time heat treatment at about 1000 ° C. is performed to diffuse the ion-implanted impurities into the source / drain regions 5, thereby forming a MOS transistor. Then, apply SALIDE technology,
The resistance of the source / drain region 5 or the gate electrode 4 is reduced.

【0045】まず、図9(B)に示すように、希フッ酸
を用いたライトエッチングにより、シリコン基板1表面
の酸化膜を除去し、Si表面を露出させる。その後、例
えばスパッタリングにより、全面に高融点金属層33、
例えばCo単層、またはTi/Co積層膜あるいはTi
N/Co積層膜を形成する。高融点金属層33の形成
は、例えば以下の条件で行う。
First, as shown in FIG. 9B, the oxide film on the surface of the silicon substrate 1 is removed by light etching using diluted hydrofluoric acid to expose the Si surface. Thereafter, for example, by sputtering, the refractory metal layer 33 is formed on the entire surface,
For example, a Co single layer, or a Ti / Co laminated film or Ti
An N / Co laminated film is formed. The formation of the refractory metal layer 33 is performed, for example, under the following conditions.

【0046】 Co単層;パワー:1kW, ガス:Ar=100sccm 圧力:0.47Pa, 膜厚:20nm Ti/Co積層膜; (Co層)パワー:1kW, ガス:Ar=100sccm 圧力:0.47Pa, 膜厚:10nm (Ti層)パワー:0.5kW, ガス:Ar=100sccm 圧力:0.47Pa, 膜厚:6nm TiN/Co積層膜; (Co層)パワー:1kW, ガス:Ar=100sccm 圧力:0.47Pa, 膜厚:10nm (TiN層)パワー:1kW, ガス:Ar=100sccm 圧力:0.47Pa, 膜厚:20nmCo single layer; power: 1 kW, gas: Ar = 100 sccm, pressure: 0.47 Pa, film thickness: 20 nm Ti / Co laminated film; (Co layer) power: 1 kW, gas: Ar = 100 sccm, pressure: 0.47 Pa , Thickness: 10 nm (Ti layer) power: 0.5 kW, gas: Ar = 100 sccm pressure: 0.47 Pa, film thickness: 6 nm TiN / Co laminated film; (Co layer) power: 1 kW, gas: Ar = 100 sccm pressure : 0.47 Pa, film thickness: 10 nm (TiN layer) power: 1 kW, gas: Ar = 100 sccm pressure: 0.47 Pa, film thickness: 20 nm

【0047】上記のような高融点金属層33を全面に形
成した後、1回目の短時間熱処理(1st RTA;r
apid thermal annealing)を例
えば以下の条件で行ってCo層をシリサイド化させ、C
2 Siを形成する。 ガス:N2 =5L/min, 温度:550℃, 時
間:30秒
After the refractory metal layer 33 as described above is formed on the entire surface, the first short-time heat treatment (1st RTA; r
Rapid thermal annealing is performed, for example, under the following conditions to silicide the Co layer, and C
o 2 Si is formed. Gas: N 2 = 5 L / min, Temperature: 550 ° C., Time: 30 seconds

【0048】図10(A)に示すように、硫酸/過酸化
水素水に浸漬させることにより、シリサイド化していな
い未反応のTiもしくはTiN等の高融点金属層33を
除去する。これにより、高融点金属層のシリサイド化さ
れた部分34のみ残る。続いて、700℃の熱処理(2
nd RTA)を例えば以下の条件で行い、Co2 Si
をCoSi2 に移行させ、安定した(ファイナルフェー
ズの)シリサイドを形成する。 ガス:N2 =5L/min, 温度:700℃, 時
間:30秒
As shown in FIG. 10A, by immersing in a sulfuric acid / hydrogen peroxide solution, an unreacted unreacted high melting point metal layer 33 of Ti or TiN is removed. Thus, only the silicided portion 34 of the refractory metal layer remains. Subsequently, a heat treatment at 700 ° C. (2
nd RTA), for example, under the following conditions to obtain Co 2 Si
To CoSi 2 to form a stable (final phase) silicide. Gas: N 2 = 5 L / min, Temperature: 700 ° C., Time: 30 seconds

【0049】次に、図10(B)に示すように、全面に
層間絶縁膜9を膜厚200nmで形成する。層間絶縁膜
9の形成は、例えば、前述した図8(C)に示される工
程と同様に、以下の条件で行うことができる。 成膜ガス:TEOS(テトラエトキシシラン)=50s
ccm 温度:720℃, 圧力:40Pa さらに、層間絶縁膜9の上層にSiN膜35を、例えば
以下の条件で膜厚50nm程度で形成する。 成膜ガス:SiH2 Cl2 /NH3 /N2 =0.05/
0.2/0.2sccm 温度:760℃, 圧力:70Pa
Next, as shown in FIG. 10B, an interlayer insulating film 9 is formed with a thickness of 200 nm on the entire surface. The formation of the interlayer insulating film 9 can be performed under the following conditions, for example, as in the step shown in FIG. Film forming gas: TEOS (tetraethoxysilane) = 50s
ccm Temperature: 720 ° C., Pressure: 40 Pa Further, an SiN film 35 is formed on the interlayer insulating film 9 to a thickness of about 50 nm, for example, under the following conditions. Film forming gas: SiH 2 Cl 2 / NH 3 / N 2 = 0.05 /
0.2 / 0.2sccm temperature: 760 ° C, pressure: 70Pa

【0050】SiN膜35を形成後、コンタクトホール
部分10のSiN膜35および層間絶縁膜9に選択的
に、例えば以下の条件でドライエッチングを行う。 エッチングガス:C4 8 =50sccm, 圧力:2
Pa RFパワー:1200W
After forming the SiN film 35, dry etching is selectively performed on the SiN film 35 and the interlayer insulating film 9 in the contact hole portion 10 under the following conditions, for example. Etching gas: C 4 F 8 = 50 sccm, pressure: 2
Pa RF power: 1200W

【0051】次に、図1に示すように、SiN膜35の
上層に第2の層間絶縁膜9’を、例えば膜厚400nm
で形成する。第2の層間絶縁膜9’の形成は、上記の層
間絶縁膜9と同様な条件で行うことができる。第2の層
間絶縁膜9’の上層にレジスト(不図示)を堆積させ、
レジストをマスクとしてドライエッチング、例えばRI
E(リアクティブイオンエッチング)を行い、コンタク
トホールを形成する。エッチングは、前述した層間絶縁
膜9に開口を設けるためのエッチングと同様の条件で行
うことができる。
Next, as shown in FIG. 1, a second interlayer insulating film 9 ′ is formed on the SiN film 35 to a thickness of, for example, 400 nm.
Formed. The formation of the second interlayer insulating film 9 ′ can be performed under the same conditions as those for the above-described interlayer insulating film 9. A resist (not shown) is deposited on the second interlayer insulating film 9 ′,
Dry etching using a resist as a mask, for example, RI
E (reactive ion etching) is performed to form a contact hole. The etching can be performed under the same conditions as the above-described etching for forming an opening in the interlayer insulating film 9.

【0052】続いて、コンタクトホール内に配線材料を
埋め込み、配線を形成する。まず、バリアメタル層11
としてTi層を膜厚30nmで、例えばスパッタリング
により形成し、その上層にTiN層を膜厚70nmで積
層させる。Cu層をスパッタリングにより膜厚10nm
程度で薄く形成してから、さらに、Cu層36を電界メ
ッキ法で膜厚600nm程度成膜する。これらの各膜の
成膜は、例えば以下の条件で行うことができる。
Subsequently, a wiring material is buried in the contact hole to form a wiring. First, the barrier metal layer 11
A Ti layer is formed with a thickness of 30 nm, for example, by sputtering, and a TiN layer is stacked thereon with a thickness of 70 nm. Cu layer is 10 nm thick by sputtering
After being formed to a small thickness, the Cu layer 36 is further formed to a thickness of about 600 nm by electroplating. These films can be formed, for example, under the following conditions.

【0053】 (Ti層)Tiターゲット使用,ガス:Ar=40sccm, 圧力:0.67Pa,温度:150℃ (TiN層)Tiターゲット使用 ガス:Ar/N2 =30/100sccm 圧力:0.67Pa, 温度:150℃ (Cuスパッタリング層)Cuターゲット使用 ガス:Ar=40sccm 圧力:0.67Pa, 温度:300℃ (Cu電界メッキ層)薬液:CuSO4 +5H2 O 温度:30℃, 電圧:10V, 電流:30mA/dm (Ti layer) Ti target used, gas: Ar = 40 sccm, pressure: 0.67 Pa, temperature: 150 ° C. (TiN layer) Ti target used gas: Ar / N 2 = 30/100 sccm Pressure: 0.67 Pa, Temperature: 150 ° C. (Cu sputtering layer) Cu target used Gas: Ar = 40 sccm Pressure: 0.67 Pa, Temperature: 300 ° C. (Cu electroplating layer) Chemical solution: CuSO 4 + 5H 2 O Temperature: 30 ° C., Voltage: 10 V, current : 30mA / dm 2

【0054】その後、上記のCu層36、TiN層およ
びTi層11にCMPを行い、表面を平坦化させる。こ
のCMPは、例えば以下の条件で行うことができる。 研磨剤(スラリー):過酸化水素水+Fe(NO) スラリー流量:20sccm, 研磨ヘッド圧力:4.0psi ウェハ回転数:20rpm, ヘッド回転数:20rpm
Thereafter, the Cu layer 36, the TiN layer, and the Ti layer 11 are subjected to CMP to flatten the surfaces. This CMP can be performed, for example, under the following conditions. Abrasive (slurry): aqueous hydrogen peroxide + Fe (NO 3 ) Slurry flow rate: 20 sccm, polishing head pressure: 4.0 psi, wafer rotation speed: 20 rpm, head rotation speed: 20 rpm

【0055】さらに、Cu層の上層に、酸化防止膜とし
てキャッピングTiN層35’を例えば以下の条件で形
成する。 Tiターゲット使用, ガス:Ar/N2 =30/100sccm 圧力:0.67Pa, 温度:150℃
Further, on the Cu layer, a capping TiN layer 35 'is formed as an antioxidant film under the following conditions, for example. Using a Ti target, gas: Ar / N 2 = 30/100 sccm, pressure: 0.67 Pa, temperature: 150 ° C.

【0056】もしくは、酸化防止膜としてキャッピング
TiN層35’のかわりにキャッピングSiN膜を、例
えば以下の条件で膜厚30nm程度、形成してもよい。 成膜ガス:SiH4 /NH4 /N2 =265/100/
4000sccm 温度:400℃, 圧力:565Pa
Alternatively, instead of the capping TiN layer 35 ', a capping SiN film may be formed as an antioxidant film, for example, with a thickness of about 30 nm under the following conditions. Film forming gas: SiH 4 / NH 4 / N 2 = 265/100 /
4000 sccm temperature: 400 ° C, pressure: 565 Pa

【0057】以上の工程により、図1に示す半導体装置
が形成される。上記の本実施形態の半導体装置の製造方
法によれば、トレンチのエッジ部分に近接するアクティ
ブ領域に不純物拡散領域が形成され、さらにトレンチの
底部および側壁にソース/ドレイン領域と導電型が逆で
ある不純物が拡散されているため、接合リークが抑制さ
れる。
Through the above steps, the semiconductor device shown in FIG. 1 is formed. According to the method of manufacturing the semiconductor device of the present embodiment, the impurity diffusion region is formed in the active region near the edge of the trench, and the conductivity type is opposite to that of the source / drain region at the bottom and the side wall of the trench. Since the impurities are diffused, junction leakage is suppressed.

【0058】(実施形態2)図11は、本実施形態の半
導体装置の断面図である。本実施形態の半導体装置にお
いては、nチャネル型トランジスタ形成領域(n領域)
22にあるSTIの浅い領域に、n+ 型不純物拡散領域
23が形成されている。一方、pチャネル型トランジス
タ形成領域(p領域)25にあるSTIの浅い領域に、
+ 型不純物拡散領域26が形成されている。これによ
り、低抵抗であり、かつ接合リークが抑制された細線ゲ
ート構造となっている。
(Embodiment 2) FIG. 11 is a sectional view of a semiconductor device of this embodiment. In the semiconductor device of the present embodiment, an n-channel transistor formation region (n region)
An n + -type impurity diffusion region 23 is formed in the shallow STI region 22. On the other hand, in a shallow STI region in the p-channel transistor formation region (p region) 25,
A p + -type impurity diffusion region 26 is formed. As a result, a thin gate structure having low resistance and suppressing junction leakage is obtained.

【0059】次に、上記の本実施形態の半導体装置の製
造方法について説明する。本実施形態の半導体装置の製
造方法は、シリコン基板にトレンチを形成する工程(図
2(A)〜図3(B))まで、実施形態1と共通する。
図2(A)に示すように、シリコン基板1上に膜厚10
nmのパッド酸化膜(熱酸化膜)を形成する。その上層
に、例えば減圧CVD法によりSiN膜15を膜厚15
0nmで形成する。続いて、図2(B)に示すように、
n領域22にトレンチを形成するためのマスクとなる、
レジスト28を形成する。
Next, a method of manufacturing the semiconductor device of the present embodiment will be described. The method of manufacturing a semiconductor device according to the present embodiment is the same as that of the first embodiment up to the step of forming a trench in a silicon substrate (FIGS. 2A to 3B).
As shown in FIG. 2A, a film having a thickness of 10
A pad oxide film (thermal oxide film) having a thickness of nm is formed. An SiN film 15 having a film thickness of 15
Formed at 0 nm. Subsequently, as shown in FIG.
a mask for forming a trench in the n region 22;
A resist 28 is formed.

【0060】次に、図3(A)に示すように、レジスト
28の開口部にn+ 不純物として、例えばAsをイオン
エネルギー100keV、導入量5×1015atoms
/cm2 の条件で、45°に傾斜させた回転イオン注入
でイオン注入する。斜めイオン注入により、レジスト開
口部近傍のレジスト下部にも、イオンが注入される。さ
らに、図3(B)に示すように、レジスト28をマスク
としてシリコン基板1のエッチングを行うと、n領域2
2にトレンチ17が形成され、トレンチのエッジ部に近
接するアクティブ領域にはn+ 不純物拡散領域23が形
成される。
Next, as shown in FIG. 3A, as an n + impurity in the opening of the resist 28, for example, As is ion energy of 100 keV, and the introduced amount is 5 × 10 15 atoms.
The ion implantation is performed by rotating ion implantation inclined at 45 ° under the condition of / cm 2 . By oblique ion implantation, ions are also implanted into the lower portion of the resist near the opening of the resist. Further, as shown in FIG. 3B, when the silicon substrate 1 is etched using the resist 28 as a mask, the n region 2
2, a trench 17 is formed, and an n + impurity diffusion region 23 is formed in an active region near an edge of the trench.

【0061】図12(A)に示すように、全面にレジス
ト29を堆積させ、p領域にトレンチを形成するための
マスクとなるように、レジスト29をパターニングす
る。続いて、レジストの開口部にp+ 不純物として、例
えばホウ素(B)をイオンエネルギー40keV、導入
量3×1015atoms/cm2 の条件で、45°に傾
斜させた回転イオン注入でイオン注入する。斜めイオン
注入とすることにより、レジスト開口部近傍のレジスト
下部にもイオンが注入される。
As shown in FIG. 12A, a resist 29 is deposited on the entire surface, and the resist 29 is patterned so as to serve as a mask for forming a trench in the p region. Subsequently, for example, boron (B) is ion-implanted into the opening of the resist as ap + impurity by rotating ion implantation inclined at 45 ° under the conditions of an ion energy of 40 keV and an introduction amount of 3 × 10 15 atoms / cm 2. . By oblique ion implantation, ions are also implanted into the lower part of the resist near the opening of the resist.

【0062】次に、図12(B)に示すように、レジス
ト29をマスクとしてシリコン基板1のエッチングを行
い、p領域25にトレンチ17を形成する。トレンチの
エッジ部分に近接するアクティブ領域にはp+ 不純物拡
散領域26が形成される。
Next, as shown in FIG. 12B, the silicon substrate 1 is etched using the resist 29 as a mask to form a trench 17 in the p region 25. A p + impurity diffusion region 26 is formed in the active region near the edge of the trench.

【0063】図13(A)に示すように、例えばECR
プラズマCVD装置を用いて、トレンチ内を埋め込むよ
うに酸化膜(HDP膜)19を600nm堆積させる。
ここで、広いアクティブ領域20上には、酸化膜19が
厚く堆積されるため、図13(B)に示すように、レジ
スト30をマスクとして広いアクティブ領域20上の酸
化膜19をエッチングして除去する。
As shown in FIG. 13A, for example, ECR
Using a plasma CVD apparatus, an oxide film (HDP film) 19 is deposited to a thickness of 600 nm so as to fill the trench.
Here, since the oxide film 19 is deposited thickly on the wide active region 20, the oxide film 19 on the wide active region 20 is removed by etching using the resist 30 as a mask, as shown in FIG. I do.

【0064】続いて、図14(A)に示すように、CM
Pによる研磨を全面に行い、表面を平坦化させる。CM
Pは例えば、以下の条件で行う。 研磨剤(スラリー):過酸化水素水+シリカ スラリー流量:20sccm, 研磨ヘッド圧力:4.0psi ウェハ回転数:20rpm, ヘッド回転数:20rpm
Subsequently, as shown in FIG.
Polishing is performed on the entire surface to flatten the surface. CM
P is performed under the following conditions, for example. Abrasive (slurry): Hydrogen peroxide + silica Slurry flow rate: 20 sccm, polishing head pressure: 4.0 psi Wafer rotation speed: 20 rpm, head rotation speed: 20 rpm

【0065】次に、図14(B)に示すように、SiN
膜15を例えばホットリン酸(70℃)を用いたエッチ
ングにより除去する。さらに、図14(C)に示すよう
に、パッド酸化膜14を例えばフッ酸を用いたライトエ
ッチングにより除去する。その後、シリコン基板表面に
酸化膜(不図示)を形成し、pウェルあるいはnウェル
(不図示)を形成するためのイオン注入を行ってから、
酸化膜を除去する。
Next, as shown in FIG.
The film 15 is removed by, for example, etching using hot phosphoric acid (70 ° C.). Further, as shown in FIG. 14C, the pad oxide film 14 is removed by light etching using, for example, hydrofluoric acid. Thereafter, an oxide film (not shown) is formed on the surface of the silicon substrate, and ion implantation for forming a p-well or an n-well (not shown) is performed.
The oxide film is removed.

【0066】以下の工程は、上記の工程で得られた半導
体基板にMOSトランジスタを形成する工程であり、実
施形態1と共通するため、製造工程を示す断面図は省略
し、最終的に得られる半導体装置の断面図を図11に示
す。上記の本実施形態の半導体装置の製造方法によれ
ば、アクティブ領域のトレンチエッジに近接する部分
(浅い領域)に不純物拡散領域23、26が形成される
ため、エッジ部分の窪みに起因した接合リークが抑制さ
れる。
The following steps are for forming MOS transistors on the semiconductor substrate obtained in the above steps, and are common to the first embodiment. Therefore, the cross-sectional views showing the manufacturing steps are omitted, and the final steps are obtained. FIG. 11 is a cross-sectional view of the semiconductor device. According to the method of manufacturing a semiconductor device of the present embodiment, the impurity diffusion regions 23 and 26 are formed in portions (shallow regions) close to the trench edges of the active region. Is suppressed.

【0067】(実施形態3)図15は、本実施形態の半
導体装置の断面図である。本実施形態の半導体装置にお
いては、STIの側壁部分37に不純物がイオン注入さ
れている。これにより、低抵抗で、接合リークが抑制さ
れた細線ゲート構造となっている。図15は、nチャネ
ル型トランジスタ形成領域にSTIが形成された断面図
であるが、本実施形態を実施形態1および2と同様に、
nチャネル型トランジスタ形成領域とpチャネル型トラ
ンジスタ形成領域とが混在した半導体基板に適用するこ
とも可能である。本実施形態の半導体装置は、ショート
を防止するという観点から、素子分離領域(STI)上
にゲート配線が交差して形成される場合には適用できな
いが、それ以外の場合のトランジスタに適用することが
可能である。
(Embodiment 3) FIG. 15 is a sectional view of a semiconductor device of this embodiment. In the semiconductor device of the present embodiment, impurities are ion-implanted into the side wall portion 37 of the STI. As a result, a thin-line gate structure with low resistance and suppressed junction leakage is obtained. FIG. 15 is a cross-sectional view in which an STI is formed in an n-channel transistor formation region. This embodiment is similar to the first and second embodiments.
The present invention can be applied to a semiconductor substrate in which an n-channel transistor formation region and a p-channel transistor formation region are mixed. The semiconductor device according to the present embodiment cannot be applied to a case where gate wirings are formed to intersect on an element isolation region (STI) from the viewpoint of preventing short circuit, but is applicable to a transistor in other cases. Is possible.

【0068】次に、上記の本実施形態の半導体装置の製
造方法について説明する。まず、図16(A)に示すよ
うに、シリコン基板1上にパッド酸化膜14として膜厚
10nmの熱酸化膜を形成し、その上層に例えば減圧C
VD法により、SiN膜15を膜厚150nmで形成す
る。続いて、図16(B)に示すように、全面にレジス
ト28を堆積させ、トレンチ形成用のマスクとなるよう
にレジスト28をパターニングする。次に、図16
(C)に示すように、レジスト28をマスクとしてシリ
コン基板1のエッチングを行い、トレンチ17を形成す
る。
Next, a method of manufacturing the semiconductor device of the present embodiment will be described. First, as shown in FIG. 16A, a 10-nm-thick thermal oxide film is formed as a pad oxide film 14 on the silicon substrate 1 and, for example,
The SiN film 15 is formed with a thickness of 150 nm by the VD method. Subsequently, as shown in FIG. 16B, a resist 28 is deposited on the entire surface, and the resist 28 is patterned so as to be used as a mask for forming a trench. Next, FIG.
As shown in FIG. 2C, the silicon substrate 1 is etched using the resist 28 as a mask to form the trench 17.

【0069】図17(A)に示すように、例えばECR
プラズマCVD装置を用いて、トレンチ内を埋め込むよ
うに酸化膜(HDP膜)19を600nm堆積させる。
ここで、広いアクティブ領域20上には酸化膜19が厚
く堆積されるため、図17(B)に示すように、レジス
ト30をマスクとして広いアクティブ領域20上の酸化
膜19をエッチングして除去する。
As shown in FIG. 17A, for example, ECR
Using a plasma CVD apparatus, an oxide film (HDP film) 19 is deposited to a thickness of 600 nm so as to fill the trench.
Here, since the oxide film 19 is thickly deposited on the wide active region 20, as shown in FIG. 17B, the oxide film 19 on the wide active region 20 is removed by etching using the resist 30 as a mask. .

【0070】続いて、図18(A)に示すように、CM
Pによる研磨を例えば以下の条件で全面に行い、表面を
平坦化させる。 研磨剤(スラリー):過酸化水素水+シリカ スラリー流量:20sccm, 研磨ヘッド圧力:4.0psi ウェハ回転数:20rpm, ヘッド回転数:20rpm
Subsequently, as shown in FIG.
Polishing with P is performed on the entire surface under the following conditions, for example, to flatten the surface. Abrasive (slurry): Hydrogen peroxide + silica Slurry flow rate: 20 sccm, polishing head pressure: 4.0 psi Wafer rotation speed: 20 rpm, head rotation speed: 20 rpm

【0071】次に、図18(B)に示すように、SiN
膜15を例えばホットリン酸(70℃)を用いたエッチ
ングにより除去する。さらに、図18(C)に示すよう
に、パッド酸化膜14を例えばフッ酸を用いたライトエ
ッチングにより除去する。その後、図19(A)に示す
ように、シリコン基板1表面に酸化膜38を形成し、p
ウェルあるいはnウェル(不図示)を形成するためのイ
オン注入を行ってから、図19(B)に示すように、酸
化膜38を除去する。この工程において、トレンチのエ
ッジ部分に窪み21が発生する。
Next, as shown in FIG.
The film 15 is removed by, for example, etching using hot phosphoric acid (70 ° C.). Further, as shown in FIG. 18C, the pad oxide film 14 is removed by, for example, light etching using hydrofluoric acid. Thereafter, as shown in FIG. 19A, an oxide film 38 is formed on the surface of the silicon
After ion implantation for forming a well or an n-well (not shown), the oxide film 38 is removed as shown in FIG. In this step, a depression 21 occurs at the edge of the trench.

【0072】次に、図19(C)に示すように、STI
17の周辺部(STI側壁とシリコン基板との界面部
分)のみにイオン注入されるようにレジストパターニン
グを行い、レジスト(不図示)をマスクとして、ソース
/ドレイン領域と導電型が同じ不純物をイオン注入す
る。例えば、nチャネル型MOSトランジスタ形成領域
には、ヒ素をイオンエネルギー40keV、導入量5×
1015atoms/cm2 の条件でイオン注入し、n+
不純物拡散領域37を形成する。また、pチャネル型M
OSトランジスタ形成領域には、例えばBF2 をイオン
エネルギー20keV、導入量3×1015atoms/
cm2 の条件でイオン注入する。
Next, as shown in FIG.
Resist patterning is performed so that ions are implanted only in the peripheral portion of 17 (the interface between the STI side wall and the silicon substrate), and an impurity having the same conductivity type as the source / drain regions is ion-implanted using a resist (not shown) as a mask. I do. For example, in the n-channel MOS transistor formation region, arsenic is ion energy of 40 keV and the introduced amount is 5 ×.
Ion implantation is performed under the conditions of 10 15 atoms / cm 2 and n +
An impurity diffusion region 37 is formed. In addition, p-channel type M
In the OS transistor formation region, for example, BF 2 is ion energy of 20 keV and the introduced amount is 3 × 10 15 atoms /
Ions are implanted under the condition of cm 2 .

【0073】以上の工程により、トレンチのエッジ部分
の窪みに起因した接合リークが防止された半導体基板が
形成される。続く工程は、MOSトランジスタ形成工程
であり、上記の実施形態1および2と共通するため、製
造工程を表す断面図は適宜省略する。まず、半導体基板
表面に熱酸化によりゲート酸化膜3を形成し、その上層
にゲート電極を形成するためのポリシリコン層31を例
えば以下の条件で膜厚200nm程度堆積させる(図8
(A)参照)。 成膜ガス:SiH4 /He/N2 =100/400/2
00sccm 圧力:70Pa, 基板温度:610℃ ここで、ポリシリコン層の酸化を防止するため、ポリシ
リコン層の上層にSiNまたはSiO2 層を膜厚100
nm程度、積層させてもよい。
Through the above steps, a semiconductor substrate in which junction leakage due to the depression at the edge of the trench is prevented is formed. The subsequent process is a MOS transistor forming process, which is common to the first and second embodiments, and a cross-sectional view showing a manufacturing process is omitted as appropriate. First, a gate oxide film 3 is formed on the surface of a semiconductor substrate by thermal oxidation, and a polysilicon layer 31 for forming a gate electrode is deposited thereon to a thickness of, for example, about 200 nm under the following conditions (FIG. 8).
(A)). Film forming gas: SiH 4 / He / N 2 = 100/400/2
00 sccm pressure: 70 Pa, substrate temperature: 610 ° C. Here, in order to prevent oxidation of the polysilicon layer, an SiN or SiO 2 layer having a thickness of 100 is formed on the polysilicon layer.
It may be laminated by about nm.

【0074】さらに、ポリシリコン層31(あるいは、
ポリシリコン層上層のSiNまたはSiO2 層)の上層
にゲート電極パターンを有するレジスト32を形成し、
レジスト32をマスクとしてポリシリコン層31のエッ
チングを実施形態1と同様の条件で行うと、ゲート電極
4が形成される(図8(B)参照)。
Further, the polysilicon layer 31 (or alternatively,
Forming a resist 32 having a gate electrode pattern on the upper layer of the polysilicon layer (SiN or SiO 2 layer);
When the polysilicon layer 31 is etched using the resist 32 as a mask under the same conditions as in the first embodiment, the gate electrode 4 is formed (see FIG. 8B).

【0075】次に、ゲート電極4をマスクとしてイオン
注入を行い、自己整合的にLDD領域6を形成する(図
8(B)参照)。LDD領域6を形成するイオン注入
は、実施形態1と同様の条件で行うことができる。次
に、ゲート電極にサイドウォール7を設けるため、全面
に膜厚150nm程度の酸化膜(あるいは単層のSiN
膜や、SiN/SiO2 多層膜であってもよい。)を堆
積させる。この酸化膜の形成は、例えば以下の条件で行
う。 成膜ガス:TEOS(テトラエトキシシラン)=50s
ccm 温度:720℃, 圧力:40Pa
Next, ion implantation is performed using the gate electrode 4 as a mask to form the LDD region 6 in a self-aligned manner (see FIG. 8B). The ion implantation for forming the LDD region 6 can be performed under the same conditions as in the first embodiment. Next, in order to provide the sidewall 7 on the gate electrode, an oxide film (or a single-layer SiN
It may be a film or a SiN / SiO 2 multilayer film. ) Is deposited. This oxide film is formed, for example, under the following conditions. Film forming gas: TEOS (tetraethoxysilane) = 50s
ccm temperature: 720 ° C, pressure: 40Pa

【0076】その後、例えば以下の条件で、全面エッチ
バックを行う。 エッチングガス:C4 8 =50sccm, 圧力:2
Pa RFパワー:1200W これにより、ゲート電極4に絶縁体からなるサイドウォ
ール7が形成される(図8(C)参照)。
Thereafter, the entire surface is etched back under the following conditions, for example. Etching gas: C 4 F 8 = 50 sccm, pressure: 2
Pa RF power: 1200 W As a result, the sidewall 7 made of an insulator is formed on the gate electrode 4 (see FIG. 8C).

【0077】次に、図20(A)に示すように、サイド
ウォール7をマスクとしてイオン注入を行い、ソース/
ドレイン領域5を形成する。nチャネルトランジスタ形
成領域には、例えばヒ素をイオンエネルギー60ke
V、導入量3×1015atoms/cm2 の条件でイオ
ン注入する。また、pチャネルトランジスタ形成領域に
は、例えばBF2 をイオンエネルギー40keV、導入
量3×1015atoms/cm2 の条件でイオン注入す
る。その後、1000℃程度の短時間熱処理を施して、
ソース/ドレイン領域5にイオン注入された不純物を拡
散させることにより、MOSトランジスタが形成され
る。
Next, as shown in FIG. 20A, ion implantation is performed using the side wall 7 as a mask,
The drain region 5 is formed. In the n-channel transistor formation region, for example, arsenic is ion energy 60 ke.
V ions are implanted under the conditions of an introduction amount of 3 × 10 15 atoms / cm 2 . In the p-channel transistor formation region, for example, BF 2 is ion-implanted under the conditions of an ion energy of 40 keV and a dose of 3 × 10 15 atoms / cm 2 . After that, heat treatment is performed for about a short time at about 1000 ° C.
By diffusing the impurities implanted into the source / drain regions 5, a MOS transistor is formed.

【0078】続いて、SALICIDE技術を適用し
て、ソース/ドレイン領域あるいはゲート電極の抵抗を
低減化させる。まず、希フッ酸を用いたライトエッチン
グにより、シリコン基板表面の酸化膜を除去し、Si表
面を露出させる(図9(B)参照)。その後、例えばス
パッタリングにより、全面に高融点金属層33、例えば
Co単層、またはTi/Co積層膜あるいはTiN/C
o積層膜を形成する。高融点金属層33の形成は、実施
形態1と同様の条件で行うことができる。高融点金属層
33を全面に形成した後、1st RTAを例えば以下
の条件で行ってCo層をシリサイド化させ、Co2 Si
を形成する。 ガス:N2 =5L/min, 温度:550℃, 時
間:30秒
Subsequently, the resistance of the source / drain region or the gate electrode is reduced by applying the SALICIDE technique. First, the oxide film on the surface of the silicon substrate is removed by light etching using diluted hydrofluoric acid to expose the Si surface (see FIG. 9B). Thereafter, the refractory metal layer 33, for example, a Co single layer, a Ti / Co laminated film, or a TiN / C
o A laminated film is formed. The formation of the refractory metal layer 33 can be performed under the same conditions as in the first embodiment. After the refractory metal layer 33 is formed on the entire surface, 1st RTA is performed, for example, under the following conditions to silicide the Co layer, and the Co 2 Si
To form Gas: N 2 = 5 L / min, Temperature: 550 ° C., Time: 30 seconds

【0079】次に、図20(B)に示すように、硫酸/
過酸化水素水に浸漬させることにより、未反応のTiも
しくはTiN等を除去する。これにより、高融点金属層
33のシリサイド化された部分のみ残る。続いて、70
0℃の熱処理(2nd RTA)を例えば以下の条件で
行って、Co2 SiをCoSi2 に移行させ、安定した
シリサイドを形成する。 ガス:N2 =5L/min, 温度:700℃, 時
間:30秒
Next, as shown in FIG.
Unreacted Ti or TiN is removed by immersion in a hydrogen peroxide solution. As a result, only the silicided portion of the refractory metal layer 33 remains. Then, 70
A heat treatment (2nd RTA) at 0 ° C. is performed, for example, under the following conditions to transfer Co 2 Si to CoSi 2 and form stable silicide. Gas: N 2 = 5 L / min, Temperature: 700 ° C., Time: 30 seconds

【0080】次に、全面に層間絶縁膜9を、例えば実施
形態1と同様の条件で膜厚200nm程度形成する(図
10(B)参照)。さらに、層間絶縁膜9の上層にSi
N膜35を、例えば実施形態1と同様の条件で膜厚50
nm程度形成する。SiN膜35を形成後、実施形態1
と同様の条件のドライエッチングを行い、コンタクトホ
ールを形成する。
Next, an interlayer insulating film 9 is formed on the entire surface, for example, with a thickness of about 200 nm under the same conditions as in the first embodiment (see FIG. 10B). Further, Si is formed on the interlayer insulating film 9 as an upper layer.
The N film 35 is formed to a thickness of 50 under the same conditions as in the first embodiment, for example.
It is formed on the order of nm. After forming the SiN film 35, the first embodiment
Dry etching is performed under the same conditions as described above to form a contact hole.

【0081】図15に示すように、SiN膜の上層に第
2の層間絶縁膜を、例えば膜厚400nmで形成する。
第2の層間絶縁膜の形成は、上記の層間絶縁膜と同様な
条件で行うことができる。第2の層間絶縁膜の上層にレ
ジスト(不図示)を堆積させ、レジストをマスクとして
ドライエッチング、例えばRIEを行い、コンタクトホ
ールを形成する。エッチングは、前述した層間絶縁膜に
開口を設けるためのエッチングと同様の条件で行うこと
ができる。
As shown in FIG. 15, a second interlayer insulating film having a thickness of, for example, 400 nm is formed on the SiN film.
The formation of the second interlayer insulating film can be performed under the same conditions as the above-described interlayer insulating film. A resist (not shown) is deposited on the second interlayer insulating film, and dry etching, for example, RIE is performed using the resist as a mask to form a contact hole. The etching can be performed under the same conditions as the above-described etching for forming an opening in the interlayer insulating film.

【0082】続いて、コンタクトホール内に配線材料を
埋め込み、配線を形成する。まず、バリアメタル層とし
てTi層を膜厚30nmで、例えばスパッタリングによ
り形成し、その上層にTiN層を膜厚70nmで積層さ
せる。Cu層をスパッタリングにより膜厚10nm程度
で薄く形成してから、さらに、Cu層を電界メッキ法で
膜厚600nm程度、成膜する。これらの各膜の成膜
は、実施形態1と同様の条件で行うことができる。
Subsequently, a wiring material is buried in the contact hole to form a wiring. First, a 30-nm-thick Ti layer is formed as a barrier metal layer by, for example, sputtering, and a 70-nm-thick TiN layer is stacked thereover. After a Cu layer is formed to be thin to a thickness of about 10 nm by sputtering, a Cu layer is further formed to a thickness of about 600 nm by electroplating. Each of these films can be formed under the same conditions as in the first embodiment.

【0083】その後、上記のCu層、TiN層およびT
i層に実施形態1と同様の条件でCMPを行い、表面を
平坦化させる。このCMPは、例えば以下の条件で行う
ことができる。さらに、Cu層の上層に、酸化防止膜と
してキャッピングTiN層を形成する。キャッピングT
iN層の成膜は、例えば以下の条件で行うことができ
る。 Tiターゲット使用, ガス:Ar/N2 =30/10
0sccm 圧力:0.67Pa, 温度:150℃
Thereafter, the above-mentioned Cu layer, TiN layer and T
CMP is performed on the i-layer under the same conditions as in the first embodiment to planarize the surface. This CMP can be performed, for example, under the following conditions. Further, a capping TiN layer is formed on the Cu layer as an antioxidant film. Capping T
The iN layer can be formed, for example, under the following conditions. Using Ti target, gas: Ar / N 2 = 30/10
0 sccm pressure: 0.67 Pa, temperature: 150 ° C

【0084】もしくは、酸化防止膜としてはキャッピン
グTiN層のかわりにキャッピングSiN膜を、膜厚3
0nm程度で形成してもよい。キャッピングSiN膜を
成膜する場合は、例えば以下の条件で行うことができ
る。 成膜ガス:SiH4 /NH4 /N2 =265/100/
4000sccm 温度:400℃, 圧力:565Pa
Alternatively, instead of the capping TiN layer, a capping SiN film having a thickness of 3
It may be formed with a thickness of about 0 nm. When a capping SiN film is formed, for example, it can be performed under the following conditions. Film forming gas: SiH 4 / NH 4 / N 2 = 265/100 /
4000 sccm temperature: 400 ° C, pressure: 565 Pa

【0085】以上の工程により、図15に示す半導体装
置が形成される。上記の本実施形態の半導体装置の製造
方法によれば、アクティブ領域とトレンチ側壁との界面
に不純物拡散領域37が形成されるため、エッジ部分の
窪みに起因した接合リークが抑制される。
Through the above steps, the semiconductor device shown in FIG. 15 is formed. According to the method of manufacturing a semiconductor device of the present embodiment, the impurity diffusion region 37 is formed at the interface between the active region and the trench side wall, so that the junction leak due to the depression at the edge is suppressed.

【0086】(実施形態4)図21は、本実施形態の半
導体装置の断面図である。本実施形態の半導体装置にお
いては、実施形態3と同様に、STIの側壁部分37に
不純物がイオン注入され、さらに、STIの深い領域3
9にはMOSトランジスタのチャネル型と逆導電型の不
純物が低濃度でイオン注入されている。これにより、低
抵抗で接合リークが抑制された細線ゲート構造となって
いる。図21は、nチャネルトランジスタ形成領域にS
TIが形成された断面図であるが、本実施形態を実施形
態1および2と同様に、nチャネルトランジスタ形成領
域とpチャネルトランジスタ形成領域とが混在した半導
体基板に適用することも可能である。また、本実施形態
は実施形態3と同様に、ショートを防止する観点から、
素子分離領域(STI)上にゲート配線が交差して形成
される場合には適用できないが、それ以外の場合のトラ
ンジスタに適用することが可能である。
(Embodiment 4) FIG. 21 is a sectional view of a semiconductor device of this embodiment. In the semiconductor device according to the present embodiment, similarly to the third embodiment, the impurity is ion-implanted into the side wall portion 37 of the STI, and further, the STI deep region 3 is formed.
9 is ion-implanted at a low concentration with impurities of the conductivity type opposite to the channel type of the MOS transistor. As a result, a thin gate structure having low resistance and suppressed junction leakage is obtained. FIG. 21 shows that the S-channel
Although this is a cross-sectional view in which a TI is formed, this embodiment can be applied to a semiconductor substrate in which an n-channel transistor formation region and a p-channel transistor formation region are mixed, as in the first and second embodiments. Further, in the present embodiment, similarly to the third embodiment, from the viewpoint of preventing a short circuit,
The method cannot be applied to the case where gate wirings are formed crossing over the element isolation region (STI), but can be applied to transistors in other cases.

【0087】次に、上記の本実施形態の半導体装置の製
造方法について説明する。まず、図16(A)に示すよ
うに、シリコン基板1上にパッド酸化膜14として膜厚
10nmの熱酸化膜を形成し、その上層に、例えば減圧
CVD法により、SiN膜15を膜厚150nmで形成
する。続いて、図16(B)に示すように、トレンチを
形成するためのマスクとなるように、レジスト28を形
成する。その後、図22(A)に示すように、レジスト
28をマスクとしてシリコン基板1のエッチングを行
い、トレンチ17を形成する。
Next, a method of manufacturing the semiconductor device of the present embodiment will be described. First, as shown in FIG. 16A, a 10-nm-thick thermal oxide film is formed as a pad oxide film 14 on a silicon substrate 1, and an SiN film 15 is formed thereon by a low-pressure CVD method, for example, to a thickness of 150 nm. Formed. Subsequently, as shown in FIG. 16B, a resist 28 is formed to serve as a mask for forming a trench. Thereafter, as shown in FIG. 22A, the silicon substrate 1 is etched using the resist 28 as a mask to form the trench 17.

【0088】次に、図22(B)に示すように、トレン
チ17の内壁に比較的低濃度の不純物をイオン注入す
る。nチャネル型MOSトランジスタ形成領域のトレン
チには、p型不純物として例えば、ホウ素(B)をイオ
ンエネルギー100keV、導入量3×1012atom
s/cm2 でイオン注入する。これにより、トレンチの
底部および側壁部分にp型不純物拡散領域39が形成さ
れる。図示しないが、pチャネル型MOSトランジスタ
形成領域のトレンチには、n型不純物として例えば、リ
ン(P)をイオンエネルギー100keV、導入量3×
1012atoms/cm2 でイオン注入する。
Next, as shown in FIG. 22B, a relatively low concentration impurity is ion-implanted into the inner wall of trench 17. In the trench of the n-channel MOS transistor formation region, for example, boron (B) as a p-type impurity has an ion energy of 100 keV and an introduced amount of 3 × 10 12 atoms.
Ions are implanted at s / cm 2 . As a result, p-type impurity diffusion regions 39 are formed at the bottom and side wall portions of the trench. Although not shown, for example, phosphorus (P) as an n-type impurity is implanted in the trenches of the p-channel MOS transistor formation region at an ion energy of 100 keV and a dose of 3 ×.
Ion implantation is performed at 10 12 atoms / cm 2 .

【0089】次に、図23(A)に示すように、STI
とシリコン基板との界面が開口するようにレジスト40
を形成する。続いて、図23(B)に示すように、レジ
スト40をマスクとして、ソース/ドレイン領域と導電
型が同一である不純物をイオン注入する。具体的には、
nチャネル型MOSトランジスタ形成領域のトレンチ側
壁には、n型不純物として例えば、ヒ素(As)をイオ
ンエネルギー40keV、導入量5×1015atoms
/cm2 でイオン注入し、n+ 型不純物拡散領域37を
形成する。図示しないが、pチャネル型MOSトランジ
スタ形成領域のトレンチ側壁には、p型不純物として例
えば、ホウ素(BF2 )をイオンエネルギー20ke
V、導入量3×1015atoms/cm2 でイオン注入
する。
Next, as shown in FIG.
Resist 40 so that the interface between the substrate and the silicon substrate is opened.
To form Subsequently, as shown in FIG. 23B, impurities having the same conductivity type as the source / drain regions are ion-implanted using the resist 40 as a mask. In particular,
For example, arsenic (As) having an ion energy of 40 keV and an introduction amount of 5 × 10 15 atoms is implanted as an n-type impurity on the trench sidewall of the n-channel MOS transistor formation region.
/ Cm 2 to form an n + -type impurity diffusion region 37. Although not shown, for example, boron (BF 2 ) as a p-type impurity has an ion energy of 20 ke on the trench side wall of the p-channel MOS transistor formation region.
V ions are implanted at a dose of 3 × 10 15 atoms / cm 2 .

【0090】次に、図24(A)に示すように、例えば
ECRプラズマCVD装置を用いて、トレンチ内を埋め
込むように酸化膜(HDP膜)19を600nm堆積さ
せる。広いアクティブ領域20上には酸化膜19が厚く
堆積されるため、図24(B)に示すように、レジスト
30をマスクとして広いアクティブ領域20上の酸化膜
をエッチングして除去する。
Next, as shown in FIG. 24A, an oxide film (HDP film) 19 is deposited to a thickness of 600 nm using an ECR plasma CVD apparatus so as to fill the trench. Since the oxide film 19 is thickly deposited on the wide active region 20, the oxide film on the wide active region 20 is removed by etching using the resist 30 as a mask, as shown in FIG.

【0091】続いて、図25(A)に示すように、CM
Pによる研磨を全面に行い、表面を平坦化させる。その
後、図25(B)に示すように、ホットリン酸を用いた
エッチングによりSiN膜15を除去し、さらに、フッ
酸を用いたライトエッチングによりパッド酸化膜14を
除去する。これにより、STIの側壁部分37に不純物
がイオン注入され、さらに、STIの深い領域39にM
OSトランジスタのチャネル型と逆導電型の不純物が低
濃度でイオン注入された半導体基板が形成される。以
下、実施形態1と同様の工程に従って、半導体基板にM
OSトランジスタを形成することにより、図21に示す
ような半導体装置が得られる。
Subsequently, as shown in FIG.
Polishing is performed on the entire surface to flatten the surface. Thereafter, as shown in FIG. 25B, the SiN film 15 is removed by etching using hot phosphoric acid, and the pad oxide film 14 is further removed by light etching using hydrofluoric acid. As a result, impurities are ion-implanted into the side wall portion 37 of the STI, and M
A semiconductor substrate is formed in which impurities of a conductivity type opposite to that of the channel type of the OS transistor are ion-implanted at a low concentration. Hereinafter, according to the same process as in the first embodiment, M
By forming the OS transistor, a semiconductor device as shown in FIG. 21 is obtained.

【0092】(実施形態5)図26(A)は、本実施形
態の半導体装置の断面図である。本実施形態の半導体装
置においては、トレンチのエッジ部分に窪みがなく、M
OSトランジスタのソース/ドレイン領域5表面が平坦
化され、シリサイドの凝集も抑制されている。これによ
り、低抵抗で接合リークが抑制された細線ゲート構造と
なっている。
(Embodiment 5) FIG. 26A is a sectional view of a semiconductor device of this embodiment. In the semiconductor device of the present embodiment, there is no depression at the edge of the trench,
The surface of the source / drain region 5 of the OS transistor is flattened, and aggregation of silicide is suppressed. As a result, a thin gate structure having low resistance and suppressed junction leakage is obtained.

【0093】以下に、本実施形態の半導体装置の製造方
法について説明する。本実施形態の半導体装置の製造方
法は、半導体基板にSTI(素子分離領域)を設ける工
程まで実施形態3と同一であり、上記の図16〜図17
を参照して説明する。
Hereinafter, a method for manufacturing the semiconductor device of the present embodiment will be described. The manufacturing method of the semiconductor device of the present embodiment is the same as that of the third embodiment up to the step of providing an STI (element isolation region) in the semiconductor substrate.
This will be described with reference to FIG.

【0094】まず、図16(A)に示すように、シリコ
ン基板1上にパッド酸化膜14として膜厚10nmの熱
酸化膜を形成する。その上層に、例えば減圧CVD法に
より、SiN膜15を膜厚150nmで形成する。続い
て、図16(B)に示すように、トレンチを形成するた
めのマスクとなるように、レジスト28を形成する。図
16(C)に示すように、レジスト28をマスクとして
シリコン基板1のエッチングを行い、トレンチ17を形
成する。図17(A)に示すように、例えばECRプラ
ズマCVD装置を用いて、トレンチ17内を埋め込むよ
うに酸化膜(HDP膜)19を600nm堆積させる。
広いアクティブ20領域上には酸化膜19が厚く堆積さ
れるため、図17(B)に示すように、レジスト30を
マスクとして広いアクティブ領域20上の酸化膜19を
エッチングして除去する。
First, as shown in FIG. 16A, a 10-nm-thick thermal oxide film is formed as a pad oxide film 14 on the silicon substrate 1. An SiN film 15 having a thickness of 150 nm is formed thereon by, for example, a low pressure CVD method. Subsequently, as shown in FIG. 16B, a resist 28 is formed to serve as a mask for forming a trench. As shown in FIG. 16C, the silicon substrate 1 is etched using the resist 28 as a mask to form the trench 17. As shown in FIG. 17A, an oxide film (HDP film) 19 is deposited to a thickness of 600 nm so as to fill the trench 17 using, for example, an ECR plasma CVD apparatus.
Since the oxide film 19 is deposited thick on the wide active region 20, the oxide film 19 on the wide active region 20 is removed by etching using the resist 30 as a mask, as shown in FIG.

【0095】続いて、図26(B)に示すように、CM
Pによる研磨を全面に行い表面を平坦化させる。その
後、ホットリン酸(70℃)を用いたエッチングを行
い、SiN膜15を除去する。次に、図27(A)に示
すように、SOG(スピン・オン・グラス)により酸化
膜41を形成して、表面を平坦化させる。図27(B)
に示すように、SOG膜41が形成された状態で再度C
MPを行うと、トレンチ内の酸化膜19とシリコン基板
1の表面が均一に平坦化される。その後、図27(C)
に示すように、フッ酸を用いたライトエッチングを行っ
てパッド酸化膜14を除去する。以上の工程により、ト
レンチの端部に窪みのない半導体基板が形成される。続
いて、実施形態1と同様の工程に従って、半導体基板に
MOSトランジスタを形成することにより、図26
(A)に示すような半導体装置が得られる。
Subsequently, as shown in FIG.
Polishing is performed on the entire surface to flatten the surface. Thereafter, etching using hot phosphoric acid (70 ° C.) is performed to remove the SiN film 15. Next, as shown in FIG. 27A, an oxide film 41 is formed by SOG (spin-on-glass) to flatten the surface. FIG. 27 (B)
As shown in FIG. 5, C is again formed in a state where the SOG film 41 is formed.
When MP is performed, the oxide film 19 in the trench and the surface of the silicon substrate 1 are uniformly flattened. Then, FIG.
As shown in FIG. 7, the pad oxide film 14 is removed by performing light etching using hydrofluoric acid. Through the above steps, a semiconductor substrate having no depression at the end of the trench is formed. Subsequently, a MOS transistor is formed on a semiconductor substrate according to the same process as that of the first embodiment, whereby the structure shown in FIG.
A semiconductor device as shown in FIG.

【0096】(実施形態6)図28(A)は、本実施形
態の半導体装置の断面図である。本実施形態の半導体装
置においては、実施形態5と同様に、トレンチのエッジ
部分の窪みがなく、MOSトランジスタのソース/ドレ
イン領域5表面が平坦化されており、シリサイドの凝集
が抑制されている。これにより、低抵抗で、かつ接合リ
ークが抑制された細線ゲート構造となっている。
(Embodiment 6) FIG. 28A is a sectional view of a semiconductor device of this embodiment. In the semiconductor device of the present embodiment, similarly to the fifth embodiment, there is no depression at the edge portion of the trench, the surface of the source / drain region 5 of the MOS transistor is flattened, and aggregation of silicide is suppressed. As a result, a thin-line gate structure with low resistance and suppressed junction leakage is obtained.

【0097】以下に、本実施形態の半導体装置の製造方
法について説明する。本実施形態の半導体装置の製造方
法は、半導体基板にSTI(素子分離領域)を設ける工
程まで実施形態3と同一であり、上記の図16〜図17
を参照して説明する。まず、図16(A)に示すよう
に、シリコン基板1上に膜厚10nmのパッド酸化膜1
4を形成し、その上層に、例えば減圧CVD法によりS
iN膜15を膜厚150nmで形成する。続いて、図1
6(B)に示すように、トレンチを形成するためのマス
クとなるようにレジスト28を形成する。図16(C)
に示すように、レジスト28をマスクとしてシリコン基
板にトレンチ17を形成する。
Hereinafter, a method for manufacturing the semiconductor device of this embodiment will be described. The manufacturing method of the semiconductor device of the present embodiment is the same as that of the third embodiment up to the step of providing an STI (element isolation region) in the semiconductor substrate.
This will be described with reference to FIG. First, as shown in FIG. 16A, a 10 nm-thick pad oxide film 1 is formed on a silicon substrate 1.
4 is formed thereon, and S is formed thereon by, for example, low pressure CVD.
An iN film 15 is formed with a thickness of 150 nm. Subsequently, FIG.
As shown in FIG. 6B, a resist 28 is formed to serve as a mask for forming a trench. FIG. 16 (C)
As shown in FIG. 7, a trench 17 is formed in a silicon substrate using the resist 28 as a mask.

【0098】図17(A)に示すように、例えばECR
プラズマCVD装置を用いて、トレンチ17内を埋め込
むように酸化膜(HDP膜)19を600nm堆積させ
る。広いアクティブ領域20上には酸化膜19が厚く堆
積されるため、図17(B)に示すように、レジスト3
0をマスクとして広いアクティブ領域20上の酸化膜1
9をエッチングして除去する。続いて、図28(B)に
示すように、CMPによる研磨を全面に行って表面を平
坦化させる。
As shown in FIG. 17A, for example, ECR
Using a plasma CVD apparatus, an oxide film (HDP film) 19 is deposited to a thickness of 600 nm so as to fill the trench 17. Since the oxide film 19 is thickly deposited on the wide active region 20, as shown in FIG.
Oxide film 1 on wide active area 20 using 0 as a mask
9 is removed by etching. Subsequently, as shown in FIG. 28B, the entire surface is polished by CMP to planarize the surface.

【0099】次に、図29(A)に示すように、ホット
リン酸(70℃)を用いたエッチングを行い、SiN膜
15を除去する。さらに、フッ酸を用いたライトエッチ
ングを行い、パッド酸化膜14を除去する。この工程に
おいて、トレンチのエッジ部分に窪み21が発生する。
Next, as shown in FIG. 29A, etching using hot phosphoric acid (70 ° C.) is performed to remove the SiN film 15. Further, light etching using hydrofluoric acid is performed to remove the pad oxide film 14. In this step, a depression 21 occurs at the edge of the trench.

【0100】図29(B)に示すように、露出している
シリコン基板1表面にエッチングを行い、トレンチのエ
ッジ部分の窪み21を平坦化させる。このエッチングは
例えば、半導体基板をKOHに10分間浸漬させて行う
ことができる。これにより、表面が平坦化された半導体
基板が得られる。続いて、実施形態1と同様の工程に従
って、半導体基板にMOSトランジスタを形成すること
により、図28(A)に示すような半導体装置が得られ
る。本実施形態の半導体装置の製造方法によれば、トレ
ンチエッジ部分の窪みをエッチングして平坦化させてか
らMOSトランジスタの形成を行うため、ソース/ドレ
イン領域上にサリサイドを形成する際に、シリサイドが
凝集するのを防止することができる。これにより、接合
リークが抑制される。
As shown in FIG. 29B, the exposed surface of the silicon substrate 1 is etched to flatten the depression 21 at the edge of the trench. This etching can be performed, for example, by immersing the semiconductor substrate in KOH for 10 minutes. Thus, a semiconductor substrate having a flattened surface is obtained. Subsequently, a MOS transistor is formed on a semiconductor substrate according to the same process as in the first embodiment, whereby a semiconductor device as shown in FIG. 28A is obtained. According to the method for manufacturing a semiconductor device of the present embodiment, since the MOS transistor is formed after the trench at the edge of the trench is etched and flattened, the silicide is formed when the salicide is formed on the source / drain region. Aggregation can be prevented. Thereby, junction leak is suppressed.

【0101】(実施形態7)図30(A)は、本実施形
態の半導体装置の断面図である。本実施形態の半導体装
置においては、実施形態5または6と同様に、トレンチ
のエッジ部分の窪みがなく、MOSトランジスタのソー
ス/ドレイン領域5表面が平坦化されており、シリサイ
ドの凝集が抑制されている。これにより、低抵抗で接合
リークが抑制された細線ゲート構造となっている。
(Embodiment 7) FIG. 30A is a sectional view of a semiconductor device of this embodiment. In the semiconductor device of the present embodiment, similarly to the fifth or sixth embodiment, there is no depression at the edge portion of the trench, the surface of the source / drain region 5 of the MOS transistor is flattened, and aggregation of silicide is suppressed. I have. As a result, a thin gate structure having low resistance and suppressed junction leakage is obtained.

【0102】以下に、本実施形態の半導体装置の製造方
法について説明する。本実施形態の半導体装置の製造方
法は、半導体基板にSTI(素子分離領域)を設ける工
程(図16(A)〜図17(B))、およびパッド酸化
膜を除去する工程(図28(B))まで、実施形態3あ
るいは実施形態5と同一である。したがって、上記の図
面を参照して説明する。
Hereinafter, a method for manufacturing the semiconductor device of the present embodiment will be described. The method for manufacturing a semiconductor device according to the present embodiment includes a step of providing an STI (element isolation region) in a semiconductor substrate (FIGS. 16A to 17B) and a step of removing a pad oxide film (FIG. 28B )) Are the same as in the third or fifth embodiment. Therefore, description will be made with reference to the above drawings.

【0103】まず、図16(A)に示すように、シリコ
ン基板1上に膜厚10nmのパッド酸化膜14を形成
し、その上層に、例えば減圧CVD法によりSiN膜1
5を膜厚150nmで形成する。続いて、図16(B)
に示すように、トレンチを形成するためのマスクとなる
ようにレジスト28を形成する。さらに、図16(C)
に示すように、レジスト28をマスクとしてシリコン基
板1のエッチングを行い、トレンチ17を形成する。
First, as shown in FIG. 16A, a pad oxide film 14 having a thickness of 10 nm is formed on a silicon substrate 1, and an SiN film 1 is formed thereon by, for example, a low pressure CVD method.
5 is formed with a thickness of 150 nm. Subsequently, FIG.
As shown in (1), a resist 28 is formed to serve as a mask for forming a trench. Further, FIG.
As shown in (1), the silicon substrate 1 is etched using the resist 28 as a mask to form the trench 17.

【0104】図17(A)に示すように、例えばECR
プラズマCVD装置を用いて、トレンチ17内を埋め込
むように酸化膜(HDP膜)19を600nm堆積させ
る。図17(B)に示すように、広いアクティブ領域2
0上に厚く堆積される酸化膜19は、レジスト30をマ
スクとしてエッチング除去する。続いて、図28(B)
に示すように、CMPによる研磨を全面に行い、表面を
平坦化させる。
As shown in FIG. 17A, for example, ECR
Using a plasma CVD apparatus, an oxide film (HDP film) 19 is deposited to a thickness of 600 nm so as to fill the trench 17. As shown in FIG. 17B, a wide active area 2
The oxide film 19 deposited thickly on the substrate 0 is removed by etching using the resist 30 as a mask. Subsequently, FIG.
As shown in (1), polishing is performed on the entire surface by CMP to flatten the surface.

【0105】次に、図30(B)に示すように、ホット
リン酸(70℃)を用いたエッチングを行い、SiN膜
15を除去する。さらに、フッ酸を用いたライトエッチ
ングを行い、パッド酸化膜14を除去する。この工程に
おいて、トレンチ17のエッジ部分に窪み21が発生す
る。
Next, as shown in FIG. 30B, etching using hot phosphoric acid (70 ° C.) is performed to remove the SiN film 15. Further, light etching using hydrofluoric acid is performed to remove the pad oxide film 14. In this step, a depression 21 is formed at the edge of the trench 17.

【0106】次に、図31(A)に示すように、熱酸化
によりゲート酸化膜3を形成し、その上層にゲート電極
を形成するためのポリシリコン層31を、例えば膜厚2
00nmで堆積させる。ポリシリコン層31の形成は、
例えば以下の条件で行う。 成膜ガス:SiH4 /He/N2 =100/400/2
00sccm 圧力:70Pa, 基板温度:610℃ ここで、ポリシリコン層31の酸化を防止するため、ポ
リシリコン層31の上層にSiNまたはSiO2 層を膜
厚100nm程度、積層させてもよい。
Next, as shown in FIG. 31A, a gate oxide film 3 is formed by thermal oxidation, and a polysilicon layer 31 for forming a gate electrode is formed on the gate oxide film 3 with a thickness of, for example, 2 nm.
Deposit at 00 nm. The formation of the polysilicon layer 31
For example, it is performed under the following conditions. Film forming gas: SiH 4 / He / N 2 = 100/400/2
00 sccm pressure: 70 Pa, substrate temperature: 610 ° C. Here, in order to prevent oxidation of the polysilicon layer 31, a SiN or SiO 2 layer having a thickness of about 100 nm may be laminated on the polysilicon layer 31.

【0107】さらに、ポリシリコン層31(あるいは、
ポリシリコン層31上層のSiNまたはSiO2 層)の
上層にレジスト32を堆積させてから、レジスト32に
所定のパターニングを行う。レジスト32をマスクとし
てポリシリコン層31のエッチングを行うと、図31
(B)に示すように、ゲート電極4が形成される。ポリ
シリコン層31のエッチングは、例えば以下の条件で行
う。 エッチングガス:Cl2 /O2 /HBr=75/2/1
20sccm 圧力:1Pa, RFパワー:60W, マイクロ波出
力:850W (但し、ポリシリコン層31の上層にSiNまたはSi
2 層を形成している場合は、ポリシリコン層31のエ
ッチングを行う前に、予め、エッチングガス:C4 8
=50sccm,圧力:2Pa,RFパワー:1200
Wの条件でエッチングしておく。)
Further, the polysilicon layer 31 (or alternatively,
After depositing a resist 32 on the upper layer of the polysilicon layer 31 (SiN or SiO 2 layer), predetermined patterning is performed on the resist 32. When the polysilicon layer 31 is etched using the resist 32 as a mask, FIG.
As shown in (B), a gate electrode 4 is formed. The etching of the polysilicon layer 31 is performed, for example, under the following conditions. Etching gas: Cl 2 / O 2 / HBr = 75/2/1
20 sccm pressure: 1 Pa, RF power: 60 W, microwave output: 850 W (However, SiN or Si
In the case where the O 2 layer is formed, before etching the polysilicon layer 31, an etching gas: C 4 F 8
= 50 sccm, pressure: 2 Pa, RF power: 1200
Etching is performed under the condition of W. )

【0108】次に、図31(C)に示すように、ゲート
電極4をマスクとしてイオン注入を行い、自己整合的に
LDD領域6を形成する。nチャネルトランジスタ形成
領域には、例えばヒ素をイオンエネルギー30keV、
導入量1×1013atoms/cm2 の条件でイオン注
入する。また、pチャネルトランジスタ形成領域には、
例えばホウ素をイオンエネルギー30keV、導入量1
×1013atoms/cm2 の条件でイオン注入する。
これにより、LDD領域6が形成される。
Next, as shown in FIG. 31C, ion implantation is performed using the gate electrode 4 as a mask to form the LDD region 6 in a self-aligned manner. In the n-channel transistor formation region, for example, arsenic is ion-energy 30 keV,
Ion implantation is performed under the conditions of an introduction amount of 1 × 10 13 atoms / cm 2 . In the p-channel transistor formation region,
For example, boron is ion energy 30 keV, introduction amount 1
Ion implantation is performed under the condition of × 10 13 atoms / cm 2 .
Thereby, the LDD region 6 is formed.

【0109】さらに、図31(C)に示すように、ゲー
ト電極4にサイドウォール7を設けるため、全面に膜厚
150nm程度の酸化膜(あるいは単層のSiN膜や、
SiN/SiO2 多層膜であってもよい。)を堆積させ
る。この酸化膜の形成は、例えば以下の条件で行う。 成膜ガス:TEOS(テトラエトキシシラン)=50s
ccm 温度:720℃, 圧力:40Pa
Further, as shown in FIG. 31C, in order to provide the sidewalls 7 on the gate electrode 4, an oxide film (or a single-layer SiN film,
It may be a SiN / SiO 2 multilayer film. ) Is deposited. This oxide film is formed, for example, under the following conditions. Film forming gas: TEOS (tetraethoxysilane) = 50s
ccm temperature: 720 ° C, pressure: 40Pa

【0110】その後、例えば以下の条件で、全面エッチ
バックを行う。 エッチングガス:C4 8 =50sccm, 圧力:2
Pa RFパワー:1200W これにより、ゲート電極4に絶縁体サイドウォール7が
形成される。
Thereafter, the entire surface is etched back under the following conditions, for example. Etching gas: C 4 F 8 = 50 sccm, pressure: 2
Pa RF power: 1200 W As a result, the insulator sidewall 7 is formed on the gate electrode 4.

【0111】次に、図32(A)に示すように、フッ酸
を用いたライトエッチングを行い、ゲート電極4以外の
部分のゲート酸化膜3を除去する。さらに、露出してい
るシリコン基板1表面にエッチングを行い、トレンチの
エッジ部分の窪み21を平坦化させる。このエッチング
は、例えば、半導体基板をKOHに10分間浸漬させて
行うことができる。もしくは、CF4 =20sccm,
60秒の条件のケミカルドライエッチングによっても行
うことができる。
Next, as shown in FIG. 32A, light etching using hydrofluoric acid is performed to remove the gate oxide film 3 in portions other than the gate electrode 4. Further, the exposed surface of the silicon substrate 1 is etched to flatten the depression 21 at the edge of the trench. This etching can be performed, for example, by immersing the semiconductor substrate in KOH for 10 minutes. Or, CF 4 = 20 sccm,
It can also be performed by chemical dry etching for 60 seconds.

【0112】次に、図32(B)に示すように、サイド
ウォール7をマスクとしてイオン注入を行い、ソース/
ドレイン領域5を形成する。nチャネル型MOSトラン
ジスタ形成領域には、例えばヒ素をイオンエネルギー6
0keV、導入量3×1015atoms/cm2 の条件
でイオン注入する。また、pチャネル型MOSトランジ
スタ形成領域には、例えばBF2 をイオンエネルギー4
0keV、導入量3×1015atoms/cm2 の条件
でイオン注入する。その後、1000℃程度の短時間熱
処理を施して、ソース/ドレイン領域5にイオン注入さ
れた不純物を拡散させることにより、MOSトランジス
タが形成される。
Next, as shown in FIG. 32B, ion implantation is performed using the sidewall 7 as a mask,
The drain region 5 is formed. In the n-channel type MOS transistor formation region, for example, arsenic is ion-energy 6
Ion implantation is performed under the conditions of 0 keV and a dose of 3 × 10 15 atoms / cm 2 . In the p-channel MOS transistor formation region, for example, BF 2 is ion-energy 4
Ion implantation is performed under the conditions of 0 keV and a dose of 3 × 10 15 atoms / cm 2 . Thereafter, a short-time heat treatment at about 1000 ° C. is performed to diffuse the ion-implanted impurities into the source / drain regions 5, thereby forming a MOS transistor.

【0113】続いて、SALICIDE技術を適用し
て、ソース/ドレイン領域5あるいはゲート電極4の抵
抗を低減化させる。すなわち、図33(A)に示すよう
に、全面にスパッタリングによりTi/Co層あるいは
TiN/Co層等の高融点金属層33を形成する。その
後、1st RTAにより、ソース/ドレイン領域5お
よびゲート電極4上のTi/Co層あるいはTiN/C
o層をシリサイド化させる。次に、図33(B)に示す
ように、酸化膜上のシリサイド化されていない金属層3
3を硫酸/過酸化水素水を用いて除去してから、2nd
RTAを行い、低抵抗シリサイド層34を形成する。
Subsequently, the resistance of the source / drain region 5 or the gate electrode 4 is reduced by applying the SALICIDE technique. That is, as shown in FIG. 33A, a refractory metal layer 33 such as a Ti / Co layer or a TiN / Co layer is formed on the entire surface by sputtering. Thereafter, a Ti / Co layer or a TiN / C layer on the source / drain region 5 and the gate electrode 4 is formed by the first RTA.
The o layer is silicided. Next, as shown in FIG. 33B, the non-silicided metal layer 3 on the oxide film is formed.
3 was removed using sulfuric acid / hydrogen peroxide solution, and then 2nd
By performing RTA, a low-resistance silicide layer 34 is formed.

【0114】さらに、実施形態1と同様の工程に従っ
て、層間絶縁膜、コンタクトホールおよび金属配線等を
形成することにより、図30(A)に示すような半導体
装置が得られる。本実施形態の半導体装置の製造方法に
よれば、ゲート電極を形成後、トレンチのエッジ部分の
窪みを平坦化させるためのエッチングを行う。エッジ部
分の窪みをなくすためのエッチングは、本実施形態のよ
うにゲート電極形成後に行っても、あるいは実施形態6
のようにゲート電極形成前に行ってもいずれでもよい。
Further, by forming an interlayer insulating film, a contact hole, a metal wiring, and the like according to the same steps as those of the first embodiment, a semiconductor device as shown in FIG. 30A is obtained. According to the method for manufacturing a semiconductor device of the present embodiment, after forming the gate electrode, etching is performed to flatten the depression at the edge of the trench. The etching for eliminating the depression at the edge portion may be performed after the formation of the gate electrode as in the present embodiment, or may be performed in the sixth embodiment.
It may be performed before forming the gate electrode as described above.

【0115】本発明の半導体装置およびその製造方法
は、上記の実施の形態に限定されない。例えば、金属層
の形成は、スパッタリング以外にCVD法によっても行
うことができる。また、上記の本発明の半導体装置およ
びその製造方法により、STIのエッジ部分の窪みが解
消された半導体基板に、MOSトランジスタ以外の他の
デバイス、例えばバイポーラトランジスタやCCDを形
成することもできる。また、SALICIDE工程にお
いてシリサイド化させる金属は、上記のCo以外の、T
i、Ni、W、Mo、Pt、Zr、Hf等の高融点遷移
金属であってもよい。その他、本発明の要旨を逸脱しな
い範囲で、種々の変更が可能である。
The semiconductor device and the method of manufacturing the same according to the present invention are not limited to the above embodiments. For example, the metal layer can be formed by a CVD method other than the sputtering. Further, by the semiconductor device and the method of manufacturing the same according to the present invention, it is possible to form a device other than the MOS transistor, for example, a bipolar transistor or a CCD, on the semiconductor substrate in which the depression at the edge of the STI is eliminated. The metal to be silicided in the SALICIDE step is T other than Co.
High melting point transition metals such as i, Ni, W, Mo, Pt, Zr, and Hf may be used. In addition, various changes can be made without departing from the gist of the present invention.

【0116】[0116]

【発明の効果】本発明の半導体装置によれば、STIに
より素子分離がなされた半導体装置において、トレンチ
のエッジ部の窪みに起因した接合リークの問題が解消さ
れる。また、トレンチのエッジ部の膜ストレスや結晶欠
陥集中による接合リークの問題も解消される。また、本
発明の半導体装置の製造方法によれば、狭いアクティブ
領域上においてもシリサイドの凝集が起こりにくく、か
つ、薄膜化されたサリサイドを形成することができる。
これにより、ソース/ドレイン領域が低抵抗化され、高
集積度、高周波数特性および低消費電力の半導体装置を
製造することができる。
According to the semiconductor device of the present invention, the problem of junction leakage caused by the depression at the edge of the trench is eliminated in the semiconductor device in which element isolation is performed by STI. Further, the problem of junction leak due to film stress at the edge of the trench and concentration of crystal defects is also solved. Further, according to the method for manufacturing a semiconductor device of the present invention, salicide which is hard to cause agglomeration of silicide even on a narrow active region and has a reduced thickness can be formed.
Thus, the resistance of the source / drain region is reduced, and a semiconductor device with high integration, high frequency characteristics, and low power consumption can be manufactured.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明の実施形態1に示す半導体装置の断面図
である。
FIG. 1 is a cross-sectional view of a semiconductor device according to a first embodiment of the present invention.

【図2】本発明の実施形態1に示す半導体装置の製造方
法の製造工程を表す断面図である。
FIG. 2 is a cross-sectional view illustrating a manufacturing step of the method for manufacturing a semiconductor device according to the first embodiment of the present invention.

【図3】本発明の実施形態1に示す半導体装置の製造方
法の製造工程を表す断面図である。
FIG. 3 is a cross-sectional view illustrating a manufacturing step of the method for manufacturing a semiconductor device according to the first embodiment of the present invention.

【図4】本発明の実施形態1に示す半導体装置の製造方
法の製造工程を表す断面図である。
FIG. 4 is a cross-sectional view illustrating a manufacturing step of the method for manufacturing a semiconductor device according to the first embodiment of the present invention.

【図5】本発明の実施形態1に示す半導体装置の製造方
法の製造工程を表す断面図である。
FIG. 5 is a sectional view illustrating a manufacturing step of the method for manufacturing a semiconductor device according to the first embodiment of the present invention.

【図6】本発明の実施形態1に示す半導体装置の製造方
法の製造工程を表す断面図である。
FIG. 6 is a sectional view illustrating a manufacturing step of the method for manufacturing a semiconductor device according to the first embodiment of the present invention.

【図7】本発明の実施形態1に示す半導体装置の製造方
法の製造工程を表す断面図である。
FIG. 7 is a cross-sectional view illustrating a manufacturing step of the method for manufacturing a semiconductor device according to the first embodiment of the present invention.

【図8】本発明の実施形態1に示す半導体装置の製造方
法の製造工程を表す断面図である。
FIG. 8 is a cross-sectional view illustrating a manufacturing step of the method for manufacturing a semiconductor device according to the first embodiment of the present invention.

【図9】本発明の実施形態1に示す半導体装置の製造方
法の製造工程を表す断面図である。
FIG. 9 is a cross-sectional view illustrating a manufacturing step of the method for manufacturing a semiconductor device according to the first embodiment of the present invention.

【図10】本発明の実施形態1に示す半導体装置の製造
方法の製造工程を表す断面図である。
FIG. 10 is a sectional view illustrating a manufacturing step of the method for manufacturing a semiconductor device according to the first embodiment of the present invention.

【図11】本発明の実施形態2に示す半導体装置の断面
図である。
FIG. 11 is a sectional view of a semiconductor device according to a second embodiment of the present invention.

【図12】本発明の実施形態2に示す半導体装置の製造
方法の製造工程を表す断面図である。
FIG. 12 is a cross-sectional view illustrating a manufacturing step of the method for manufacturing a semiconductor device according to the second embodiment of the present invention.

【図13】本発明の実施形態2に示す半導体装置の製造
方法の製造工程を表す断面図である。
FIG. 13 is a cross-sectional view illustrating a manufacturing step of the method for manufacturing a semiconductor device according to the second embodiment of the present invention.

【図14】本発明の実施形態2に示す半導体装置の製造
方法の製造工程を表す断面図である。
FIG. 14 is a sectional view illustrating a manufacturing step of the method for manufacturing a semiconductor device according to the second embodiment of the present invention.

【図15】本発明の実施形態3に示す半導体装置の断面
図である。
FIG. 15 is a sectional view of a semiconductor device according to a third embodiment of the present invention.

【図16】本発明の実施形態3に示す半導体装置の製造
方法の製造工程を表す断面図である。
FIG. 16 is a cross-sectional view illustrating a manufacturing step of the manufacturing method of the semiconductor device according to the third embodiment of the present invention.

【図17】本発明の実施形態3に示す半導体装置の製造
方法の製造工程を表す断面図である。
FIG. 17 is a cross-sectional view illustrating a manufacturing step of the method for manufacturing a semiconductor device according to the third embodiment of the present invention.

【図18】本発明の実施形態3に示す半導体装置の製造
方法の製造工程を表す断面図である。
FIG. 18 is a cross-sectional view illustrating a manufacturing step of the method for manufacturing a semiconductor device according to the third embodiment of the present invention.

【図19】本発明の実施形態3に示す半導体装置の製造
方法の製造工程を表す断面図である。
FIG. 19 is a sectional view illustrating a manufacturing step of the method for manufacturing a semiconductor device according to the third embodiment of the present invention.

【図20】本発明の実施形態3に示す半導体装置の製造
方法の製造工程を表す断面図である。
FIG. 20 is a cross-sectional view illustrating a manufacturing step of the manufacturing method of the semiconductor device according to the third embodiment of the present invention.

【図21】本発明の実施形態4に示す半導体装置の断面
図である。
FIG. 21 is a cross-sectional view of a semiconductor device according to a fourth embodiment of the present invention.

【図22】本発明の実施形態4に示す半導体装置の製造
方法の製造工程を表す断面図である。
FIG. 22 is a sectional view illustrating a manufacturing step of the method for manufacturing a semiconductor device according to the fourth embodiment of the present invention.

【図23】本発明の実施形態4に示す半導体装置の製造
方法の製造工程を表す断面図である。
FIG. 23 is a sectional view illustrating a manufacturing step of the method for manufacturing a semiconductor device according to the fourth embodiment of the present invention.

【図24】本発明の実施形態4に示す半導体装置の製造
方法の製造工程を表す断面図である。
FIG. 24 is a cross-sectional view illustrating a manufacturing step of the method for manufacturing a semiconductor device according to the fourth embodiment of the present invention.

【図25】本発明の実施形態4に示す半導体装置の製造
方法の製造工程を表す断面図である。
FIG. 25 is a sectional view illustrating a manufacturing step of the method for manufacturing a semiconductor device according to the fourth embodiment of the present invention.

【図26】(A)は本発明の実施形態5に示す半導体装
置の断面図であり、(B)は本発明の実施形態5に示す
半導体装置の製造方法の製造工程を表す断面図である。
FIG. 26A is a cross-sectional view of a semiconductor device according to a fifth embodiment of the present invention, and FIG. 26B is a cross-sectional view illustrating manufacturing steps of a method of manufacturing the semiconductor device according to the fifth embodiment of the present invention. .

【図27】本発明の実施形態5に示す半導体装置の製造
方法の製造工程を表す断面図である。
FIG. 27 is a sectional view illustrating a manufacturing step of the method for manufacturing a semiconductor device according to the fifth embodiment of the present invention.

【図28】(A)は本発明の実施形態6に示す半導体装
置の断面図であり、(B)は本発明の実施形態6に示す
半導体装置の製造方法の製造工程を表す断面図である。
FIG. 28A is a cross-sectional view of a semiconductor device according to Embodiment 6 of the present invention, and FIG. 28B is a cross-sectional view illustrating manufacturing steps of a method of manufacturing a semiconductor device according to Embodiment 6 of the present invention; .

【図29】本発明の実施形態6に示す半導体装置の製造
方法の製造工程を表す断面図である。
FIG. 29 is a cross-sectional view illustrating a manufacturing step of the manufacturing method of the semiconductor device according to the sixth embodiment of the present invention.

【図30】(A)は本発明の実施形態7に示す半導体装
置の断面図であり、(B)は本発明の実施形態7に示す
半導体装置の製造方法の製造工程を表す断面図である。
FIG. 30A is a cross-sectional view of a semiconductor device according to a seventh embodiment of the present invention, and FIG. 30B is a cross-sectional view illustrating a manufacturing process of the method of manufacturing a semiconductor device according to the seventh embodiment of the present invention. .

【図31】本発明の実施形態7に示す半導体装置の製造
方法の製造工程を表す断面図である。
FIG. 31 is a cross-sectional view illustrating a manufacturing step of the method for manufacturing a semiconductor device according to the seventh embodiment of the present invention.

【図32】本発明の実施形態7に示す半導体装置の製造
方法の製造工程を表す断面図である。
FIG. 32 is a cross-sectional view illustrating a manufacturing step of the manufacturing method of the semiconductor device according to the seventh embodiment of the present invention.

【図33】本発明の実施形態7に示す半導体装置の製造
方法の製造工程を表す断面図である。
FIG. 33 is a cross-sectional view illustrating a manufacturing step of the manufacturing method of the semiconductor device according to the seventh embodiment of the present invention.

【図34】従来の半導体装置の製造方法の製造工程を表
す断面図である。
FIG. 34 is a cross-sectional view illustrating a manufacturing step of a conventional semiconductor device manufacturing method.

【図35】従来の半導体装置の製造方法の製造工程を表
す断面図である。
FIG. 35 is a cross-sectional view illustrating a manufacturing step of a conventional semiconductor device manufacturing method.

【図36】従来の半導体装置の製造方法の製造工程を表
す断面図である。
FIG. 36 is a cross-sectional view illustrating a manufacturing step of a conventional semiconductor device manufacturing method.

【図37】従来の半導体装置の製造方法の製造工程を表
す断面図である。
FIG. 37 is a cross-sectional view illustrating a manufacturing step of a conventional semiconductor device manufacturing method.

【符号の説明】[Explanation of symbols]

1…シリコン基板、2…素子分離層(LOCOS)、3
…ゲート絶縁膜、4…ゲート電極、5…ソース/ドレイ
ン領域、6…LDD領域、7…サイドウォール、8…チ
タンシリサイド層、9…層間絶縁膜、10…コンタクト
ホール、11…バリアメタル層、12…タングステンプ
ラグ、13…金属配線層、14…パッド酸化膜、15、
35…シリコン窒化膜(SiN膜)、16、28、2
9、30、32、40…レジスト、17…トレンチ、1
8、19、38…酸化膜、20…広いアクティブ領域、
21…トレンチエッジの窪み(落ち込み)、22…nチ
ャネル型トランジスタ形成領域(n領域)、23…n領
域の浅い部分のn+ 不純物拡散領域、24、39…n領
域の深い部分のp型不純物拡散領域、25…pチャネル
型トランジスタ形成領域(p領域)、26…p領域の浅
い部分のp+ 不純物拡散領域、27…p領域の深い部分
のn型不純物拡散領域、31…ポリシリコン層、33…
高融点金属層、34…高融点金属シリサイド層、36…
Cu層、37…n領域のトレンチ側壁部分のn+ 不純物
拡散領域、41…酸化膜(SOG膜)。
DESCRIPTION OF SYMBOLS 1 ... Silicon substrate, 2 ... Element isolation layer (LOCOS), 3
... Gate insulating film, 4 gate electrode, 5 source / drain region, 6 LDD region, 7 sidewall, 8 titanium silicide layer, 9 interlayer insulating film, 10 contact hole, 11 barrier metal layer, 12: tungsten plug, 13: metal wiring layer, 14: pad oxide film, 15,
35: silicon nitride film (SiN film), 16, 28, 2
9, 30, 32, 40: resist, 17: trench, 1
8, 19, 38: oxide film, 20: wide active area,
21: recess (dip) at trench edge, 22: n-channel transistor forming region (n region), 23 ... n + impurity diffusion region at shallow portion of n region, 24, 39 ... p-type impurity at deep portion of n region Diffusion regions, 25: p-channel transistor formation region (p region); 26, p + impurity diffusion region in a shallow portion of p region; 27, n-type impurity diffusion region in a deep portion of p region; 31: polysilicon layer; 33 ...
High melting point metal layer, 34 ... High melting point metal silicide layer, 36 ...
Cu layer, 37 + n + impurity diffusion region on the side wall of trench in n region, 41 ··· oxide film (SOG film).

───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) H01L 27/108 H01L 27/14 B 21/8242 27/148 Fターム(参考) 4M118 FA27 5F032 AA35 AA44 AB01 AC01 BB01 CA17 CA18 DA10 DA24 DA33 DA43 DA77 DA78 5F048 BB05 BB08 BC06 BF06 BF07 BF12 BF16 BG01 BG14 BG15 DA25 5F082 AA17 BA05 EA09 EA27 5F083 GA06 JA37 JA39 JA40 MA05 MA19 NA01 PR05 PR23 PR37 PR38 PR40 ──────────────────────────────────────────────────続 き Continued on the front page (51) Int.Cl. 7 Identification symbol FI Theme coat ゛ (Reference) H01L 27/108 H01L 27/14 B 21/8242 27/148 F-term (Reference) 4M118 FA27 5F032 AA35 AA44 AB01 AC01 BB01 CA17 CA18 DA10 DA24 DA33 DA43 DA77 DA78 5F048 BB05 BB08 BC06 BF06 BF07 BF12 BF16 BG01 BG14 BG15 DA25 5F082 AA17 BA05 EA09 EA27 5F083 GA06 JA37 JA39 JA40 MA05 MA19 NA01 PR05 PR23 PR37 PR38 PR40

Claims (15)

【特許請求の範囲】[Claims] 【請求項1】半導体基板上に、素子分離領域により相互
に隔てられた、複数の素子形成領域を有する半導体装置
において、 前記素子分離領域は、半導体基板表面に形成された、絶
縁膜が埋め込まれた素子分離用溝(トレンチ)であり、 前記素子形成領域の前記トレンチとの界面の上端部に、
前記素子形成領域に形成されている半導体素子の電荷キ
ャリアと導電型が同一である、第1導電型不純物が拡散
されている半導体装置。
1. A semiconductor device having a plurality of element formation regions separated from each other by an element isolation region on a semiconductor substrate, wherein the element isolation region is formed by embedding an insulating film formed on a surface of the semiconductor substrate. At the upper end of the interface between the device forming region and the trench.
A semiconductor device in which a first conductivity type impurity is diffused and has the same conductivity type as a charge carrier of a semiconductor element formed in the element formation region.
【請求項2】前記半導体基板の、前記トレンチ底部およ
び側壁部に接する部分に、前記半導体素子の電荷キャリ
アと導電型が逆である、第2導電型不純物が拡散されて
いる請求項1記載の半導体装置。
2. The semiconductor device according to claim 1, wherein a second conductivity type impurity having a conductivity type opposite to that of the charge carrier of said semiconductor element is diffused in a portion of said semiconductor substrate which is in contact with said trench bottom and side wall. Semiconductor device.
【請求項3】前記半導体素子の少なくとも1つは、nチ
ャネル型電界効果トランジスタであり、 前記半導体素子の少なくとも1つは、pチャネル型電界
効果トランジスタである請求項1記載の半導体装置。
3. The semiconductor device according to claim 1, wherein at least one of said semiconductor elements is an n-channel field-effect transistor, and at least one of said semiconductor elements is a p-channel field-effect transistor.
【請求項4】半導体基板上に、素子分離領域により相互
に隔てられた、複数の素子形成領域を有する半導体装置
において、 前記素子分離領域は、半導体基板表面に形成された、絶
縁膜が埋め込まれたトレンチであり、 前記素子形成領域の前記トレンチ側壁との界面に、前記
素子形成領域に形成されている半導体素子の電荷キャリ
アと導電型が同一である、第1導電型不純物が拡散され
ている半導体装置。
4. A semiconductor device having a plurality of element formation regions separated from each other by an element isolation region on a semiconductor substrate, wherein the element isolation region is formed by embedding an insulating film formed on a surface of the semiconductor substrate. A first conductivity type impurity having the same conductivity type as that of a charge carrier of a semiconductor element formed in the element formation region is diffused at an interface between the element formation region and the trench side wall. Semiconductor device.
【請求項5】前記半導体基板の、前記トレンチ底部およ
び側壁部に接する部分に、前記半導体素子の電荷キャリ
アと導電型が逆である、第2導電型不純物が拡散されて
いる請求項4記載の半導体装置。
5. The semiconductor substrate according to claim 4, wherein a second conductivity type impurity having a conductivity type opposite to that of a charge carrier of said semiconductor element is diffused in a portion of said semiconductor substrate which is in contact with said trench bottom and side wall. Semiconductor device.
【請求項6】半導体基板上に、素子分離領域により相互
に隔てられた、複数の素子形成領域を有する半導体装置
において、 前記素子分離領域は、半導体基板表面に形成された、絶
縁膜が埋め込まれたトレンチであり、 前記素子形成領域と、前記素子分離領域の表面は、均一
な高さに平坦化されている半導体装置。
6. A semiconductor device having a plurality of element formation regions separated from each other by an element isolation region on a semiconductor substrate, wherein the element isolation region is embedded with an insulating film formed on a surface of the semiconductor substrate. A semiconductor device, wherein the surfaces of the element formation region and the element isolation region are planarized to a uniform height.
【請求項7】半導体基板上に、素子分離領域により相互
に隔てられた、複数の素子形成領域を有する半導体装置
において、 前記素子分離領域は、半導体基板表面に形成されたトレ
ンチに埋め込まれた絶縁膜からなり、 前記素子形成領域の前記トレンチとの界面の上端部と、
前記素子形成領域の表面は、均一な高さに平坦化されて
いる半導体装置。
7. A semiconductor device having a plurality of element formation regions separated from each other by an element isolation region on a semiconductor substrate, wherein the element isolation region is an insulating film embedded in a trench formed on a surface of the semiconductor substrate. An upper end portion of an interface between the device forming region and the trench;
A semiconductor device in which a surface of the element formation region is flattened to a uniform height.
【請求項8】半導体基板上に犠牲膜を形成する工程と、 前記犠牲膜上に保護層を形成する工程と、 前記半導体基板に、複数の前記素子形成領域を相互に分
離するための、素子分離用溝(トレンチ)を形成する工
程と、 前記トレンチに絶縁膜を、一部が前記半導体基板の表面
に突出するように埋め込む工程と、 前記絶縁膜に化学的機械研磨を行い、素子分離領域を形
成する工程と、 前記素子形成領域に半導体素子を形成する工程とを少な
くとも有する半導体装置の製造方法において、 前記保護層を形成後、前記トレンチ形成領域およびその
周辺部に、前記半導体素子の電荷キャリアと導電型が同
一である、第1導電型不純物を拡散させる工程を有し、 前記トレンチを形成する工程は、前記トレンチ辺縁部
に、前記第1導電型不純物拡散層を所定の間隔で残し
て、前記トレンチを形成する工程である半導体装置の製
造方法。
8. A process for forming a sacrificial film on a semiconductor substrate, a process for forming a protective layer on the sacrificial film, and a device for separating the plurality of device formation regions from each other in the semiconductor substrate. Forming an isolation groove (trench); embedding an insulating film in the trench so that a part thereof protrudes on the surface of the semiconductor substrate; performing chemical mechanical polishing on the insulating film; Forming a semiconductor element in the element forming region, and forming a semiconductor element in the element forming region. After forming the protective layer, the charge of the semiconductor element is stored in the trench forming region and its peripheral portion. Diffusing a first conductivity type impurity having the same conductivity type as that of the carrier, wherein the step of forming the trench includes forming the first conductivity type impurity diffusion layer on an edge of the trench. A method of manufacturing a semiconductor device, wherein the trench is formed while leaving at a predetermined interval.
【請求項9】前記第1導電型不純物を拡散させる工程
は、前記素子形成領域のパターンを有するレジストをマ
スクとして、斜めイオン注入を行う工程である請求項8
記載の半導体装置の製造方法。
9. The step of diffusing the first conductivity type impurity is a step of performing oblique ion implantation using a resist having a pattern of the element formation region as a mask.
The manufacturing method of the semiconductor device described in the above.
【請求項10】前記トレンチを形成後、前記トレンチ底
部および側壁部に接する部分の前記半導体基板に、前記
半導体素子の電荷キャリアと導電型が逆である、第2導
電型不純物を拡散させる工程を有する請求項8記載の半
導体装置の製造方法。
10. A step of diffusing an impurity of a second conductivity type, which has a conductivity type opposite to that of charge carriers of the semiconductor element, into a portion of the semiconductor substrate in contact with a bottom portion and a side wall portion of the trench after forming the trench. 9. The method for manufacturing a semiconductor device according to claim 8, comprising:
【請求項11】半導体基板上に犠牲膜を形成する工程
と、 前記犠牲膜上に保護層を形成する工程と、 前記半導体基板に、複数の前記素子形成領域を相互に分
離するための、素子分離用溝(トレンチ)を形成する工
程と、 前記トレンチに絶縁膜を、一部が前記半導体基板の表面
に突出するように埋め込む工程と、 前記絶縁膜に化学的機械研磨を行い、素子分離領域を形
成する工程と、 前記素子形成領域に半導体素子を形成する工程とを少な
くとも有する半導体装置の製造方法において、 前記素子分離領域を形成後、前記トレンチ側壁部と前記
素子形成領域との界面に、前記半導体素子の電荷キャリ
アと導電型が同一である、第1導電型不純物を拡散させ
る工程を有する半導体装置の製造方法。
11. A method for forming a sacrificial film on a semiconductor substrate, forming a protective layer on the sacrificial film, and forming an element for separating the plurality of element formation regions from each other on the semiconductor substrate. Forming an isolation groove (trench); embedding an insulating film in the trench so that a part thereof protrudes on the surface of the semiconductor substrate; performing chemical mechanical polishing on the insulating film; Forming a semiconductor element in the element formation region, and forming a semiconductor element in the element formation region, after forming the element isolation region, at an interface between the trench sidewall portion and the element formation region, A method of manufacturing a semiconductor device, comprising: diffusing a first conductivity type impurity having the same conductivity type as a charge carrier of the semiconductor element.
【請求項12】前記トレンチを形成後、前記トレンチ底
部および側壁部に接する部分の前記半導体基板に、前記
半導体素子の電荷キャリアと導電型が逆である、第2導
電型不純物を拡散させる工程を有する請求項11記載の
半導体装置の製造方法。
12. A step of diffusing a second conductivity type impurity having a conductivity type opposite to that of a charge carrier of the semiconductor element into a portion of the semiconductor substrate in contact with a bottom portion and a side wall portion of the trench after forming the trench. The method of manufacturing a semiconductor device according to claim 11, further comprising:
【請求項13】半導体基板上に犠牲膜を形成する工程
と、 前記犠牲膜上に保護層を形成する工程と、 前記半導体基板に、複数の前記素子形成領域を相互に分
離するための、素子分離用溝(トレンチ)を形成する工
程と、 前記トレンチに絶縁膜を、一部が前記半導体基板の表面
に突出するように埋め込む工程と、 前記絶縁膜に化学的機械研磨を行い、素子分離領域を形
成する工程と、 前記素子形成領域に半導体素子を形成する工程とを少な
くとも有する半導体装置の製造方法において、 前記絶縁膜に化学的機械研磨を行った後、前記保護層を
除去する工程と、 全面に、有機系溶媒に分散させたシリコン酸化物を塗布
し(スピン・オン・グラス;SOG)、酸化膜を形成す
る工程と、 第2の化学的機械研磨を行い、前記素子形成領域と、前
記素子分離領域の表面を、均一な高さに平坦化させる工
程とを有する半導体装置の製造方法。
13. A process for forming a sacrificial film on a semiconductor substrate, a process for forming a protective layer on the sacrificial film, and a device for separating the plurality of device formation regions from each other in the semiconductor substrate. Forming an isolation groove (trench); embedding an insulating film in the trench so that a part thereof protrudes on the surface of the semiconductor substrate; performing chemical mechanical polishing on the insulating film; Forming a semiconductor device in the element forming region, and a step of removing the protective layer after performing a chemical mechanical polishing on the insulating film; A step of applying silicon oxide dispersed in an organic solvent (spin-on-glass; SOG) on the entire surface to form an oxide film; and performing a second chemical mechanical polishing to form the element formation region; Previous The method of manufacturing a semiconductor device having a step of the surface of the isolation region, thereby flattening the uniform height.
【請求項14】半導体基板上に犠牲膜を形成する工程
と、 前記犠牲膜上に保護層を形成する工程と、 前記半導体基板に、複数の前記素子形成領域を相互に分
離するための、素子分離用溝(トレンチ)を形成する工
程と、 前記トレンチに絶縁膜を、一部が前記半導体基板の表面
に突出するように埋め込む工程と、 前記絶縁膜に化学的機械研磨を行い、素子分離領域を形
成する工程と、 前記素子形成領域に半導体素子を形成する工程とを少な
くとも有する半導体装置の製造方法において、 前記絶縁膜に化学的機械研磨を行った後、前記保護層を
除去して、前記素子形成領域の前記半導体基板を露出さ
せる工程と、 前記素子形成領域に露出した前記半導体基板にエッチン
グを行い、前記素子形成領域の前記トレンチとの界面の
上端部と、前記素子形成領域の表面を、均一な高さに平
坦化させる工程とを有し、 前記素子形成領域に前記半導体素子を形成する工程は、
前記素子形成領域の前記トレンチとの界面の上端部と均
一な高さに平坦化された、前記素子形成領域に前記半導
体素子を形成する工程である半導体装置の製造方法。
14. A method for forming a sacrificial film on a semiconductor substrate, forming a protective layer on the sacrificial film, and forming an element for separating the plurality of element formation regions from each other on the semiconductor substrate. Forming an isolation groove (trench); embedding an insulating film in the trench so that a part thereof protrudes on the surface of the semiconductor substrate; performing chemical mechanical polishing on the insulating film; Forming a semiconductor device in the element forming region, and performing a chemical mechanical polishing on the insulating film, removing the protective layer, Exposing the semiconductor substrate in the element formation region; etching the semiconductor substrate exposed in the element formation region to form an upper end portion of an interface between the element formation region and the trench; Flattening the surface of the element formation region to a uniform height, and forming the semiconductor element in the element formation region,
A method of manufacturing a semiconductor device, comprising forming the semiconductor element in the element formation region, which is flattened to a uniform height with an upper end portion of an interface between the element formation region and the trench.
【請求項15】半導体基板上に犠牲膜を形成する工程
と、 前記犠牲膜上に保護層を形成する工程と、 前記半導体基板に、複数の前記素子形成領域を相互に分
離するための、素子分離用溝(トレンチ)を形成する工
程と、 前記トレンチに絶縁膜を、一部が前記半導体基板の表面
に突出するように埋め込む工程と、 前記絶縁膜に化学的機械研磨を行い、素子分離領域を形
成する工程と、 前記素子形成領域に半導体素子を形成する工程とを少な
くとも有する半導体装置の製造方法において、 前記半導体素子を形成後、前記半導体素子の表面にエッ
チングを行い、前記素子形成領域の前記トレンチとの界
面の上端部と、前記素子形成領域の表面を、均一な高さ
に平坦化させる工程を有する半導体装置の製造方法。
15. A device for forming a sacrificial film on a semiconductor substrate, a process for forming a protective layer on the sacrificial film, and a device for separating the plurality of device forming regions from each other in the semiconductor substrate. Forming an isolation groove (trench); embedding an insulating film in the trench so that a part thereof protrudes on the surface of the semiconductor substrate; performing chemical mechanical polishing on the insulating film; Forming a semiconductor element in the element forming region, and forming a semiconductor element in the element forming region. The method according to claim 1, further comprising: etching the surface of the semiconductor element after forming the semiconductor element, A method for manufacturing a semiconductor device, comprising: flattening an upper end portion of an interface with the trench and a surface of the element formation region to a uniform height.
JP10255584A 1998-09-09 1998-09-09 Semiconductor device and its manufacture Pending JP2000091417A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP10255584A JP2000091417A (en) 1998-09-09 1998-09-09 Semiconductor device and its manufacture

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP10255584A JP2000091417A (en) 1998-09-09 1998-09-09 Semiconductor device and its manufacture

Publications (1)

Publication Number Publication Date
JP2000091417A true JP2000091417A (en) 2000-03-31

Family

ID=17280756

Family Applications (1)

Application Number Title Priority Date Filing Date
JP10255584A Pending JP2000091417A (en) 1998-09-09 1998-09-09 Semiconductor device and its manufacture

Country Status (1)

Country Link
JP (1) JP2000091417A (en)

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0547919A (en) * 1991-08-16 1993-02-26 Sony Corp Manufacture of semiconductor device
JPH06216152A (en) * 1993-01-13 1994-08-05 Toshiba Corp Semiconductor device and manufacture thereof
JPH09172063A (en) * 1995-12-19 1997-06-30 Matsushita Electric Ind Co Ltd Semiconductor device and its manufacture
JPH1050822A (en) * 1996-05-28 1998-02-20 Toshiba Corp Manufacture of semiconductor device

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0547919A (en) * 1991-08-16 1993-02-26 Sony Corp Manufacture of semiconductor device
JPH06216152A (en) * 1993-01-13 1994-08-05 Toshiba Corp Semiconductor device and manufacture thereof
JPH09172063A (en) * 1995-12-19 1997-06-30 Matsushita Electric Ind Co Ltd Semiconductor device and its manufacture
JPH1050822A (en) * 1996-05-28 1998-02-20 Toshiba Corp Manufacture of semiconductor device

Similar Documents

Publication Publication Date Title
US6124189A (en) Metallization structure and method for a semiconductor device
JP3669919B2 (en) Manufacturing method of semiconductor device
US20060177983A1 (en) Method for forming a notched gate
JP3563530B2 (en) Semiconductor integrated circuit device
JPH1126757A (en) Semiconductor device and manufacture thereof
US6214656B1 (en) Partial silicide gate in sac (self-aligned contact) process
US7382027B2 (en) MOSFET device with low gate contact resistance
US20090289285A1 (en) Semiconductor device and method of fabricating the same
JP2001077209A (en) Manufacture of semiconductor device
JP2001237427A (en) Protuberant silicide source/drain type mos transistor with expanded source/drain contact region and method
KR100268965B1 (en) Semiconductor device and method of forming the same
JP3394914B2 (en) Semiconductor device and manufacturing method thereof
JP2008021935A (en) Electronic device and manufacturing method thereof
US20030113973A1 (en) Method for fabricating local interconnects
JP4022989B2 (en) Semiconductor device and manufacturing method thereof
US6656825B2 (en) Semiconductor device having an improved local interconnect structure and a method for forming such a device
JP2000091417A (en) Semiconductor device and its manufacture
JP2004235255A (en) Semiconductor device and method of manufacturing the same
US20050142780A1 (en) Method of fabricating a fin transistor
JP2001168352A (en) Semiconductor device and its manufacturing method
US6281143B1 (en) Method of forming borderless contact
JP2003188386A (en) Semiconductor device and its fabricating method
JP2003110015A (en) Method of fabricating semiconductor device
JP2003224135A (en) Semiconductor device and its manufacturing method
JP2000269491A (en) Manufacture of semiconductor device, and the semiconductor device

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050613

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080814

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080826

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081027

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20091013

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091130

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100803

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100922

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20110614