JP2000058650A - Semiconductor device, and method and device for manufacturing the device - Google Patents

Semiconductor device, and method and device for manufacturing the device

Info

Publication number
JP2000058650A
JP2000058650A JP11012699A JP1269999A JP2000058650A JP 2000058650 A JP2000058650 A JP 2000058650A JP 11012699 A JP11012699 A JP 11012699A JP 1269999 A JP1269999 A JP 1269999A JP 2000058650 A JP2000058650 A JP 2000058650A
Authority
JP
Japan
Prior art keywords
film
silicon
titanium nitride
nitride layer
insulating film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP11012699A
Other languages
Japanese (ja)
Other versions
JP3208124B2 (en
Inventor
Takashi Harada
剛史 原田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Holdings Corp
Original Assignee
Matsushita Electronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Matsushita Electronics Corp filed Critical Matsushita Electronics Corp
Priority to JP01269999A priority Critical patent/JP3208124B2/en
Priority to US09/321,713 priority patent/US6475912B1/en
Publication of JP2000058650A publication Critical patent/JP2000058650A/en
Priority to US09/884,135 priority patent/US6683381B2/en
Application granted granted Critical
Publication of JP3208124B2 publication Critical patent/JP3208124B2/en
Priority to US10/737,911 priority patent/US6906420B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Landscapes

  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

PROBLEM TO BE SOLVED: To avoid operation failures or degraded yield, even if a wiring layer is formed using Cu. SOLUTION: A lower part wiring layer 102 is formed at the surface of a semiconductor substrate 101. Then, a SiO film 103, an Si3N4 film 104, and an SiO2 film 105 are sequentially deposited to form a through-hole 106 and a wiring groove 107. Then, a Ti film 108 is deposited by a physical vapor-phase growth method and then a TiN film 109 by a chemical vapor-phase growth method, and the surface of TiN film 109 is exposed to N2 plasma. Then the surface of the TiN film 109 is exposed to SiH4, to form a TiSiN film 110. After a Cu film 111 is deposited on the surface of a TiSiN film 110 by a physical vapor-phase growth method, a Cu film 112 is deposited on the surface of the Cu film 111 by an electrolytic plating method. Lastly, the metal film on the SiO2 film 195 is removed by a chemical-mechanical polishing method.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、半導体装置、半導
体装置の製造方法、半導体装置の製造装置に関するもの
である。
The present invention relates to a semiconductor device, a method for manufacturing a semiconductor device, and a device for manufacturing a semiconductor device.

【0002】[0002]

【従来の技術】半導体装置の高集積化に伴い、隣接する
配線層の間隔は縮小され、配線層間の容量増大が無視で
きなくなっている。配線層間容量が増大すると、配線遅
延によって半導体装置の動作速度低下する。これを防ぐ
ために、銅(Cu)を使用して低抵抗の配線層を形成す
る技術が近年盛んに検討されている。図25から図30
を参照しながら、Cuを使用して配線層を形成した半導
体装置の従来技術を説明する。
2. Description of the Related Art With the increase in the degree of integration of semiconductor devices, the distance between adjacent wiring layers has been reduced, and the increase in capacitance between wiring layers cannot be ignored. When the capacitance between wiring layers increases, the operation speed of the semiconductor device decreases due to wiring delay. In order to prevent this, a technique of forming a low-resistance wiring layer using copper (Cu) has been actively studied in recent years. 25 to 30
A conventional technique of a semiconductor device in which a wiring layer is formed using Cu will be described with reference to FIG.

【0003】図30に示すように、この半導体装置は、
半導体基板1と、半導体基板1の表面に形成された下部
配線層2と、下部配線層2を覆うように半導体基板1上
に堆積された二酸化ケイ素(SiO2)膜3とを備えて
いる。SiO2膜3上には四窒化三ケイ素(Si34
膜4が堆積されており、Si34膜4上にはSiO2
5が堆積されている。SiO2膜3、Si34膜4およ
びSiO2膜5によって層間絶縁膜が形成されている。
この層間絶縁膜には、下部配線層2に達するスルーホー
ル6と、スルーホール6に連結する溝状凹部(配線溝)
7とが形成されており、配線溝7内にはスルーホール6
を介して下部配線層に電気的に接触する上部配線層13
が設けられている。
As shown in FIG. 30, this semiconductor device comprises:
The semiconductor device includes a semiconductor substrate 1, a lower wiring layer 2 formed on the surface of the semiconductor substrate 1, and a silicon dioxide (SiO 2 ) film 3 deposited on the semiconductor substrate 1 so as to cover the lower wiring layer 2. Tri-silicon tetranitride (Si 3 N 4 ) on the SiO 2 film 3
A film 4 is deposited, and an SiO 2 film 5 is deposited on the Si 3 N 4 film 4. An interlayer insulating film is formed by the SiO 2 film 3, the Si 3 N 4 film 4, and the SiO 2 film 5.
In this interlayer insulating film, a through hole 6 reaching the lower wiring layer 2 and a groove-shaped concave portion (wiring groove) connected to the through hole 6 are formed.
7 are formed, and a through hole 6 is formed in the wiring groove 7.
Upper wiring layer 13 electrically contacting the lower wiring layer through
Is provided.

【0004】上部配線層13は、スルーホール6および
配線溝7の内側面および底面を覆うようチタン(Ti)
膜8と、Ti膜8上に堆積された窒化チタン(TiN)
膜9と、TiN膜9上に堆積されたCu膜10と、Cu
膜10上に堆積されたCu膜11とを含んでいる。
The upper wiring layer 13 is made of titanium (Ti) so as to cover the inner side surface and the bottom surface of the through hole 6 and the wiring groove 7.
Film 8 and titanium nitride (TiN) deposited on Ti film 8
A film 9; a Cu film 10 deposited on the TiN film 9;
And a Cu film 11 deposited on the film 10.

【0005】このような半導体装置の製造方法は以下の
通りである。
A method for manufacturing such a semiconductor device is as follows.

【0006】まず、図25に示すように、半導体基板1
上に下部配線層2を形成する。次に、図26に示すよう
に、SiO2膜3、Si34膜4、SiO2膜5を順に堆
積した後に、リソグラフィー法およびドライエッチング
法を2回ずつ交互に適用することによって、SiO2
3およびSi34膜4の内部にスルーホール6を、Si
2膜5の内部に配線溝7を形成する。次に、図27に
示すように、ドライエッチング法によりスルーホール6
の底部のクリーニングを行なった後に、物理的気層成長
法によりTi膜8を堆積し、続いて化学的気層成長法に
よりTiN膜9を堆積する。
[0006] First, as shown in FIG.
The lower wiring layer 2 is formed thereon. Next, as shown in FIG. 26, after sequentially depositing the SiO 2 film 3, the Si 3 N 4 film 4, and the SiO 2 film 5, the lithography method and the dry etching method are alternately applied twice, so that the SiO 2 film 3 is alternately applied. A through hole 6 is formed inside the second film 3 and the Si 3 N 4 film 4.
A wiring groove 7 is formed inside the O 2 film 5. Next, as shown in FIG. 27, through holes 6 are formed by dry etching.
Is performed, a Ti film 8 is deposited by a physical vapor deposition method, and a TiN film 9 is subsequently deposited by a chemical vapor deposition method.

【0007】次に、図28に示すように、TiN膜9の
表面をN2プラズマに暴露し、TiN膜9の密度を向上
させる。この後、図26に示すように、TiN膜9の表
面に物理的気層成長法によりCu膜10を堆積する。た
だし、Cu膜10の堆積は半導体基板1の中央部のみと
する。この理由については後述する。
Next, as shown in FIG. 28, the surface of the TiN film 9 is exposed to N 2 plasma to increase the density of the TiN film 9. Thereafter, as shown in FIG. 26, a Cu film 10 is deposited on the surface of the TiN film 9 by a physical vapor deposition method. However, the Cu film 10 is deposited only at the center of the semiconductor substrate 1. The reason will be described later.

【0008】TiN膜9およびCu膜10の表面を硫酸
(H2SO4)で洗浄してから、電解メッキ法によりCu
膜10の表面にCu膜11を堆積する。最後に、SiO
2膜5上のTi膜8、TiN膜9、Cu膜10、および
Cu膜11を化学機械的研磨(CMP)法により除去す
ることにより、図30のような半導体装置を作製する。
After the surfaces of the TiN film 9 and the Cu film 10 are washed with sulfuric acid (H 2 SO 4 ), Cu is removed by electrolytic plating.
A Cu film 11 is deposited on the surface of the film 10. Finally, the SiO
By removing the Ti film 8, TiN film 9, Cu film 10, and Cu film 11 on the two films 5 by a chemical mechanical polishing (CMP) method, a semiconductor device as shown in FIG. 30 is manufactured.

【0009】Cu膜10の堆積を半導体基板1の中央部
のみに限定する理由を説明する。一般的に、化学機械的
研磨により金属層を除去できるのは半導体基板1の中央
部のみであり、半導体基板1の周辺部では研磨後にも金
属層が残る。半導体基板1の周辺部でCu膜が残ると、
以後の工程でこのCu膜が剥離して、半導体装置の製造
装置を汚染する。そこで、Cu膜の堆積を半導体基板1
の中央部のみに制限することによって、半導体基板1の
周辺部でCu膜の残りが発生しないようにする方法が広
く使用されている。
The reason why the deposition of the Cu film 10 is limited to only the central portion of the semiconductor substrate 1 will be described. Generally, the metal layer can be removed only at the center of the semiconductor substrate 1 by chemical mechanical polishing, and the metal layer remains at the peripheral portion of the semiconductor substrate 1 even after polishing. When the Cu film remains in the peripheral portion of the semiconductor substrate 1,
The Cu film peels in subsequent steps, and contaminates the semiconductor device manufacturing apparatus. Therefore, the Cu film is deposited on the semiconductor substrate 1.
A method is widely used in which only the central portion of the semiconductor substrate 1 is restricted so that the Cu film does not remain at the peripheral portion of the semiconductor substrate 1.

【0010】[0010]

【発明が解決しようとする課題】以上のような方法で半
導体装置を製造すると、以下のような問題が発生する。
When a semiconductor device is manufactured by the above method, the following problems occur.

【0011】まず、TiN膜9は、Cu膜10およびC
u膜11に含まれるCu原子の拡散を防止する能力が十
分でないために、TiN膜9を通じてCu原子がSiO
2膜3およびSiO2膜5に到達するという問題がある。
SiO2膜3およびSiO2膜5に到達したCu原子は、
SiO2膜3およびSiO2膜5の内部で可動イオンを形
成し、スルーホール6の間および上部配線層13の間の
リーク電流を増大させる。これは、半導体装置の動作不
良の原因となる。
First, the TiN film 9 is formed of a Cu film 10 and a C film.
Since the ability to prevent diffusion of Cu atoms contained in the u film 11 is not sufficient, Cu atoms
There is a problem of reaching the second film 3 and the SiO 2 film 5.
Cu atoms reaching the SiO 2 film 3 and the SiO 2 film 5
Mobile ions are formed inside the SiO 2 film 3 and the SiO 2 film 5 to increase the leak current between the through holes 6 and between the upper wiring layers 13. This causes a malfunction of the semiconductor device.

【0012】また、図29に示すように、電解メッキ法
によるCu膜11の堆積の際に、Cu膜10に隣接する
TiN膜9の表面にもCu膜12が堆積されるいう問題
がある。Cu膜12は、下地であるTiN膜9との密着
性に乏しく、化学機械的研磨の際に容易に剥離して、半
導体装置の歩留まりを著しく低下させる。
Further, as shown in FIG. 29, when the Cu film 11 is deposited by the electrolytic plating method, there is a problem that the Cu film 12 is deposited also on the surface of the TiN film 9 adjacent to the Cu film 10. The Cu film 12 has poor adhesion to the underlying TiN film 9 and easily peels off during chemical mechanical polishing, thereby significantly reducing the yield of the semiconductor device.

【0013】本発明は、上記問題に鑑みてなされたもの
であり、その目的は、Cuを使用して配線層を形成した
場合でも動作不良や歩留まりの低下を起こさない半導体
装置、半導体装置の製造方法、および半導体装置の製造
装置を提供することを目的としている。
SUMMARY OF THE INVENTION The present invention has been made in view of the above problems, and has as its object to manufacture a semiconductor device and a semiconductor device which do not cause malfunction or decrease in yield even when a wiring layer is formed using Cu. It is an object of the present invention to provide a method and an apparatus for manufacturing a semiconductor device.

【0014】[0014]

【課題を解決するための手段】本発明による半導体装置
は、基板と、前記基板に支持される第1導電体膜と、前
記第1導電体膜を覆うように前記基板上に形成された絶
縁膜と、前記絶縁膜に形成された凹部と、前記絶縁膜の
凹部内に形成され、前記第1導電体膜と電気的に接触す
る第2導電体膜とを備えた半導体装置であって、前記第
2導電体膜は、前記絶縁膜の凹部の内部に形成されたシ
リコン含有窒化チタン層と、前記シリコン含有窒化チタ
ン層上に形成された金属膜とを有する。
A semiconductor device according to the present invention comprises a substrate, a first conductive film supported by the substrate, and an insulating film formed on the substrate so as to cover the first conductive film. A semiconductor device comprising: a film; a concave portion formed in the insulating film; and a second conductive film formed in the concave portion of the insulating film and in electrical contact with the first conductive film. The second conductor film includes a silicon-containing titanium nitride layer formed inside a concave portion of the insulating film, and a metal film formed on the silicon-containing titanium nitride layer.

【0015】本発明による他の半導体装置は、基板と、
前記基板に支持される第1導電体膜と、前記第1導電体
膜を覆うように前記基板上に形成された絶縁膜と、前記
絶縁膜に形成された凹部と、前記絶縁膜の凹部内に形成
され、前記第1導電体膜と電気的に接触する第2導電体
膜とを備えた半導体装置であって、前記第2導電体膜
は、前記絶縁膜の凹部の内部に形成された窒化チタン層
と、前記窒化チタン層上に形成されたシリコン含有窒化
チタン層と、前記シリコン含有窒化チタン層上に形成さ
れたシリコン含有金属層と、前記シリコン含有金属層上
に形成された金属膜とを有する。
Another semiconductor device according to the present invention comprises a substrate,
A first conductive film supported by the substrate, an insulating film formed on the substrate so as to cover the first conductive film, a recess formed in the insulating film, and a recess formed in the insulating film. And a second conductor film electrically connected to the first conductor film, wherein the second conductor film is formed inside a concave portion of the insulating film. A titanium nitride layer, a silicon-containing titanium nitride layer formed on the titanium nitride layer, a silicon-containing metal layer formed on the silicon-containing titanium nitride layer, and a metal film formed on the silicon-containing metal layer And

【0016】本発明による更に他の半導体装置は、基板
と、前記基板に支持される第1導電体膜と、前記第1導
電体膜を覆うように前記基板上に形成された絶縁膜と、
前記絶縁膜に形成された凹部と、前記絶縁膜の凹部内に
形成され、前記第1導電体膜と電気的に接触する第2導
電体膜とを備えた半導体装置であって、前記第2導電体
膜は、前記絶縁膜の凹部の内部に形成された窒化チタン
層と、前記窒化チタン層上に形成されたシリコン含有窒
化チタン層と、前記シリコン含有窒化チタン層上に形成
された金属層とを有する。
Still another semiconductor device according to the present invention includes a substrate, a first conductive film supported on the substrate, an insulating film formed on the substrate so as to cover the first conductive film,
A semiconductor device comprising: a concave portion formed in the insulating film; and a second conductor film formed in the concave portion of the insulating film and electrically contacting the first conductor film. The conductor film includes a titanium nitride layer formed inside a recess of the insulating film, a silicon-containing titanium nitride layer formed on the titanium nitride layer, and a metal layer formed on the silicon-containing titanium nitride layer. And

【0017】本発明による更に他の半導体装置は、基板
と、前記基板に支持される第1導電体膜と、前記第1導
電体膜を覆うように前記基板上に形成された絶縁膜と、
前記絶縁膜に形成された凹部と、前記絶縁膜の凹部内に
形成され、前記第1導電体膜と電気的に接触する第2導
電体膜とを備えた半導体装置であって、前記第2導電体
膜は、前記絶縁膜の凹部の内部に形成された窒化チタン
層と、前記窒化チタン層上に形成されたシリコン含有窒
化チタン層と、前記シリコン含有窒化チタン層上に形成
されたシリコン含有金属層と、前記シリコン含有金属層
上に形成された金属膜とを有する。
Still another semiconductor device according to the present invention includes a substrate, a first conductive film supported by the substrate, an insulating film formed on the substrate so as to cover the first conductive film,
A semiconductor device comprising: a concave portion formed in the insulating film; and a second conductor film formed in the concave portion of the insulating film and electrically contacting the first conductor film. The conductor film includes a titanium nitride layer formed inside the concave portion of the insulating film, a silicon-containing titanium nitride layer formed on the titanium nitride layer, and a silicon-containing titanium nitride layer formed on the silicon-containing titanium nitride layer. A metal layer, and a metal film formed on the silicon-containing metal layer.

【0018】本発明による更に他の半導体装置は、基板
と、前記基板に支持される第1導電体膜と、前記第1導
電体膜を覆うように前記基板上に形成された絶縁膜と、
前記絶縁膜に形成された凹部と、前記絶縁膜の凹部内に
形成され、前記第1導電体膜と電気的に接触する第2導
電体膜とを備えた半導体装置であって、前記第2導電体
膜は、前記絶縁膜の凹部の内部に形成されたチタン層
と、前記チタン層上に形成された窒化チタン層と、前記
窒化チタン層上に形成されたシリコン含有窒化チタン層
と、前記シリコン含有窒化チタン層上に形成されたシリ
コン含有金属層と、前記シリコン含有金属層上に形成さ
れた金属膜とを有する。
Still another semiconductor device according to the present invention includes a substrate, a first conductive film supported on the substrate, an insulating film formed on the substrate to cover the first conductive film,
A semiconductor device comprising: a concave portion formed in the insulating film; and a second conductor film formed in the concave portion of the insulating film and electrically contacting the first conductor film. A conductor film, a titanium layer formed inside the concave portion of the insulating film, a titanium nitride layer formed on the titanium layer, a silicon-containing titanium nitride layer formed on the titanium nitride layer, A silicon-containing metal layer formed on the silicon-containing titanium nitride layer; and a metal film formed on the silicon-containing metal layer.

【0019】好ましい実施形態では、前記シリコン含有
窒化チタン層のうち前記絶縁膜の凹部の底面上に形成さ
れた部分の厚さが、前記シリコン含有窒化チタン層のう
ち前記絶縁膜の凹部の内側壁上に形成された部分の厚さ
よりも小さい。
In a preferred embodiment, the thickness of a portion of the silicon-containing titanium nitride layer formed on the bottom surface of the concave portion of the insulating film is equal to the inner wall of the concave portion of the insulating film of the silicon-containing titanium nitride layer. It is smaller than the thickness of the portion formed thereon.

【0020】好ましい実施形態では、前記シリコン含有
窒化チタン層のうち前記絶縁膜の凹部の底面上に形成さ
れた部分の抵抗が、前記シリコン含有窒化チタン層のう
ち前記絶縁膜の凹部の内側壁上に形成された部分の抵抗
よりも小さい。
In a preferred embodiment, the resistance of a portion of the silicon-containing titanium nitride layer formed on the bottom surface of the concave portion of the insulating film is equal to the resistance of an inner wall of the concave portion of the insulating film of the silicon-containing titanium nitride layer. Is smaller than the resistance of the portion formed at the bottom.

【0021】好ましい実施形態では、前記金属層は銅か
ら形成されている。
[0021] In a preferred embodiment, the metal layer is formed of copper.

【0022】好ましい実施形態では、前記シリコン含有
窒化チタン層に含まれるシリコンの濃度が5原子%以上
である。
In a preferred embodiment, the concentration of silicon contained in the silicon-containing titanium nitride layer is 5 atomic% or more.

【0023】好ましい実施形態では、前記シリコン含有
窒化チタン層のうち前記絶縁膜の凹部の内側壁上に形成
された部分の厚さが、1nm以上かつ50nm以下であ
る。
In a preferred embodiment, a thickness of a portion of the silicon-containing titanium nitride layer formed on the inner wall of the concave portion of the insulating film is 1 nm or more and 50 nm or less.

【0024】好ましい実施形態では、前記絶縁膜の凹部
は、前記第1導電体膜に達するスルーホールと、前記ス
ルーホールに連結された配線状溝とを含んでいる。
In a preferred embodiment, the concave portion of the insulating film includes a through hole reaching the first conductive film, and a wiring groove connected to the through hole.

【0025】本発明による半導体装置の製造方法は、基
板上に第1導電体膜を形成する工程と、前記第1導電体
膜を覆う絶縁膜を前記基板上に堆積する工程と、少なく
とも一部が前記第1導電体膜に達する凹部を前記絶縁膜
に形成する工程と、前記絶縁膜の凹部の内部に第2導電
体膜を形成する工程とを包含する半導体装置の製造方法
であって、前記第2導電体膜を形成する工程は、前記絶
縁膜の凹部の内側壁および底面を覆うシリコン含有窒化
チタン層を化学的気層成長法によって堆積する工程と、
前記シリコン含有窒化チタン層の表面にイオンを照射す
る工程と、前記シリコン含有窒化チタン層の表面に金属
層を堆積する工程とを含む。
According to the method for manufacturing a semiconductor device of the present invention, a step of forming a first conductor film on a substrate, a step of depositing an insulating film covering the first conductor film on the substrate, Forming a concave portion reaching the first conductive film in the insulating film, and forming a second conductive film inside the concave portion of the insulating film, The step of forming the second conductor film includes: depositing a silicon-containing titanium nitride layer covering an inner wall and a bottom surface of a concave portion of the insulating film by a chemical vapor deposition method;
A step of irradiating the surface of the silicon-containing titanium nitride layer with ions; and a step of depositing a metal layer on the surface of the silicon-containing titanium nitride layer.

【0026】本発明による他の半導体装置の製造方法
は、基板上に第1導電体膜を形成する工程と、前記第1
導電体膜を覆う絶縁膜を前記基板上に堆積する工程と、
少なくとも一部が前記第1導電体膜に達する凹部を前記
絶縁膜に形成する工程と、前記絶縁膜の凹部の内部に第
2導電体膜を形成する工程とを包含する半導体装置の製
造方法であって、前記第2導電体膜を形成する工程は、
前記絶縁膜の凹部の内側壁および底面を覆うチタン層を
堆積する工程と、前記チタン層の表面にシリコン含有窒
化チタン層を化学的気層成長法によって堆積する工程
と、前記シリコン含有窒化チタン層の表面にイオンを照
射する工程と、前記シリコン含有窒化チタン層の表面に
金属層を堆積する工程とを含む。
Another method of manufacturing a semiconductor device according to the present invention comprises the steps of: forming a first conductive film on a substrate;
Depositing an insulating film covering the conductor film on the substrate;
A method of manufacturing a semiconductor device, comprising: a step of forming a recess in the insulating film at least partially reaching the first conductor film; and a step of forming a second conductor film inside the recess of the insulating film. The step of forming the second conductor film includes:
Depositing a titanium layer covering the inner side wall and the bottom surface of the concave portion of the insulating film; depositing a silicon-containing titanium nitride layer on the surface of the titanium layer by a chemical vapor deposition method; Irradiating the surface with the ions, and depositing a metal layer on the surface of the silicon-containing titanium nitride layer.

【0027】好ましい実施形態では、前記イオンを照射
する工程は、前記シリコン含有窒化チタン層の表面をプ
ラズマに暴露する工程を含む。
[0027] In a preferred embodiment, the step of irradiating the ions includes a step of exposing the surface of the silicon-containing titanium nitride layer to plasma.

【0028】前記シリコン含有窒化チタン層を堆積する
工程は、テトラキスジメチルチタン、テトラキスジエチ
ルチタン、およびテトラキスエチルメチルチタンのいず
れかを材料として使用することができる。
In the step of depositing the silicon-containing titanium nitride layer, any of tetrakisdimethyltitanium, tetrakisdiethyltitanium, and tetrakisethylmethyltitanium can be used as a material.

【0029】前記シリコン含有窒化チタン層を堆積する
工程は、シラン、ジシラン、およびトリシランのいずれ
かを材料として使用することができる。
In the step of depositing the silicon-containing titanium nitride layer, any one of silane, disilane, and trisilane can be used as a material.

【0030】前記シリコン窒化チタン層の表面をプラズ
マに暴露する工程は、窒素、アンモニア、およびヒドラ
ジンのいずれかを使用することができる。
In the step of exposing the surface of the silicon titanium nitride layer to plasma, any one of nitrogen, ammonia, and hydrazine can be used.

【0031】好ましい実施形態において、前記シリコン
含有窒化チタン層を堆積する工程は、前記シリコン含有
窒化チタン層の厚さを1nm以上かつ50nm以下にす
る。
In a preferred embodiment, the step of depositing the silicon-containing titanium nitride layer sets the thickness of the silicon-containing titanium nitride layer to 1 nm or more and 50 nm or less.

【0032】本発明による他の半導体装置の製造方法
は、基板上に第1導電体膜を形成する工程と、前記第1
導電体膜を覆う絶縁膜を前記基板上に堆積する工程と、
少なくとも一部が前記第1導電体膜に達する凹部を前記
絶縁膜に形成する工程と、前記絶縁膜の凹部の内部に第
2導電体膜を形成する工程とを包含する半導体装置の製
造方法であって、前記第2導電体膜を形成する工程は、
前記絶縁膜の凹部の内側壁および底面を覆う窒化チタン
層を化学的気層成長法によって堆積する工程と、前記窒
化チタン層の表面にイオンを照射する工程と、前記窒化
チタン層の表面をシリコン化合物に暴露することによっ
てシリコン含有窒化チタン層を形成する工程と、前記シ
リコン含有窒化チタン層上に金属層を堆積する工程とを
含む。
Another method of manufacturing a semiconductor device according to the present invention comprises a step of forming a first conductive film on a substrate,
Depositing an insulating film covering the conductor film on the substrate;
A method of manufacturing a semiconductor device, comprising: a step of forming a recess in the insulating film at least partially reaching the first conductor film; and a step of forming a second conductor film inside the recess of the insulating film. The step of forming the second conductor film includes:
Depositing a titanium nitride layer covering the inner side wall and bottom surface of the concave portion of the insulating film by a chemical vapor deposition method, irradiating ions to the surface of the titanium nitride layer, and removing the surface of the titanium nitride layer with silicon. Forming a silicon-containing titanium nitride layer by exposing to a compound; and depositing a metal layer on the silicon-containing titanium nitride layer.

【0033】本発明による更に他の半導体装置の製造方
法は、基板上に第1導電体膜を形成する工程と、前記第
1導電体膜を覆う絶縁膜を前記基板上に堆積する工程
と、少なくとも一部が前記第1導電体膜に達する凹部を
前記絶縁膜に形成する工程と、前記絶縁膜の凹部の内部
に第2導電体膜を形成する工程とを包含する半導体装置
の製造方法であって、前記第2導電体膜を形成する工程
は、前記絶縁膜の凹部の内側壁および底面を覆う窒化チ
タン層を化学的気層成長法によって堆積する工程と、前
記窒化チタン層の表面にイオンを照射する工程と、前記
窒化チタン層の表面をシリコン化合物に暴露することに
よってシリコン含有窒化チタン層を形成する工程と、前
記シリコン含有窒化チタン層の表面にシリコン化合物に
暴露することによってシリコン層を形成する工程と、前
記シリコン層の表面に金属層を堆積する工程とを含む。
According to still another method of manufacturing a semiconductor device according to the present invention, a step of forming a first conductive film on a substrate, a step of depositing an insulating film covering the first conductive film on the substrate, A method of manufacturing a semiconductor device, comprising: a step of forming a recess in the insulating film at least partially reaching the first conductor film; and a step of forming a second conductor film inside the recess of the insulating film. The step of forming the second conductor film includes the steps of: depositing a titanium nitride layer covering inner walls and a bottom surface of the concave portion of the insulating film by a chemical vapor deposition method; A step of irradiating ions, a step of forming a silicon-containing titanium nitride layer by exposing the surface of the titanium nitride layer to a silicon compound, and a step of exposing the surface of the silicon-containing titanium nitride layer to a silicon compound. And forming a silicon layer, and depositing a metal layer on the surface of the silicon layer.

【0034】本発明による更に他の半導体装置の製造方
法は、基板上に第1導電体膜を形成する工程と、前記第
1導電体膜を覆う絶縁膜を前記基板上に堆積する工程
と、少なくとも一部が前記第1導電体膜に達する凹部を
前記絶縁膜に形成する工程と、前記絶縁膜の凹部の内部
に第2導電体膜を形成する工程とを包含する半導体装置
の製造方法であって、前記第2導電体膜を形成する工程
は、前記絶縁膜の凹部の内側壁および底面を覆うチタン
層を堆積する工程と、前記チタン層の表面に窒化チタン
層を化学的気層成長法によって堆積する工程と、前記窒
化チタン層の表面にイオンを照射する工程と、前記窒化
チタン層の表面をシリコン化合物に暴露することによっ
てシリコン含有窒化チタン層を形成する工程と、前記シ
リコン含有窒化チタン層の表面にシリコン化合物に暴露
することによってシリコン層を形成する工程と、前記シ
リコン層の表面に金属層を堆積する工程とを含む。
According to still another method of manufacturing a semiconductor device according to the present invention, a step of forming a first conductive film on a substrate, a step of depositing an insulating film covering the first conductive film on the substrate, A method of manufacturing a semiconductor device, comprising: a step of forming a recess in the insulating film at least partially reaching the first conductor film; and a step of forming a second conductor film inside the recess of the insulating film. The step of forming the second conductor film includes the steps of: depositing a titanium layer covering an inner wall and a bottom surface of a concave portion of the insulating film; and forming a titanium nitride layer on the surface of the titanium layer by chemical vapor deposition. Depositing by a method, irradiating ions to the surface of the titanium nitride layer, forming a silicon-containing titanium nitride layer by exposing the surface of the titanium nitride layer to a silicon compound, Chita And forming a silicon layer by exposing the silicon compound on the surface of the layer, and depositing a metal layer on the surface of the silicon layer.

【0035】好ましい実施形態においては、前記イオン
を照射する工程は、前記窒化チタン層の表面をプラズマ
に暴露する工程を含む。
[0035] In a preferred embodiment, the step of irradiating the ions includes a step of exposing the surface of the titanium nitride layer to plasma.

【0036】前記窒化チタン層を堆積する工程は、テト
ラキスジメチルチタン、テトラキスジエチルチタン、お
よびテトラキスエチルメチルチタンのいずれかを材料と
して使用することができる。
In the step of depositing the titanium nitride layer, any of tetrakisdimethyltitanium, tetrakisdiethyltitanium, and tetrakisethylmethyltitanium can be used as a material.

【0037】前記シリコン含有窒化チタン層を形成する
工程は、前記シリコン化合物としてシラン、ジシラン、
およびトリシランのいずれかを材料として使用すること
ができる。
In the step of forming the silicon-containing titanium nitride layer, silane, disilane,
And any of trisilane can be used as the material.

【0038】前記窒化チタン層をプラズマに暴露する工
程は、窒素、アンモニア、およびヒドラジンのいずれか
を材料として使用することができる。
In the step of exposing the titanium nitride layer to plasma, any one of nitrogen, ammonia, and hydrazine can be used as a material.

【0039】好ましい実施形態では、前記シリコン含有
窒化チタン層の表面をシリコン化合物に暴露することに
よってシリコン層を形成する工程において、前記シリコ
ン含有窒化チタン層の表面を300℃以上に加熱し、か
つ、前記シリコン含有窒化チタン層の表面を前記シリコ
ン化合物に暴露する時間を15秒以上に設定する。
In a preferred embodiment, in the step of forming a silicon layer by exposing the surface of the silicon-containing titanium nitride layer to a silicon compound, the surface of the silicon-containing titanium nitride layer is heated to 300 ° C. or more; The time for exposing the surface of the silicon-containing titanium nitride layer to the silicon compound is set to 15 seconds or more.

【0040】好ましい実施形態においては、前記窒化チ
タンを堆積する工程は、前記窒化チタン層の厚さを1n
m以上かつ50nm以下にする。
[0040] In a preferred embodiment, the step of depositing the titanium nitride includes the step of setting the thickness of the titanium nitride layer to 1 n.
m and 50 nm or less.

【0041】好ましい実施形態においては、前記金属層
を堆積する工程は、気相成長法によって前記シリコン含
有窒化チタン層の所定領域上に第1の金属層を堆積する
工程と、前記第1の金属層上にメッキ法によって第2の
金属層を堆積する工程とを包含する。
In a preferred embodiment, the step of depositing the metal layer includes the steps of: depositing a first metal layer on a predetermined region of the silicon-containing titanium nitride layer by a vapor deposition method; Depositing a second metal layer on the layer by plating.

【0042】好ましい実施形態では、前記第2の金属層
が銅である。
[0042] In a preferred embodiment, the second metal layer is copper.

【0043】本発明による半導体装置の製造装置は、真
空チャンバと、前記真空チャンバの内部に設置されたサ
セプタと、前記サセプタの内部に設置された加熱機構
と、前記真空チャンバの内部に設置された排気口と、前
記真空チャンバの内部に設置された導入口と、前記真空
チャンバの内部に設置された電極とを有する化学的気相
成膜室と、前記サセプタおよび前記電極に接続された電
源とを備え、前記導入口からチタンを含む有機化合物、
窒素化合物、およびシリコン化合物を導入するこのがで
きる。
The apparatus for manufacturing a semiconductor device according to the present invention comprises a vacuum chamber, a susceptor installed inside the vacuum chamber, a heating mechanism installed inside the susceptor, and an interior installed inside the vacuum chamber. An exhaust port, an introduction port installed inside the vacuum chamber, a chemical vapor deposition chamber having an electrode installed inside the vacuum chamber, and a power supply connected to the susceptor and the electrode. Comprising, an organic compound containing titanium from the inlet,
This can be done by introducing nitrogen compounds, and silicon compounds.

【0044】好ましい実施形態では、前記チタンを含む
有機化合物と前記シリコン化合物を同時に前記真空チャ
ンバの内部に導入することができるように構成されてい
る。
In a preferred embodiment, the organic compound containing titanium and the silicon compound can be simultaneously introduced into the vacuum chamber.

【0045】好ましい実施形態では、前記チタンを含む
有機化合物としてテトラキスジメチルチタン、テトラキ
スジエチルチタン、およびテトラキスエチルメチルチタ
ンのいずれかを使用することができる。
In a preferred embodiment, any of tetrakisdimethyltitanium, tetrakisdiethyltitanium, and tetrakisethylmethyltitanium can be used as the organic compound containing titanium.

【0046】好ましい実施形態では、前記窒素化合物と
して窒素、アンモニア、およびヒドラジンのいずれかを
使用することができる。
In a preferred embodiment, any one of nitrogen, ammonia, and hydrazine can be used as the nitrogen compound.

【0047】好ましい実施形態では、前記シリコン化合
物としてシラン、ジシラン、およびトリシランのいずれ
かを使用することができる。
In a preferred embodiment, any of silane, disilane, and trisilane can be used as the silicon compound.

【0048】好ましい実施形態では、前記化学的気相成
膜室に接続されたチタン堆積室を備え、前記化学的気相
成膜室と前記チタン堆積室との間が減圧搬送室で連結さ
れている。
[0048] In a preferred embodiment, a titanium deposition chamber connected to the chemical vapor deposition chamber is provided, and the chemical vapor deposition chamber and the titanium deposition chamber are connected by a reduced-pressure transport chamber. I have.

【0049】好ましい実施形態では、前記化学的気相成
膜室に接続された銅堆積室を備え、前記化学的気相成膜
室と前記銅堆積室との間が減圧搬送室で連結されてい
る。
In a preferred embodiment, there is provided a copper deposition chamber connected to the chemical vapor deposition chamber, and the chemical vapor deposition chamber and the copper deposition chamber are connected by a reduced pressure transport chamber. I have.

【0050】好ましい実施形態では、前記化学的気相成
膜室に接続されたチタン成膜室と銅堆積室とを備え、前
記化学的気相成膜室と前記チタン堆積室と前記銅堆積室
との間が減圧搬送室で連結されている。
In a preferred embodiment, there is provided a titanium deposition chamber and a copper deposition chamber connected to the chemical vapor deposition chamber, wherein the chemical vapor deposition chamber, the titanium deposition chamber and the copper deposition chamber are provided. Are connected by a reduced-pressure transfer chamber.

【0051】[0051]

【発明の実施の形態】以下、本発明の実施形態を図面に
基づいて説明する。
Embodiments of the present invention will be described below with reference to the drawings.

【0052】(実施形態1)図1〜7を参照しながら、
本発明の第1の実施形態を説明する。
(Embodiment 1) Referring to FIGS.
A first embodiment of the present invention will be described.

【0053】本実施形態の半導体装置は、図7に示すよ
うに、不図示のトランジスタなどの集積回路素子が形成
された半導体基板(単結晶シリコン基板)101と、半
導体基板101の表面に形成された下部配線層(第1導
電体膜)102と、下部配線層102を覆うように半導
体基板101上に堆積された二酸化ケイ素(SiO2
膜103とを備えている。本願明細書では、「半導体基
板101」は、単結晶シリコン基板、その表面に形成さ
れたトランジスタ等の集積回路素子、および集積回路素
子を覆うように単結晶シリコン基板の表面に形成された
絶縁膜などからなる構造を一括して表現している。下部
配線層102は、タングステン(W)、アルミニウム
(Al)、銅(Cu)などの導電性材料を用いて形成さ
れている。
As shown in FIG. 7, the semiconductor device according to the present embodiment is formed on a semiconductor substrate (single-crystal silicon substrate) 101 on which integrated circuit elements such as transistors (not shown) are formed, and on the surface of the semiconductor substrate 101. Lower wiring layer (first conductive film) 102 and silicon dioxide (SiO 2 ) deposited on semiconductor substrate 101 so as to cover lower wiring layer 102.
And a film 103. In the present specification, the “semiconductor substrate 101” is a single crystal silicon substrate, an integrated circuit element such as a transistor formed on the surface thereof, and an insulating film formed on the surface of the single crystal silicon substrate so as to cover the integrated circuit element. It expresses the structure consisting of etc. collectively. The lower wiring layer 102 is formed using a conductive material such as tungsten (W), aluminum (Al), and copper (Cu).

【0054】SiO2膜103上には四窒化三ケイ素
(Si34)膜104が堆積されており、Si34膜1
04上にはSiO2膜5が堆積されている。SiO2膜1
03、Si34膜104およびSiO2膜105によっ
て層間絶縁膜が形成されている。この層間絶縁膜には、
表面に凹部が形成されている。凹部は、下部配線層10
2に達するスルーホール106と、スルーホール106
に連結する溝状凹部(配線溝)107とから形成されて
おり、配線溝107内にはスルーホール106を介して
下部配線層102に電気的に接触する上部配線層113
が設けられている。配線溝107の溝幅は、例えば約1
00〜2000nmであり、深さは例えば約100〜1
000nmである。また、本実施形態では、スルーホー
ル106の内径を配線溝107の溝幅に等しく設定して
いる。複数のスルーホール106が、例えば、0.1〜
2μm程度の間隔をおいて、各配線溝107内に形成さ
れる。
[0054] A four nitriding three silicon on the SiO 2 film 103 (Si 3 N 4) film 104 is deposited, Si 3 N 4 film 1
On the substrate 04, an SiO 2 film 5 is deposited. SiO 2 film 1
03, an interlayer insulating film is formed by the Si 3 N 4 film 104 and the SiO 2 film 105. In this interlayer insulating film,
A concave portion is formed on the surface. The recess is formed in the lower wiring layer 10.
2 and the through hole 106
And an upper wiring layer 113 electrically connected to the lower wiring layer 102 through the through hole 106 in the wiring groove 107.
Is provided. The width of the wiring groove 107 is, for example, about 1
100 to 2000 nm, and the depth is, for example, about 100 to 1
000 nm. In the present embodiment, the inner diameter of the through hole 106 is set equal to the groove width of the wiring groove 107. The plurality of through holes 106 are, for example, 0.1 to
It is formed in each wiring groove 107 at intervals of about 2 μm.

【0055】上部配線層113は、スルーホール106
および配線溝107の内側面および底面を覆うようチタ
ン(Ti)膜108と、Ti膜108上に堆積された窒
化チタン(TiN)膜109と、TiN膜109上に形
成されたシリコン(Si)を含むTiN(TiSiN)
膜110、TiSiN膜110の表面に堆積されたCu
膜111、Cu膜111上に堆積されたCu膜112と
を含んでいる。
The upper wiring layer 113 is formed in the through hole 106.
And a titanium (Ti) film 108, a titanium nitride (TiN) film 109 deposited on the Ti film 108, and silicon (Si) formed on the TiN film 109 so as to cover the inner side surface and the bottom surface of the wiring groove 107. Containing TiN (TiSiN)
Film 110, Cu deposited on the surface of TiSiN film 110
And a Cu film 112 deposited on the Cu film 111.

【0056】TiN膜109は、スルーホール106お
よび配線溝107の内側壁上に形成された垂直部分(半
導体基板101に実質的に垂直な面上に形成された部
分)109aと、スルーホール106および配線溝10
7の底面上に形成された水平部分(半導体基板101に
実質的に平行な面上に形成され部分)109bとに、必
要に応じて区別する。同様に、TiSiN膜110も、
スルーホール106および配線溝107の内側壁上に形
成された垂直部分(半導体基板101に実質的に垂直な
面上に形成された部分)110aと、スルーホール10
6および配線溝107の底面上に形成された水平部分
(半導体基板101に実質的に平行な面上に形成され部
分)110bとに、必要に応じて区別する。
The TiN film 109 includes a vertical portion (a portion formed on a surface substantially perpendicular to the semiconductor substrate 101) 109 a formed on the inner side wall of the through hole 106 and the wiring groove 107, Wiring groove 10
And a horizontal portion (portion formed on a plane substantially parallel to the semiconductor substrate 101) 109b formed on the bottom surface of the semiconductor device 101 as necessary. Similarly, the TiSiN film 110 is also
A vertical portion (a portion formed on a surface substantially perpendicular to the semiconductor substrate 101) 110a formed on the inner wall of the through hole 106 and the wiring groove 107;
6 and a horizontal portion (portion formed on a plane substantially parallel to the semiconductor substrate 101) 110b formed on the bottom surface of the wiring groove 107, if necessary.

【0057】なお、下層配線層は第1層レベル配線に限
定されず、N層レベル配線(Nは3以上の整数)のうち
の第i層レベル(iは1≦i<Nの整数)であれば良
い。このとき、上層配線は第j層レベル(jはi<j≦
Nの整数)であればよい。
Note that the lower wiring layer is not limited to the first-level wiring, but may be at the i-th level (i is an integer of 1 ≦ i <N) of the N-level wiring (N is an integer of 3 or more). I just want it. At this time, the upper wiring is at the j-th layer level (j is i <j ≦
(An integer of N).

【0058】以上のような構成とすることにより、従来
の技術と比較してスルーホール106の間および上部配
線層113の間のリーク電流を低下させることができ
る。その理由は以下の通りである。
With the above configuration, the leak current between the through holes 106 and between the upper wiring layers 113 can be reduced as compared with the prior art. The reason is as follows.

【0059】本実施形態においては、配線溝107の側
壁は、TiSiN膜110により被覆されている。Ti
SiN膜に含まれるSiは、Si−Nという結合形態を
とっている。Si−N結合とCu原子の反応性は極めて
低いので、Si−N結合を含むTiSiN膜は、TiN
膜よりもCu原子の拡散を防止する能力が高くなる。そ
のため、Cu膜111およびCu膜112を構成するC
u原子がSiO2膜103およびSiO2膜105に到達
しにくくなり、SiO2膜103およびSiO 2膜105
に含まれるCu原子の濃度が増加しないので、スルーホ
ール106の間および上部配線層113の間のリーク電
流を従来の技術と比較して低下させることができる。
In this embodiment, the side of the wiring groove 107
The wall is covered with a TiSiN film 110. Ti
Si contained in the SiN film has a bonding form of Si-N.
I am taking. The reactivity between Si-N bond and Cu atom is extremely
Therefore, the TiSiN film containing the Si—N bond is made of TiN
The ability to prevent the diffusion of Cu atoms is higher than that of the film. So
For forming the Cu film 111 and the Cu film 112
u atom is SiOTwoFilm 103 and SiOTwoReach the membrane 105
It is difficult toTwoFilm 103 and SiO TwoMembrane 105
Does not increase the concentration of Cu atoms contained in
Leakage current between the wiring 106 and the upper wiring layer 113.
The flow can be reduced compared to the prior art.

【0060】ここで、TiSiN膜110aに含まれる
Siの濃度について説明する。TiSiN膜110aに
含まれるSiの濃度が5原子%より小さくなると、Cu
膜111から供給されるCu原子の拡散を防止する性能
が低下し、スルーホール106の間および上部配線層1
13の間のリーク電流が増大する。以上の理由から、T
iSiN膜110aに含まれるSiの濃度は、5原子%
以上に設定するのが望ましい。
Here, the concentration of Si contained in the TiSiN film 110a will be described. When the concentration of Si contained in the TiSiN film 110a becomes smaller than 5 atomic%, Cu
The performance of preventing diffusion of Cu atoms supplied from the film 111 is reduced, and the space between the through holes 106 and the upper wiring layer 1 is reduced.
13 increases. For the above reasons, T
The concentration of Si contained in the iSiN film 110a is 5 atomic%.
It is desirable to set above.

【0061】次に、TiSiN膜110aの厚さについ
て説明する。TiSiN膜110aの厚さが1nmより
小さくなると、Cu膜111から供給されるCu原子の
拡散を防止する性能が低下し、スルーホール106の間
および上部配線層113の間のリーク電流が増大する。
一方、TiSiN膜110aの厚さが50nmより大き
くなると、上部配線層113の断面積をCu膜111お
よびCu膜112の断面積が占める割合が低下するため
に、上部配線層113の配線抵抗が増大し、半導体装置
の動作速度を低下させる。以上の理由から、TiSiN
膜110aの厚さは、1nm以上かつ50nm以下に設
定するのが望ましい。
Next, the thickness of the TiSiN film 110a will be described. When the thickness of the TiSiN film 110a is smaller than 1 nm, the performance of preventing the diffusion of Cu atoms supplied from the Cu film 111 decreases, and the leakage current between the through holes 106 and between the upper wiring layers 113 increases.
On the other hand, when the thickness of the TiSiN film 110a is larger than 50 nm, the ratio of the cross-sectional area of the upper wiring layer 113 to the cross-sectional area of the Cu film 111 and the Cu film 112 decreases, so that the wiring resistance of the upper wiring layer 113 increases. Thus, the operation speed of the semiconductor device is reduced. For the above reasons, TiSiN
It is desirable that the thickness of the film 110a be set to 1 nm or more and 50 nm or less.

【0062】次に、TiSiN膜110bの厚さについ
て説明する。TiSiN膜の抵抗率(3000μΩcm
程度)は、TiN膜の抵抗率(200μΩcm程度)よ
りも高い。このため、TiSiN膜110bの厚さを大
きくしすぎると、下部配線層102と上部配線層113
の間の接続抵抗が高くなり、半導体装置の動作速度を低
下させる。以上の理由から、TiSiN膜110bの厚
さは、TiSiN膜110aの厚さよりも薄くするのが
望ましい。
Next, the thickness of the TiSiN film 110b will be described. The resistivity of the TiSiN film (3000 μΩcm
Is higher than the resistivity (about 200 μΩcm) of the TiN film. Therefore, if the thickness of the TiSiN film 110b is too large, the lower wiring layer 102 and the upper wiring layer 113
, The connection resistance increases, and the operating speed of the semiconductor device decreases. For the above reasons, it is desirable that the thickness of the TiSiN film 110b be smaller than the thickness of the TiSiN film 110a.

【0063】本実施形態における半導体装置の製造方法
は以下の通りである。
The method of manufacturing the semiconductor device according to the present embodiment is as follows.

【0064】まず、図1に示すように、不図示のトラン
ジスタなどの集積回路素子が形成された半導体基板10
1を用意し、半導体基板101上に下部配線層102を
形成する。下部配線層102の形成は、例えば、スパッ
タリング法によりAl膜を半導体基板101の表面に堆
積した後、リソグラフィー法およびドライエッチング法
により所定の形状に加工することにより行なう。
First, as shown in FIG. 1, a semiconductor substrate 10 on which integrated circuit elements such as transistors (not shown) are formed.
1 is prepared, and a lower wiring layer 102 is formed on a semiconductor substrate 101. The lower wiring layer 102 is formed, for example, by depositing an Al film on the surface of the semiconductor substrate 101 by a sputtering method, and then processing it into a predetermined shape by a lithography method and a dry etching method.

【0065】次に、図2に示すように、プラズマ励起方
式の化学的気層成長法によりSiO 2膜(膜厚:約10
0〜2000nm)103、Si34膜(膜厚:約5〜
50nm)104、SiO2膜(膜厚:約100〜10
00nm)105を順に堆積した後に、リソグラフィー
法およびドライエッチング法を2回ずつ交互に適用する
ことによって、SiO2膜103およびSi34膜10
4の内部にスルーホール106を、SiO2膜105の
内部に配線溝107を形成する。
Next, as shown in FIG.
SiO 2 by the chemical vapor deposition method of the formula TwoFilm (thickness: about 10
0 to 2000 nm) 103, SiThreeNFourFilm (thickness: about 5
50 nm) 104, SiOTwoFilm (thickness: about 100 to 10
Lithography after sequentially depositing
Method and dry etching method are alternately applied twice each
By using SiOTwoFilm 103 and SiThreeNFourMembrane 10
4 is formed with a through hole 106TwoOf membrane 105
A wiring groove 107 is formed inside.

【0066】次に、図3に示すように、アルゴン(A
r)や水素(H2)などを用いたドライエッチング法に
よりスルーホール106の底面のクリーニングを行なっ
た後に、物理的気層成長法によりTi膜(膜厚:約0.
5〜10nm)108を堆積し、続いて化学的気層成長
法により厚さ20nmのTiN膜109を堆積する。化
学的気層成長法によるTiN膜109の堆積は次のよう
にして行なう。Ti膜108の堆積が済んだ半導体基板
101を真空チャンバ内で350℃に加熱する。半導体
基板101が定常温度に到達したら、真空チャンバの内
部にヘリウム(He)で希釈したテトラキスジメチルチ
タン(TDMAT)を導入する。この際、真空チャンバ
の内部におけるTDMATの分圧が3Paとなるよう
に、TDMATの導入量を調整する。導入されたTDM
ATはTi膜108の表面で熱分解反応を起こし、Ti
N膜109が堆積される。
Next, as shown in FIG.
r) or a dry etching method using hydrogen (H 2 ) or the like to clean the bottom surface of the through hole 106, and then a Ti film (thickness: about 0.
5 to 10 nm) 108, and then a 20 nm thick TiN film 109 is deposited by a chemical vapor deposition method. The deposition of the TiN film 109 by the chemical vapor deposition method is performed as follows. The semiconductor substrate 101 on which the Ti film 108 has been deposited is heated to 350 ° C. in a vacuum chamber. When the semiconductor substrate 101 reaches a steady temperature, tetrakisdimethyltitanium (TDMAT) diluted with helium (He) is introduced into the vacuum chamber. At this time, the introduction amount of TDMAT is adjusted so that the partial pressure of TDMAT inside the vacuum chamber becomes 3 Pa. TDM introduced
AT causes a thermal decomposition reaction on the surface of the Ti film 108,
An N film 109 is deposited.

【0067】次に、図4に示すように、TiN膜109
の表面を、窒素(N2)プラズマに暴露する。プラズマ
の内部にはN2イオンなどの陽イオンが含まれている。
これらの陽イオンが半導体基板101に向かって垂直方
向に加速されるように、プラズマの発生条件を調節す
る。これにより、半導体基板101に平行な面上に堆積
されたTiN膜109bは、陽イオンの衝撃を受けるた
めに、緻密化し、その密度が向上する。一方、半導体基
板101にほぼ垂直な面上に堆積されたTiN膜109
aは、陽イオンの衝撃を受けないために、その密度が向
上しない。プラズマ暴露は、たとえば、平行平板型プラ
ズマ生成装置等を用い、そのチャンバー内でN2ガスの
圧力を約10〜1000Pa程度に設定し、200〜2
000Wの電力を与えることによって形成したプラズマ
を用いることができる。
Next, as shown in FIG.
Is exposed to a nitrogen (N 2 ) plasma. The plasma contains cations such as N 2 ions.
The plasma generation conditions are adjusted so that these cations are accelerated vertically toward the semiconductor substrate 101. Thus, the TiN film 109b deposited on the plane parallel to the semiconductor substrate 101 is densified because of the impact of cations, and its density is improved. On the other hand, a TiN film 109 deposited on a surface substantially perpendicular to the semiconductor substrate 101
Since a does not receive cation impact, its density does not improve. The plasma exposure is performed, for example, by using a parallel plate type plasma generator or the like, setting the pressure of N 2 gas in the chamber at about 10 to 1000 Pa, and
Plasma generated by applying a power of 000 W can be used.

【0068】次に、図5に示すように、TiN膜109
の表面を、シラン(SiH4)に暴露する。この処理
は、N2プラズマへの暴露が終了した半導体基板101
を真空チャンバ内で加熱し、真空チャンバの内部にSi
4を導入することにより行なう。この際、真空チャン
バ内のSiH4の分圧が3Paとなるように、SiH4
導入量を調節する。これにより、TiN膜109aの表
面にはTiSiN膜110aが、TiN膜109bの表
面にはTiSiN膜110bが形成されるが、後に詳し
く説明するように、TiSiN膜110bの厚さはTi
SiN膜110aの厚さよりも小さくなる。
Next, as shown in FIG.
Is exposed to silane (SiH 4 ). This processing is performed on the semiconductor substrate 101 that has been exposed to the N 2 plasma.
Is heated in a vacuum chamber, and Si
This is done by introducing H 4 . At this time, the introduction amount of SiH 4 is adjusted so that the partial pressure of SiH 4 in the vacuum chamber becomes 3 Pa. As a result, a TiSiN film 110a is formed on the surface of the TiN film 109a, and a TiSiN film 110b is formed on the surface of the TiN film 109b. As described later in detail, the thickness of the TiSiN film 110b is Ti
It becomes smaller than the thickness of the SiN film 110a.

【0069】次に、図6に示すように、TiSiN膜1
10の表面に物理的気層成長法によりCu膜(膜厚:約
5〜200nm)111を堆積する。ただし、Cu膜1
11の堆積は半導体基板101の中央部のみとする。C
u膜111を堆積した後に、TiSiN膜110bおよ
びCu膜111の表面を硫酸(H2SO4)で洗浄してか
ら、電解メッキ法によりCu膜111の表面にCu膜
(膜厚:約100〜1000nm)112を堆積する。
この際、TiSiN膜110bの表面ではCu膜は成長
しない。この理由については後に詳しく説明する。
Next, as shown in FIG.
A Cu film (thickness: about 5-200 nm) 111 is deposited on the surface of the substrate 10 by a physical vapor deposition method. However, Cu film 1
11 is deposited only at the center of the semiconductor substrate 101. C
After the u film 111 is deposited, the surfaces of the TiSiN film 110b and the Cu film 111 are washed with sulfuric acid (H 2 SO 4 ), and then the Cu film (film thickness: about 100 to (1000 nm) 112 is deposited.
At this time, the Cu film does not grow on the surface of the TiSiN film 110b. The reason will be described later in detail.

【0070】最後に、SiO2膜105上のTi膜10
8、TiN膜109、TiSiN膜110b、Cu膜1
11およびCu膜112を化学機械的研磨法により除去
することにより、図7に示す半導体装置が作製される。
この後、更に上層の配線を形成するための工程が適宜実
行される。
Finally, the Ti film 10 on the SiO 2 film 105
8, TiN film 109, TiSiN film 110b, Cu film 1
By removing the Cu film 11 and the Cu film 112 by a chemical mechanical polishing method, the semiconductor device shown in FIG. 7 is manufactured.
Thereafter, a process for forming a further upper layer wiring is appropriately performed.

【0071】次に、SiH4暴露によりTiN膜109
aの表面にTiSiN膜110aが形成される反応を説
明する。
Next, the TiN film 109 is exposed by SiH 4 exposure.
The reaction for forming the TiSiN film 110a on the surface of a will be described.

【0072】図8、図9(a)および(b)ならびに図
10(a)および(b)は、この反応の様子をX線光電
子分光法(XPS)により分析した結果を示す。図8は
TiN膜109aに含まれるSi原子の濃度を表面から
の深さの関数として表示している。図8から明らかなよ
うに、SiH4への暴露を行なった場合には、TiN膜
109aには多量のSiが含まれている。Si原子の濃
度が連続的に変化しているために厚さの定義は困難であ
るが、便宜上Si濃度が5原子%以上となる部分をTi
SiN膜と呼ぶことにすると、SiH4への暴露により
厚さ10nmのTiSiN膜110aが形成されること
になる。
FIGS. 8, 9 (a) and 9 (b) and FIGS. 10 (a) and 10 (b) show the results of analysis of this reaction by X-ray photoelectron spectroscopy (XPS). FIG. 8 shows the concentration of Si atoms contained in the TiN film 109a as a function of the depth from the surface. As is clear from FIG. 8, when exposure to SiH 4 is performed, the TiN film 109a contains a large amount of Si. It is difficult to define the thickness because the concentration of Si atoms continuously changes, but for convenience, the portion where the Si concentration is 5 atomic% or more is
If it is referred to as a SiN film, a 10 nm-thick TiSiN film 110a is formed by exposure to SiH4.

【0073】図9(a)および図9(b)は、それぞ
れ、SiH4暴露を受けることによって形成されたTi
SiN膜110a、およびSiH4暴露を受けていない
TiN膜109aに含まれるTi原子XPSのスペクト
ル(Ti2p)を示している。図10(a)および図1
0(b)は、それぞれ、SiH4暴露を受けることによ
って形成されたTiSiN膜110a、およびSiH4
暴露を受けていないTiN膜109aに含まれるSi原
子XPSのスペクトル(Si2p)を示している。
FIGS. 9 (a) and 9 (b) show Ti formed by exposure to SiH 4 , respectively.
The spectrum (Ti2p) of the Ti atom XPS contained in the SiN film 110a and the TiN film 109a that has not been exposed to SiH 4 is shown. FIG. 10 (a) and FIG.
0 (b), respectively, TiSiN film 110a is formed by receiving SiH 4 exposure, and SiH 4
The spectrum (Si2p) of the Si atom XPS contained in the unexposed TiN film 109a is shown.

【0074】図10(a)から明らかなように、SiH
4暴露により形成されたTiSiN膜110aの表面お
よび内部には、Si−N結合の存在が認められる。Si
4暴露なしの場合、Si−N結合は観測されてない
(図10(b))。Si−N結合はTi−N結合と比較
してCuに対する反応性が低いので、Si−N結合を含
むTiSiN膜は、TiN膜と比較してCu原子の拡散
を防ぐ能力が向上する。なお、図10(a)および
(b)から、SiH4暴露によってTi−O結合が減少
することがわかる。
As is apparent from FIG.
4 The presence of Si-N bonds is recognized on the surface and inside of the TiSiN film 110a formed by the exposure. Si
In the case without H 4 exposure, no Si—N bond was observed (FIG. 10B). Since the Si—N bond has lower reactivity to Cu than the Ti—N bond, the ability of the TiSiN film containing the Si—N bond to prevent the diffusion of Cu atoms is improved as compared to the TiN film. 10 (a) and 10 (b) that the Ti—O bond is reduced by exposure to SiH 4 .

【0075】TiN膜109bの表面でも同様の反応が
起こる。この反応の様子をXPSにより評価した結果を
図11、図12(a)および(b)ならび図13(a)
および(b)に示す。図11はSiH4暴露を受けたT
iN膜109bに含まれるSi原子の濃度を表面からの
深さの関数として表示したものである。図11から明ら
かなように、SiH4暴露を行なった場合には、TiN
膜109bには多量のSiが含まれている。しかしなが
ら、TiN膜109aの場合と異なっているのは、Si
原子の濃度は表面からの深さとともに急激に低下すると
いう点である。すでに述べたような定義に従えば、Si
4暴露により形成されるTiSiN膜110bの厚さ
は4nmであり、TiN膜109aの場合と比較して4
0%の値となっている。これは、N2プラズマへの暴露
により、TiN膜109bの密度が向上していることに
起因する。
A similar reaction occurs on the surface of the TiN film 109b. FIGS. 11, 12 (a) and 12 (b) and FIG. 13 (a)
And (b). FIG. 11 shows T exposed to SiH 4 .
The graph shows the concentration of Si atoms contained in the iN film 109b as a function of the depth from the surface. As is clear from FIG. 11, when the SiH 4 exposure was performed, TiN
The film 109b contains a large amount of Si. However, the difference from the case of the TiN film 109a is that
The point is that the concentration of atoms drops sharply with depth from the surface. According to the definition already mentioned, Si
The thickness of the TiSiN film 110b formed by exposure to H 4 is 4 nm, which is 4 nm larger than that of the TiN film 109a.
The value is 0%. This is because the density of the TiN film 109b has been increased by exposure to N 2 plasma.

【0076】図12(a)および図12(b)は、それ
ぞれ、SiH4暴露を受けることによって形成されたT
iSiN膜110b、およびSiH4暴露を受けいいな
いTiN膜109bに含まれるTi原子XPSのスペク
トル(Ti2p)を示している。図13(a)および図
13(b)は、それぞれ、SiH4暴露を受けることに
よって形成されたTiSiN膜110b、およびSiH
4暴露を受けていないTiN膜109bに含まれるSi
原子XPSのスペクトル(Si2p)を示している。
FIGS. 12 (a) and 12 (b) show the T formed by exposure to SiH 4 , respectively.
The spectrum (Ti2p) of the Ti atom XPS contained in the iSiN film 110b and the TiN film 109b which has not been exposed to SiH 4 is shown. FIGS. 13 (a) and 13 (b) show a TiSiN film 110b and a SiH 4 film formed by exposure to SiH 4 , respectively.
4 does not receive exposure Si contained in the TiN film 109b
3 shows an atomic XPS spectrum (Si2p).

【0077】図12(b)から明らかなように、SiH
4暴露を受けていないTiN膜109bの表面ではTi
−O結合が支配的な結合となっている。これは、大気中
の酸素との反応によりTiN膜109bの表面に酸化チ
タン(TiO2)が形成されるためである。一方、Si
4暴露により形成されたTiSiN膜110bの表面
では、Si−N結合が支配的な結合となっており(図1
3(a))、Ti−N結合の存在も認められる。
As is clear from FIG. 12B, the SiH
4 On the surface of the TiN film 109b not exposed,
The -O bond is the dominant bond. This is because titanium oxide (TiO 2 ) is formed on the surface of the TiN film 109b by a reaction with oxygen in the atmosphere. On the other hand, Si
On the surface of the TiSiN film 110b formed by exposure to H 4 , Si—N bonds are dominant bonds (FIG. 1).
3 (a)), the presence of a Ti-N bond is also observed.

【0078】次に、電解メッキの際、TiSiN膜11
0bの表面ではCu膜の成長が起こらない理由を説明す
る。
Next, at the time of electrolytic plating, the TiSiN film 11
The reason why the Cu film does not grow on the surface of 0b will be described.

【0079】図12(b)が示すように、SiH4暴露
を受けていないTiN膜109bの表面にはTiO2
形成されている。しかしながら、このTiO2は電解メ
ッキの前に行なうH2SO4洗浄によって完全に除去され
るので、電解メッキの際にはTiNとメッキ液が接触す
ることになる。TiNは良好な電子伝導体であり、メッ
キ液に含まれるCuイオンに容易に電子を供与すること
ができるので、結果としてTiN膜109bの表面には
Cu膜の異常成長が発生する。一方、SiH4暴露によ
り形成されたTiSiN膜110bの表面ではSi−N
結合が支配的な結合となっている。Si34がH2SO4
に不溶なことから明らかなように、Si−N結合はH2
SO4に対する反応性が極めて低いので、TiSiN膜
110bがH 2SO4洗浄により除去されることはない。
また、Si−N結合はいわゆる共有結合であり、結合を
形成する価電子は内核により強く束縛されているので、
この価電子がCuイオンの還元反応に関与することはな
い。すなわち、TiSiN膜110bの表面のからは、
メッキ液に含まれるCuイオンに電子を供与することが
できないので、Cu膜の異常成長は発生しない。
As shown in FIG. 12B, SiHFourexposure
The surface of the TiN film 109b that has not receivedTwoBut
Is formed. However, this TiOTwoIs an electrolytic
H to do in front of the jackTwoSOFourCompletely removed by washing
Therefore, during electrolytic plating, TiN and plating solution come into contact.
Will be. TiN is a good electronic conductor and
To easily donate electrons to Cu ions contained in liquid
As a result, on the surface of the TiN film 109b,
Abnormal growth of the Cu film occurs. On the other hand, SiHFourBy exposure
On the surface of the formed TiSiN film 110b, Si-N
The bond is the dominant bond. SiThreeNFourIs HTwoSOFour
As is clear from the fact that it is insoluble inTwo
SOFourTiSiN film due to extremely low reactivity to
110b is H TwoSOFourIt is not removed by washing.
The Si—N bond is a so-called covalent bond,
The valence electrons that form are tightly bound by the inner core,
These valence electrons do not participate in the Cu ion reduction reaction.
No. That is, from the surface of the TiSiN film 110b,
Donating electrons to Cu ions contained in the plating solution
Since it cannot be performed, abnormal growth of the Cu film does not occur.

【0080】ここで、TiN膜109を堆積する際のT
iN膜109の厚さについて説明する。TiN膜109
の厚さが1nm以下になると、SiH4暴露を行なって
も十分な厚さのTiSiN膜110が形成されないため
に、Cu原子の拡散を防止する性能が低下し、スルーホ
ール106の間および上部配線層113の間のリーク電
流が増大する。一方、TiN膜109の厚さが50nm
以上になると、上部配線層113の断面積をCu膜11
1およびCu膜112の断面積が占める割合が低下する
ために、上部配線層113の配線抵抗が増大し、半導体
装置の動作速度を低下させる。以上の理由から、TiN
膜109を堆積する際のTiN膜109の厚さは、1n
m以上かつ50nm以下に設定するのが望ましい。
Here, when depositing the TiN film 109, the T
The thickness of the iN film 109 will be described. TiN film 109
Is less than 1 nm, the TiSiN film 110 having a sufficient thickness is not formed even when exposed to SiH 4 , so that the performance of preventing the diffusion of Cu atoms is reduced. The leakage current between the layers 113 increases. On the other hand, the thickness of the TiN film 109 is 50 nm.
As described above, the sectional area of the upper wiring layer 113 is changed to the Cu film 11.
Since the ratio occupied by the cross-sectional areas of the first and Cu films 112 decreases, the wiring resistance of the upper wiring layer 113 increases, and the operating speed of the semiconductor device decreases. For the above reasons, TiN
The thickness of the TiN film 109 when depositing the film 109 is 1 n
It is desirable to set it to be at least m and at most 50 nm.

【0081】次に、TiSiN膜110を形成する際の
半導体基板101の温度の設定方法を説明する。半導体
基板101の温度が300℃より低くなると、TiN膜
109とSiH4からTiSiN膜110が形成される
反応の速度が低下するために、TiSiN膜110の形
成に要する時間が著しく長くなる。一方、半導体基板1
01の温度が500℃よりも高くなると、下部配線層1
02、SiO2膜103、およびSiO2膜105の変質
などが発生する。以上の理由から、TiSiN膜110
を形成する際の半導体基板101の温度は、300℃以
上かつ500℃以下に設定するのが望ましい。
Next, a method of setting the temperature of the semiconductor substrate 101 when forming the TiSiN film 110 will be described. When the temperature of the semiconductor substrate 101 is lower than 300 ° C., the reaction speed for forming the TiSiN film 110 from the TiN film 109 and SiH 4 is reduced, so that the time required for forming the TiSiN film 110 is significantly increased. On the other hand, the semiconductor substrate 1
01 is higher than 500 ° C., the lower wiring layer 1
02, the SiO 2 film 103 and the SiO 2 film 105 are deteriorated. For the above reasons, the TiSiN film 110
It is desirable to set the temperature of the semiconductor substrate 101 when forming the semiconductor substrate to 300 ° C. or more and 500 ° C. or less.

【0082】次に、TiSiN膜110を形成する際の
SiH4の分圧の設定方法について説明する。SiH4
分圧が1Paより低くなると、TiN膜109とSiH
4からTiSiN膜110が形成される反応の速度が低
下するために、TiSiN膜110の形成に要する時間
が著しく長くなる。以上の理由から、TiSiN膜11
0を形成する際のSiH4の分圧は、1Pa以上に設定
するのが望ましい。
Next, a method of setting the partial pressure of SiH 4 when forming the TiSiN film 110 will be described. When the partial pressure of SiH 4 becomes lower than 1 Pa, the TiN film 109 and SiH
Since the rate of the reaction for forming the TiSiN film 110 is reduced from 4, the time required for forming the TiSiN film 110 is significantly increased. For the above reasons, the TiSiN film 11
It is desirable that the partial pressure of SiH 4 when forming 0 is set to 1 Pa or more.

【0083】以下、図14を参照しながら、上記半導体
装置の製造に使用する装置を説明する。この装置は、真
空チャンバ114と、真空チャンバ114の内部に設置
されたサセプタ115と、サセプタ115の内部に設置
された加熱機構116と、真空チャンバ114に設置さ
れた排気口117、真空チャンバ114に設置されたT
DMAT導入口118と、真空チャンバ114に設置さ
れたN2導入口119と、真空チャンバ114に設置さ
れたSiH4導入口120、真空チャンバ114の内部
においてサセプタ115と対向して設置された上部電極
121と、セプタ115および上部電極121に接続さ
れた高周波電源122とを備えている。
Hereinafter, an apparatus used for manufacturing the semiconductor device will be described with reference to FIG. The apparatus includes a vacuum chamber 114, a susceptor 115 installed inside the vacuum chamber 114, a heating mechanism 116 installed inside the susceptor 115, an exhaust port 117 installed in the vacuum chamber 114, and a vacuum chamber 114. T installed
DMAT inlet 118, N 2 inlet 119 installed in vacuum chamber 114, SiH 4 inlet 120 installed in vacuum chamber 114, upper electrode installed inside vacuum chamber 114 to face susceptor 115 121 and a high-frequency power supply 122 connected to the septum 115 and the upper electrode 121.

【0084】この半導体装置の製造装置の動作は以下の
通りである。
The operation of the semiconductor device manufacturing apparatus is as follows.

【0085】まず、真空チャンバ114の内部を大気開
放し、Ti膜108の堆積が済んだ半導体基板101を
サセプタ115の上に設置した後に、排気口117を通
じて真空チャンバ114の内部を排気する。排気が完了
したら、加熱機構116を作動させ、サセプタ115を
通じて半導体基板101を加熱する。半導体基板101
の温度の定常温度が350℃になるように、加熱機構1
16の出力を調節する。半導体基板101の温度が定常
温度に到達したら、TDMAT導入口118からHeで
希釈したTDMATを導入する。これによりTi膜10
8の表面でTDMATが熱分解反応を起こし、TiN膜
109が堆積される。所定の時間が経過したら、TDM
AT導入口118からのTDMATの導入を停止し、代
わってN 2導入口119からN2を真空チャンバ114の
内部に導入する。真空チャンバ114の内部でN2の分
圧が安定したら、高周波電源122からサセプタ115
および上部電極121に電力を供給し、真空チャンバ1
14の内部でN2プラズマを発生させる。これにより、
半導体基板101に平行な平面上に堆積されたTiN膜
109aは、陽イオンの衝撃を受けるために、その密度
が向上する。所定の時間が経過したら、高周波電源12
2を停止させ、N2導入口119からのN2の導入を停止
する。次に、SiH4導入口120からSiH4を導入す
る。これによりTiN膜109の表面にTiSiN膜1
10が形成される。最後に、加熱機構116の動作を停
止させ、真空チャンバ114を大気開放した後に、半導
体基板101を排出する。
First, the inside of the vacuum chamber 114 is opened to the atmosphere.
The semiconductor substrate 101 on which the Ti film 108 has been deposited is released.
After being placed on the susceptor 115, the exhaust
Then, the inside of the vacuum chamber 114 is evacuated. Exhaust is complete
Then, the heating mechanism 116 is operated, and the susceptor 115 is
Then, the semiconductor substrate 101 is heated. Semiconductor substrate 101
Heating mechanism 1 so that the steady temperature of
Adjust the 16 outputs. The temperature of the semiconductor substrate 101 is steady
When the temperature is reached, use He from the TDMAT inlet 118
Introduce the diluted TDMAT. Thereby, the Ti film 10
8 caused a thermal decomposition reaction on the surface of TiN film
109 is deposited. After a predetermined time, TDM
Stop introduction of TDMAT from AT inlet 118,
N TwoN from inlet 119TwoOf the vacuum chamber 114
Introduce inside. The amount of N2 inside the vacuum chamber 114
When the pressure is stabilized, the susceptor 115 is
And power to the upper electrode 121, and the vacuum chamber 1
N inside 14TwoGenerates plasma. This allows
TiN film deposited on a plane parallel to semiconductor substrate 101
109a has a high density due to the impact of cations.
Is improved. After a predetermined time has elapsed, the high-frequency power supply 12
Stop 2 and NTwoN from inlet 119TwoStop introducing
I do. Next, SiHFourSiH from inlet 120FourIntroduce
You. Thereby, the TiSiN film 1 is formed on the surface of the TiN film 109.
10 are formed. Finally, the operation of the heating mechanism 116 is stopped.
And after opening the vacuum chamber 114 to the atmosphere,
The body substrate 101 is discharged.

【0086】(実施形態2)図15から図20を参照し
ながら、本発明による半導体装置の第2の実施形態を説
明する。図15から図20では、図1から図7に示した
構成と同一の構成要素には同一の符号を付け、その構成
要素の詳細な説明を省略する。
(Second Embodiment) A second embodiment of the semiconductor device according to the present invention will be described with reference to FIGS. 15 to 20, the same components as those shown in FIGS. 1 to 7 are denoted by the same reference numerals, and detailed description of the components will be omitted.

【0087】本半導体装置は、図20に示されるよう
に、不図示のトランジスタなどの集積回路素子が形成さ
れた半導体基板101と、半導体基板101の表面に形
成された下部配線層102と、下部配線層102を覆う
ように半導体基板101上に堆積されたSiO2膜10
3とを備えている。
As shown in FIG. 20, the present semiconductor device includes a semiconductor substrate 101 on which an integrated circuit element such as a transistor (not shown) is formed, a lower wiring layer 102 formed on the surface of the semiconductor substrate 101, SiO 2 film 10 deposited on semiconductor substrate 101 so as to cover wiring layer 102
3 is provided.

【0088】SiO2膜103上にはSi34膜104
が堆積されており、Si34膜104上にはSiO2
5が堆積されている。SiO2膜103、Si34膜1
04およびSiO2膜105によって層間絶縁膜が形成
されている。この層間絶縁膜には、下部配線層102に
達するスルーホール106と、スルーホール106に連
結する配線溝107とが形成されており、配線溝107
内にはスルーホール106を介して下部配線層102に
電気的に接触する上部配線層113が設けられている。
上部配線層113は、スルーホール106および配線溝
107の内側面および底面を覆うようチタン(Ti)膜
108と、Ti膜108上に堆積されたTiSiN膜1
23と、TiSiN膜123上にに堆積されたCu膜1
11、Cu膜111上に堆積されたCu膜112とを含
んでいる。
The Si 3 N 4 film 104 is formed on the SiO 2 film 103.
Is deposited, and a SiO 2 film 5 is deposited on the Si 3 N 4 film 104. SiO 2 film 103, Si 3 N 4 film 1
04 and the SiO 2 film 105 form an interlayer insulating film. In this interlayer insulating film, a through hole 106 reaching the lower wiring layer 102 and a wiring groove 107 connected to the through hole 106 are formed.
Inside, an upper wiring layer 113 which is in electrical contact with the lower wiring layer 102 via the through hole 106 is provided.
The upper wiring layer 113 includes a titanium (Ti) film 108 covering the inner side surface and the bottom surface of the through hole 106 and the wiring groove 107, and the TiSiN film 1 deposited on the Ti film 108.
23 and the Cu film 1 deposited on the TiSiN film 123
11 and a Cu film 112 deposited on the Cu film 111.

【0089】TiSiN膜123は、スルーホール10
6および配線溝107の内側壁上に形成された垂直部分
(半導体基板101に実質的に垂直な面上に形成された
部分)123aと、スルーホール106および配線溝1
07の底面上に形成された水平部分(半導体基板101
に実質的に平行な面上に形成され部分)123bとに、
必要に応じて区別する。
The TiSiN film 123 is formed in the through hole 10
6 and a vertical portion (a portion formed on a surface substantially perpendicular to the semiconductor substrate 101) 123a formed on the inner wall of the wiring groove 107, a through hole 106 and a wiring groove 1
07 (the semiconductor substrate 101)
A portion 123b formed on a plane substantially parallel to
Distinguish as necessary.

【0090】本実施形態の構成が、第1の実施形態の構
成と異なるのは、図19に示すように、Ti膜108と
TiSiN膜123の間にTiN膜が介在していないと
いう点にある。すでに述べたように、TiSiN膜はT
iN膜よりもCu原子の拡散を防止する能力が高いの
で、本実施形態のような構成とすることにより、スルー
ホール106の間および上部配線層113の間のリーク
電流を第1の実施形態の場合よりもさらに低減すること
が可能になる。なお、本実施形態の場合のように、Ti
膜108とTiSiN膜123の間にTiN膜が介在し
ない場合においても、第1の実施形態で述べたように、
TiSiN膜123aに含まれるSiの濃度は、5原子
%以上に設定するのが望ましい。また、TiSiN膜1
23aの厚さは、1nm以上かつ50nm以下に設定す
るのが望ましい。また、TiSiN膜123bの厚さ
は、TiSiN膜123aの厚さよりも小さく設定する
のが望ましい。
The structure of this embodiment differs from the structure of the first embodiment in that no TiN film is interposed between the Ti film 108 and the TiSiN film 123, as shown in FIG. . As already mentioned, the TiSiN film is
Since the ability to prevent the diffusion of Cu atoms is higher than that of the iN film, the configuration according to the present embodiment reduces the leakage current between the through holes 106 and between the upper wiring layers 113 according to the first embodiment. It is possible to further reduce the case. Note that, as in the case of the present embodiment, Ti
Even when the TiN film is not interposed between the film 108 and the TiSiN film 123, as described in the first embodiment,
It is desirable that the concentration of Si contained in the TiSiN film 123a be set to 5 atomic% or more. Also, the TiSiN film 1
The thickness of 23a is desirably set to 1 nm or more and 50 nm or less. Further, it is desirable that the thickness of the TiSiN film 123b be set smaller than the thickness of the TiSiN film 123a.

【0091】以下、図面を参照しながら、この半導体装
置の製造方法を説明する。
Hereinafter, a method for manufacturing the semiconductor device will be described with reference to the drawings.

【0092】まず、図15に示すように、半導体基板1
01の表面に下部配線層102を形成する。次に、図1
6に示すように、SiO2膜(膜厚:約100〜200
0nm)103、Si34膜(膜厚:約5〜50nm)
104、SiO2膜(膜厚:約100〜1000nm)
105を順に堆積した後に、リソグラフィー法およびド
ライエッチング法を2回ずつ交互に適用することによっ
て、SiO2膜103およびSi34膜104の内部に
スルーホール106を、SiO2膜105の内部に配線
溝107を形成する。次に、図17に示すように、ドラ
イエッチング法によりスルーホール106の底部の清浄
化を行なった後に、物理的気層成長法によりTi膜(膜
厚:約0.5〜10nm)108を、続いて化学的気層
成長法によりTiSiN膜(膜厚:約1〜50nm)1
23を堆積する。
First, as shown in FIG.
The lower wiring layer 102 is formed on the surface of the substrate 01. Next, FIG.
As shown in FIG. 6, the SiO 2 film (film thickness: about 100 to 200)
0 nm) 103, Si 3 N 4 film (film thickness: about 5 to 50 nm)
104, SiO 2 film (thickness: about 100 to 1000 nm)
After sequentially depositing 105, a lithography method and a dry etching method are alternately applied twice each so that a through hole 106 is formed inside the SiO 2 film 103 and the Si 3 N 4 film 104, and a through hole 106 is formed inside the SiO 2 film 105. The wiring groove 107 is formed. Next, as shown in FIG. 17, after cleaning the bottom of the through hole 106 by dry etching, a Ti film (thickness: about 0.5 to 10 nm) 108 is formed by physical vapor deposition. Subsequently, a TiSiN film (thickness: about 1 to 50 nm) 1 is formed by a chemical vapor deposition method.
23 is deposited.

【0093】化学的気層成長法によるTiSiN膜12
3の堆積方法は以下のようにして行なう。Ti膜108
の堆積が済んだ半導体基板101を、真空チャンバ内で
350℃に加熱する。半導体基板101が定常温度に到
達したら、真空チャンバの内部にHeで希釈したTDM
ATおよびSiH4を同時に導入する。このとき、TD
MATの分圧は6Pa、SiH4の分圧は1Paとなる
ように、TDMATおよびSiH4の導入量を調節す
る。導入されたTDMATはTi膜108の表面でSi
4と反応し、TiSiN膜123が堆積される。本実
施形態では、堆積するTiSiN膜123の厚さを20
nmとしている。
TiSiN film 12 by chemical vapor deposition
The deposition method 3 is performed as follows. Ti film 108
Is heated to 350 ° C. in a vacuum chamber. When the semiconductor substrate 101 reaches a steady temperature, TDM diluted with He is placed in the vacuum chamber.
AT and SiH 4 are introduced simultaneously. At this time, TD
The introduction amounts of TDMAT and SiH 4 are adjusted so that the partial pressure of MAT is 6 Pa and the partial pressure of SiH 4 is 1 Pa. The introduced TDMAT is Si on the surface of the Ti film 108.
Reacting with H 4 , the TiSiN film 123 is deposited. In the present embodiment, the thickness of the TiSiN film 123 to be deposited is set to 20
nm.

【0094】次に、図18に示すように、TiSiN膜
123の表面を、N2プラズマに暴露する。この際、半
導体基板101に平行な平面上に堆積されたTiSiN
膜123bは、陽イオンの衝撃を効果的に受けるため
に、その密度が向上する。一方、半導体基板101に垂
直な平面上に堆積されたTiSiN膜123aは、陽イ
オンの衝撃をほとんど受けないために、その密度が変化
しない。N2プラズマへの暴露がTiSiN膜123a
およびTiSiN膜123bに与える影響については、
後に詳しく説明する。
Next, as shown in FIG. 18, the surface of the TiSiN film 123 is exposed to N 2 plasma. At this time, TiSiN deposited on a plane parallel to the semiconductor substrate 101 is used.
The density of the film 123b is improved in order to effectively receive cation bombardment. On the other hand, the density of the TiSiN film 123a deposited on a plane perpendicular to the semiconductor substrate 101 does not change because it hardly receives the impact of cations. Exposure to N 2 plasma is TiSiN film 123a
And the effect on the TiSiN film 123b,
This will be described later in detail.

【0095】次に、図19に示すように、TiSiN膜
123の表面に物理的気層成長法によりCu膜(膜厚:
約5〜200nm)111を堆積する。ただし、Cu膜
111の堆積は半導体基板101の中央部のみとする。
Cu膜111を堆積した後に、Cu膜111およびTi
SiN膜123bの表面をH2SO4で洗浄してから、電
解メッキ法によりCu膜(膜厚:約100〜1000n
m)112を堆積する。この際、TiSiN膜123b
の表面ではCu膜は成長しない。最後に、SiO2膜1
05上のTi膜108、TiN膜109、TiSiN膜
123、Cu膜111およびCu膜112を化学機械的
研磨法により除去することにより、図20のような半導
体装置が実現される。
Next, as shown in FIG. 19, a Cu film (film thickness:
(About 5 to 200 nm) 111 is deposited. However, the Cu film 111 is deposited only at the center of the semiconductor substrate 101.
After depositing the Cu film 111, the Cu film 111 and Ti
After cleaning the surface of the SiN film 123b with H 2 SO 4 , a Cu film (thickness: about 100 to 1000 n) is formed by electrolytic plating.
m) Deposit 112. At this time, the TiSiN film 123b
No Cu film grows on the surface. Finally, the SiO 2 film 1
By removing the Ti film 108, the TiN film 109, the TiSiN film 123, the Cu film 111, and the Cu film 112 on the substrate 05 by a chemical mechanical polishing method, a semiconductor device as shown in FIG. 20 is realized.

【0096】ここで、N2プラズマに暴露した後のTi
SiN膜123aおよびTiSiN膜123bの厚さを
透過電子顕微鏡(TEM)で測定した結果を図21に示
す。図21から明らかなように、TiSiN膜123b
の厚さは、TiSiN膜123aの厚さよりも小さくな
っている。これは、TiSiN膜123bがN2プラズ
マへの暴露により陽イオンの衝撃を受けるために、その
密度が向上するためである。
Here, Ti after exposure to N 2 plasma
FIG. 21 shows the results of measuring the thicknesses of the SiN film 123a and the TiSiN film 123b with a transmission electron microscope (TEM). As is clear from FIG. 21, the TiSiN film 123b
Is smaller than the thickness of the TiSiN film 123a. This is because bombarded cation TiSiN film 123b is by exposure to N 2 plasma, because its density is improved.

【0097】次に、TiSiN膜123aおよびTiS
iN膜123bの組成および化学構造をXPSにより分
析した結果を図22(a)および(b)から図23
(a)および(b)に示す。図22(a)および(b)
は、それぞれ、TiSiN膜123aおよびTiSiN
膜123bに含まれるTi原子のXPSスペクトル(T
i2p)を測定したものである。図23(a)および
(b)は、それぞれ、TiSiN膜123aおよびTi
SiN膜123bに含まれるSi原子のXPSスペクト
ル(Si2p)を測定したものである。図23(a)お
よび(b)から明らかなように、TiSiN膜123a
およびTiSiN膜123bに含まれるSiはSi−N
という結合形態をとっている。このため、TiSiN膜
123aはCu原子の拡散を有効に防止することができ
る。また、TiSiN膜123bの表面では、Si−N
結合が支配的となっているため、TiSiN膜123b
の表面ではCu膜の異常成長が発生しない。
Next, the TiSiN film 123a and the TiS
FIGS. 22 (a) and 23 (b) show the results of XPS analysis of the composition and chemical structure of the iN film 123b.
(A) and (b). FIG. 22 (a) and (b)
Are TiSiN film 123a and TiSiN, respectively.
XPS spectrum of Ti atoms contained in the film 123b (T
i2p) was measured. FIGS. 23A and 23B show the TiSiN film 123a and the TiSiN film 123a, respectively.
The XPS spectrum (Si2p) of Si atoms contained in the SiN film 123b was measured. As is clear from FIGS. 23A and 23B, the TiSiN film 123a
And Si contained in the TiSiN film 123b is Si-N
It is in the form of a bond. Therefore, the TiSiN film 123a can effectively prevent the diffusion of Cu atoms. Further, on the surface of the TiSiN film 123b, Si-N
Since the bonding is dominant, the TiSiN film 123b
No abnormal growth of the Cu film occurs on the surface.

【0098】図24はTiSiN膜123aおよびTi
SiN膜123bに含まれるSi原子の濃度を表面から
の深さの関数として表示したものである。図24から明
らかなように、TiSiN膜123a、TiSiN膜1
23bともに表面および内部に多量のSiを含んでい
る。TiSiN膜123aに含まれるSiの濃度は、第
1の実施形態におけるTiSiN膜123aに含まれて
いるSiの濃度よりも大きい。このため、本実施形態の
ような方法で半導体装置を製造すると、スルーホール1
06の間および上部配線層113の間のリーク電流を、
第1の実施形態の場合よりもさらに低減することが可能
となる。
FIG. 24 shows the TiSiN film 123a and the TiSiN film 123a.
This is a graph showing the concentration of Si atoms contained in the SiN film 123b as a function of the depth from the surface. As is clear from FIG. 24, the TiSiN film 123a, the TiSiN film 1
23b both contain a large amount of Si on the surface and inside. The concentration of Si contained in the TiSiN film 123a is higher than the concentration of Si contained in the TiSiN film 123a in the first embodiment. Therefore, when the semiconductor device is manufactured by the method as in the present embodiment, the through hole 1
06 and between the upper wiring layer 113
It is possible to further reduce than in the case of the first embodiment.

【0099】ここで、TiSiN膜123を堆積する際
の半導体基板101の温度の設定方法について説明す
る。半導体基板101の温度が250℃より低くなる
と、TDMATとSiH4が反応する速度が低下するた
めに、TiSiN膜123の堆積に要する時間が著しく
長くなる。一方、半導体基板101の温度が450℃よ
り高くなると、TDMATの熱分解反応がいわゆる供給
律速状態に移行するために、TiN膜109の段差被覆
性が低下する。以上の理由から、TiSiN膜123を
堆積する際の半導体基板101の温度は、250℃以上
かつ450℃以下に設定するのが望ましい。
Here, a method of setting the temperature of the semiconductor substrate 101 when depositing the TiSiN film 123 will be described. When the temperature of the semiconductor substrate 101 is lower than 250 ° C., the reaction time between TDMAT and SiH 4 is reduced, so that the time required for depositing the TiSiN film 123 is significantly increased. On the other hand, when the temperature of the semiconductor substrate 101 is higher than 450 ° C., the thermal decomposition reaction of TDMAT shifts to a so-called supply-controlled state, so that the step coverage of the TiN film 109 decreases. For the above reasons, the temperature of the semiconductor substrate 101 when depositing the TiSiN film 123 is desirably set to 250 ° C. or higher and 450 ° C. or lower.

【0100】次に、TiSiN膜123を形成する際の
TDMATおよびSiH4の分圧の設定方法について説
明する。TDMATの分圧が3Paより、また、SiH
4の分圧が0.5Paより低くなると、TDMATおよ
びSiH4からTiSiN膜123が形成される反応の
速度が低下するために、TiSiN膜123の形成に要
する時間が著しく長くなる。以上の理由から、TiSi
N膜123を形成する際のTDMATの分圧は3Pa以
上、SiH4の分圧は0.5Pa以上に設定するのが望
ましい。
Next, a method of setting the partial pressures of TDMAT and SiH 4 when forming the TiSiN film 123 will be described. The partial pressure of TDMAT is higher than 3 Pa
If the partial pressure of No. 4 is lower than 0.5 Pa, the reaction speed for forming the TiSiN film 123 from TDMAT and SiH 4 is reduced, so that the time required for forming the TiSiN film 123 is significantly increased. For the above reasons, TiSi
When forming the N film 123, the partial pressure of TDMAT is preferably set to 3 Pa or more, and the partial pressure of SiH 4 is set to 0.5 Pa or more.

【0101】次に、TiSiN膜123を堆積する際の
TiSiN膜123の厚さの設定方法について説明す
る。TiSiN膜123の厚さが1nm以下になると、
2プラズマに暴露した後のTiSiN膜123aの厚
さが不十分となり、Cu原子の拡散を防止する性能が低
下するので、スルーホール106の間および上部配線層
113の間のリーク電流が増大する。一方、TiSiN
膜123の厚さが50nm以上になると、上部配線層1
13の断面積をCu膜111およびCu膜112の断面
積が占める割合が低下するために、上部配線層113の
配線抵抗が増大し、半導体装置の動作速度を低下させ
る。以上の理由から、TiSiN膜123を堆積する際
のTiSiN膜123の厚さは、1nm以上かつ50n
m以下に設定するのが望ましい。
Next, a method of setting the thickness of the TiSiN film 123 when depositing the TiSiN film 123 will be described. When the thickness of the TiSiN film 123 becomes 1 nm or less,
The thickness of the TiSiN film 123a after being exposed to the N 2 plasma becomes insufficient, and the performance of preventing the diffusion of Cu atoms decreases, so that the leakage current between the through holes 106 and between the upper wiring layers 113 increases. . On the other hand, TiSiN
When the thickness of the film 123 becomes 50 nm or more, the upper wiring layer 1
Since the ratio of the cross-sectional area of the cross-sectional area 13 to the cross-sectional area of the Cu film 111 and the Cu film 112 decreases, the wiring resistance of the upper wiring layer 113 increases, and the operating speed of the semiconductor device decreases. For the above reasons, the thickness of the TiSiN film 123 when depositing the TiSiN film 123 is 1 nm or more and 50 n
It is desirable to set it to m or less.

【0102】本実施形態における半導体装置の製造は、
図14で示した半導体装置の製造装置を以下のように動
作させることによって行なうことができる。まず、真空
チャンバ114の内部を大気開放し、Ti膜108の堆
積が済んだ半導体基板101をサセプタ115の上に設
置した後に、排気口117を通じて真空チャンバ114
の内部を排気する。排気が完了したら、加熱機構116
を作動させ、サセプタ115を通じて半導体基板101
を加熱する。半導体基板101の温度の定常温度が35
0℃になるように、加熱機構116の出力を調節する。
半導体基板101の温度が定常温度に到達したら、TD
MAT導入口118からHeで希釈したTDMATを、
SiH4導入口120からSiH4を導入する。これに
よりTi膜108の表面でTDMATがSiH4と反応
し、TiSiN膜123が堆積される。所定の時間が経
過したら、TDMATおよびSiH4の導入を停止し、
代わってN2導入口119からN2を真空チャンバ11
4の内部に導入する。真空チャンバ114の内部でN2
の分圧が安定したら、高周波電源122からサセプタ1
15および上部電極121に電力を供給し、真空チャン
バ114の内部でN2プラズマを発生させる。これによ
り、半導体基板101に平行な平面上に堆積されたTi
SiN膜123aは、陽イオンの衝撃を受け、その密度
が向上する。所定の時間が経過したら、高周波電源12
2を停止させ、N2導入口119からのN2の導入を停止
する。最後に、加熱機構116の動作を停止させ、真空
チャンバ114を大気開放した後に、半導体基板101
を排出する。
The manufacture of the semiconductor device in this embodiment is as follows.
The operation can be performed by operating the semiconductor device manufacturing apparatus shown in FIG. 14 as follows. First, the interior of the vacuum chamber 114 is opened to the atmosphere, the semiconductor substrate 101 on which the Ti film 108 has been deposited is set on the susceptor 115, and then the vacuum chamber 114 is exhausted through the exhaust port 117.
Exhaust the inside. When the evacuation is completed, the heating mechanism 116
To operate the semiconductor substrate 101 through the susceptor 115.
Heat. The steady temperature of the semiconductor substrate 101 is 35
The output of the heating mechanism 116 is adjusted so as to reach 0 ° C.
When the temperature of the semiconductor substrate 101 reaches the steady temperature, TD
TDMAT diluted with He from the MAT inlet 118 is
SiH 4 is introduced from the SiH 4 introduction port 120. As a result, TDMAT reacts with SiH 4 on the surface of the Ti film 108, and a TiSiN film 123 is deposited. After a predetermined time has elapsed, the introduction of TDMAT and SiH 4 is stopped,
Instead, N 2 is supplied from the N 2 inlet 119 to the vacuum chamber 11.
4 inside. N 2 inside the vacuum chamber 114
Is stable, the susceptor 1 is
15 and the upper electrode 121 are supplied with electric power to generate N 2 plasma inside the vacuum chamber 114. Thereby, the Ti deposited on a plane parallel to the semiconductor substrate 101 is formed.
The SiN film 123a receives the bombardment of cations and its density is improved. After a predetermined time has elapsed, the high-frequency power supply 12
2 is stopped to stop the introduction of the N 2 from the N 2 inlet 119. Finally, after the operation of the heating mechanism 116 is stopped and the vacuum chamber 114 is opened to the atmosphere, the semiconductor substrate 101
Discharge.

【0103】(実施形態3)図31から図37を参照し
ながら、本発明による半導体装置の第3の実施形態を説
明する。図31から図37では、図1から図7に示した
構成と同一の構成要素には同一の符号を付け、その構成
要素の詳細な説明を省略する。
Embodiment 3 A semiconductor device according to a third embodiment of the present invention will be described with reference to FIGS. 31 to 37, the same components as those shown in FIGS. 1 to 7 are denoted by the same reference numerals, and detailed description of the components will be omitted.

【0104】本半導体装置は、図37に示されるよう
に、不図示のトランジスタなどの集積回路素子が形成さ
れた半導体基板101と、半導体基板101の表面に形
成された下部配線層102と、下部配線層102を覆う
ように半導体基板101上に堆積されたSiO2膜10
3とを備えている。
As shown in FIG. 37, the present semiconductor device has a semiconductor substrate 101 on which an integrated circuit element such as a transistor (not shown) is formed, a lower wiring layer 102 formed on the surface of the semiconductor substrate 101, and a lower substrate. SiO 2 film 10 deposited on semiconductor substrate 101 so as to cover wiring layer 102
3 is provided.

【0105】SiO2膜103上にはSi34膜104
が堆積されており、Si34膜104上にはSiO2
105が堆積されている。SiO2膜103、Si34
膜104およびSiO2膜105によって層間絶縁膜が
形成されている。この層間絶縁膜には、下部配線層10
2に達するスルーホール106と、スルーホール106
に連結する配線溝107とが形成されており、配線溝1
07内にはスルーホール106を介して下部配線層10
2に電気的に接触する上部配線層113が設けられてい
る。
The Si 3 N 4 film 104 is formed on the SiO 2 film 103.
Is deposited, and a SiO 2 film 105 is deposited on the Si 3 N 4 film 104. SiO 2 film 103, Si 3 N 4
An interlayer insulating film is formed by the film 104 and the SiO 2 film 105. This interlayer insulating film includes a lower wiring layer 10
2 and the through hole 106
And a wiring groove 107 connected to the wiring groove 1 are formed.
07, through the through hole 106, the lower wiring layer 10
2 is provided with an upper wiring layer 113 which is in electrical contact with 2.

【0106】上部配線層113は、スルーホール106
および配線溝107の内側面および底面を覆うようチタ
ン(Ti)膜108と、Ti膜108上に堆積されたT
iiN膜109と、TiN膜109上に堆積されたTi
SiN膜110と、TiSiN膜110上に形成された
堆積されたCu膜111、Cu膜111上に堆積された
Cu膜112とを含んでおり、TiSiN膜110とC
u膜111との界面には、ケイ化銅(Cu3Si)膜1
25が形成されている。
The upper wiring layer 113 is
And a titanium (Ti) film 108 covering the inner side surface and the bottom surface of the wiring groove 107, and T
iiN film 109 and Ti deposited on TiN film 109
A SiN film 110, a Cu film 111 deposited on the TiSiN film 110, and a Cu film 112 deposited on the Cu film 111;
At the interface with the u film 111, a copper silicide (Cu 3 Si) film 1
25 are formed.

【0107】TiSiN膜109は、スルーホール10
6および配線溝107の内側壁上に形成された垂直部分
(半導体基板101に実質的に垂直な面上に形成された
部分)109aと、スルーホール106および配線溝1
07の底面上に形成された水平部分(半導体基板101
に実質的に平行な面上に形成され部分)109bとに、
必要に応じて区別する。同様に、TiSiN膜110
は、スルーホール106および配線溝107の内側壁上
に形成された垂直部分(半導体基板101に実質的に垂
直な面上に形成された部分)110aと、スルーホール
106および配線溝107の底面上に形成された水平部
分(半導体基板101に実質的に平行な面上に形成され
部分)110bとに、必要に応じて区別する。
The TiSiN film 109 is formed in the through hole 10
6 and a vertical portion (a portion formed on a surface substantially perpendicular to the semiconductor substrate 101) 109a formed on the inner side wall of the wiring groove 107, a through hole 106 and the wiring groove 1
07 (the semiconductor substrate 101)
A portion formed on a plane substantially parallel to
Distinguish as necessary. Similarly, the TiSiN film 110
A vertical portion (a portion formed on a surface substantially perpendicular to the semiconductor substrate 101) 110a formed on the inner side wall of the through hole 106 and the wiring groove 107 and a bottom portion of the through hole 106 and the wiring groove 107 (A part formed on a plane substantially parallel to the semiconductor substrate 101) 110b.

【0108】以上の構成を採用することによって、従来
に比較して、スルーホール106の間、および上部配線
層113の間のリーク電流を低減することができ、ま
た、スルーホール106および上部配線層113のエレ
クトロマイグレーション耐性を改善することができる。
これは、TiSiN膜110とCu膜111との界面に
設けたCu3Si膜125によって、TiSiN膜11
0とCu膜111との間の密着性が向上し、Cu原子の
移動が生じにくくなるためである。
By adopting the above configuration, it is possible to reduce the leak current between through holes 106 and between upper wiring layers 113 as compared with the prior art. 113 can be improved in electromigration resistance.
This is because the TiSiN film 11 is formed by the Cu 3 Si film 125 provided at the interface between the TiSiN film 110 and the Cu film 111.
This is because the adhesion between 0 and the Cu film 111 is improved, and the migration of Cu atoms is less likely to occur.

【0109】以下、図面を参照しながら、この半導体装
置の製造方法を説明する。
Hereinafter, a method for manufacturing the semiconductor device will be described with reference to the drawings.

【0110】まず、図31に示すように、半導体基板1
01の表面に下部配線層102を形成する。次に、図3
2に示すように、SiO2膜(膜厚:約100〜200
0nm)103、Si34膜(膜厚:約5〜50nm)
104、SiO2膜(膜厚:約100〜1000nm)
105を順に堆積した後に、リソグラフィー法およびド
ライエッチング法を2回ずつ交互に適用することによっ
て、SiO2膜103およびSi34膜104の内部に
スルーホール106を、SiO2膜105の内部に配線
溝107を形成する。次に、図33に示すように、ドラ
イエッチング法によりスルーホール106の底部の清浄
化を行なった後に、物理的気相成長法によりTi膜(膜
厚:約0.5〜10nm)108を、続いて化学的気相
成長法によりTiN膜109を堆積する。その後、Ti
N膜109の表面を、N2プラズマに暴露する。この
際、半導体基板101に平行な平面上に堆積されたTi
N膜109bは、陽イオンの衝撃を効果的に受けるため
に、その密度が向上する。一方、半導体基板101に垂
直な平面上に堆積されたTiN膜109aは、陽イオン
の衝撃をほとんど受けないために、その密度が変化しな
い。
First, as shown in FIG.
The lower wiring layer 102 is formed on the surface of the substrate 01. Next, FIG.
As shown in FIG. 2, an SiO 2 film (thickness: about 100 to 200
0 nm) 103, Si 3 N 4 film (film thickness: about 5 to 50 nm)
104, SiO 2 film (thickness: about 100 to 1000 nm)
After sequentially depositing 105, a lithography method and a dry etching method are alternately applied twice each so that a through hole 106 is formed inside the SiO 2 film 103 and the Si 3 N 4 film 104, and a through hole 106 is formed inside the SiO 2 film 105. The wiring groove 107 is formed. Next, as shown in FIG. 33, after cleaning the bottom of the through hole 106 by dry etching, a Ti film (thickness: about 0.5 to 10 nm) 108 is formed by physical vapor deposition. Subsequently, a TiN film 109 is deposited by a chemical vapor deposition method. Then, Ti
The surface of the N film 109 is exposed to N 2 plasma. At this time, Ti deposited on a plane parallel to the semiconductor substrate 101 is used.
The density of the N film 109b is improved in order to effectively receive cation bombardment. On the other hand, the density of the TiN film 109a deposited on a plane perpendicular to the semiconductor substrate 101 does not change because it is hardly affected by positive ions.

【0111】次に、図35に示すように、TiN膜10
9の表面をSiH4に暴露する。この際、半導体基板の
温度を300℃以上に加熱し、TiN膜109の表面を
SiH4に暴露する時間を15秒以上にすると、TiN
膜109aの表面にはTiSiN膜110aが形成さ
れ、TiN膜109bの表面にはTiSiN膜110b
が形成される。また、そのとき、TiSiN膜110の
表面にはSi膜(厚さ:1〜10nm)124が成長す
る。
Next, as shown in FIG. 35, the TiN film 10
9 exposing the surface to SiH 4 of. At this time, if the temperature of the semiconductor substrate is heated to 300 ° C. or more and the time for exposing the surface of the TiN film 109 to SiH 4 is 15 seconds or more, TiN
A TiSiN film 110a is formed on the surface of the film 109a, and a TiSiN film 110b is formed on the surface of the TiN film 109b.
Is formed. At this time, a Si film (thickness: 1 to 10 nm) 124 grows on the surface of the TiSiN film 110.

【0112】次に、Si膜124の表面に物理的気相成
長法によってCu膜(膜厚:約5〜200nm)111
を堆積する。ただし、Cu膜111の堆積は半導体基板
101の中央部のみとする。Si膜124とCu膜11
1とは直ちに反応し、図36に示すように、Cu3Si
膜125が形成される。次に、Cu膜111およびSi
膜124の表面をH2SO4で洗浄してから、電解メッキ
法によりCu膜(膜厚:約100〜1000nm)11
2を堆積する。この際、Si膜124の表面のうち露出
領域の上にはCu膜は成長しない。これは、大気中での
搬送によってSi膜124の露出表面に絶縁性の高いS
iO2膜が形成され、その部分ではCuイオンの還元反
応が起こらないためである。
Next, a Cu film (thickness: about 5-200 nm) 111 is formed on the surface of the Si film 124 by physical vapor deposition.
Is deposited. However, the Cu film 111 is deposited only at the center of the semiconductor substrate 101. Si film 124 and Cu film 11
1 and immediately react with Cu3Si as shown in FIG.
A film 125 is formed. Next, the Cu film 111 and Si
After the surface of the film 124 is washed with H 2 SO 4 , a Cu film (thickness: about 100 to 1000 nm) 11 is formed by electrolytic plating.
2 is deposited. At this time, the Cu film does not grow on the exposed region on the surface of the Si film 124. This is because the exposed surface of the Si film 124 is transferred to the exposed surface of the Si film 124 by transporting in the air, and thus the insulating film S
This is because an iO 2 film is formed, and a reduction reaction of Cu ions does not occur in that portion.

【0113】最後に、SiO2膜105上に位置するT
i膜108、TiN膜109、TiSiN膜110、C
3Si膜125、Cu膜111およびCu膜112を
化学機械的研磨法により除去することにより、図37に
示す半導体装置が作製される。
Finally, the T on the SiO 2 film 105
i film 108, TiN film 109, TiSiN film 110, C
The semiconductor device shown in FIG. 37 is manufactured by removing the u 3 Si film 125, the Cu film 111, and the Cu film 112 by a chemical mechanical polishing method.

【0114】ここで、Si膜124とCu膜111の堆
積は、真空中で連続して行うことが好ましい。これは、
Cu膜111を堆積する前にSi膜124を大気に暴露
すると、Si膜124の表面にSiO2膜形成されるた
め、Si膜124とCu膜111との反応が阻害されて
しまうからである。このような連続膜堆積は、図38に
示す半導体装置の製造装置を用いて実現できる。図38
の装置は、例えば図14に示すような構成を持つ化学的
気相成膜室126と、成膜室126に接続された銅堆積
室127を備え、成膜室126と銅堆積室127との間
が減圧搬送室128で連結されている。
Here, the deposition of the Si film 124 and the Cu film 111 is preferably performed continuously in a vacuum. this is,
If the Si film 124 is exposed to the atmosphere before the Cu film 111 is deposited, a SiO 2 film is formed on the surface of the Si film 124, so that the reaction between the Si film 124 and the Cu film 111 is hindered. Such continuous film deposition can be realized using the semiconductor device manufacturing apparatus shown in FIG. FIG.
The apparatus includes, for example, a chemical vapor deposition chamber 126 having a configuration as shown in FIG. 14 and a copper deposition chamber 127 connected to the deposition chamber 126. The spaces are connected by a reduced-pressure transfer chamber 128.

【0115】以上、本発明を3つの実施形態について説
明したきたが、本発明はこれらの実施形態に限定される
ものではない。例えば、上述の実施形態では、スルーホ
ール106および配線溝107を連続して形成した後
に、これらの内部をCu膜112などの金属材料で埋め
込む「デュアルダマシン法」を適用しているが、スルー
ホール106あるいは配線溝107のいずれか一方を形
成した後にこれらの内部をCu膜112などの金属材料
で埋め込む「シングルダマシン法」を代わりに適用する
ことができる。また、上述の実施形態では、配線層の間
を絶縁する材料としてSiO2およびSi34を使用し
ているが、これらの代わりに他の材料を用いることも可
能である。そのような材料の例としては、フッ素(F)
などの不純物を含むSiO2や絶縁性を有する有機化合
物が挙げられる。また、上述の実施形態では、SiO2
膜105の表面およびスルーホール106の内部にTi
膜108を堆積しているが、下部配線層102を形成す
る導電性材料の種類によってはTi膜108の堆積が不
要となる。ただし、スルーホール106や配線溝107
に埋め込む金属材料が銅の場合には、Ti膜108を堆
積する方が好ましい。これは、Ti膜108によって埋
め込んだ銅の結晶配向性が向上し、エレクトロマイグレ
ーション耐性が向上するためである。この場合、Ti膜
108の堆積とTiN膜109の堆積あるいはTiSi
N膜123の堆積は真空中で連続的に行うのが好まし
い。これは、例えば図39の製造装置を用いて実現でき
る。図39の装置は、前述の成膜室126に接続された
チタン堆積室129を備え、成膜室126とチタン堆積
室129との間が減圧搬送室128で連結されている。
なお、図示されてはいないが、成膜室126が銅堆積室
127およびチタン堆積室129の両方と減圧搬送室1
28に連結される構成を採用してもよい。
Although the present invention has been described with reference to the three embodiments, the present invention is not limited to these embodiments. For example, in the above-described embodiment, the “dual damascene method” in which the through hole 106 and the wiring groove 107 are continuously formed and then the inside thereof is filled with a metal material such as the Cu film 112 is applied. The “single damascene method” in which either the wiring 106 or the wiring groove 107 is formed and then the inside thereof is filled with a metal material such as the Cu film 112 can be applied instead. In the above-described embodiment, SiO 2 and Si 3 N 4 are used as materials for insulating between wiring layers, but other materials can be used instead of these materials. An example of such a material is fluorine (F)
For example, SiO 2 containing an impurity such as, or an organic compound having an insulating property may be used. Further, in the above embodiment, SiO 2
Ti on the surface of the film 105 and inside the through hole 106
Although the film 108 is deposited, the deposition of the Ti film 108 becomes unnecessary depending on the type of the conductive material forming the lower wiring layer 102. However, through holes 106 and wiring grooves 107
When the metal material to be embedded in the substrate is copper, it is preferable to deposit the Ti film 108. This is because the crystal orientation of copper buried by the Ti film 108 is improved, and the electromigration resistance is improved. In this case, the deposition of the Ti film 108 and the deposition of the TiN film 109 or TiSi
The deposition of the N film 123 is preferably performed continuously in a vacuum. This can be realized, for example, by using the manufacturing apparatus shown in FIG. The apparatus shown in FIG. 39 includes a titanium deposition chamber 129 connected to the above-described film deposition chamber 126, and the film deposition chamber 126 and the titanium deposition chamber 129 are connected by a reduced-pressure transport chamber 128.
Although not shown, the film forming chamber 126 is connected to both the copper deposition chamber 127 and the titanium deposition chamber 129 and the reduced-pressure transport chamber 1.
28 may be employed.

【0116】また、上述の実施形態では、TiN膜10
9およびTiSiN膜123の原材料としてTDMAT
を使用しているが、チタンを含む有機化合物であれば代
わりに使用することができる。そのような化合物の例と
しては、テトラキスジエチルチタン(TDEAT)やテ
トラキスエチルメチルチタン(TEMAT)が挙げられ
る。また、上述の実施形態では、TiN膜109および
TiSiN膜123をN2中で発生させたプラズマに暴
露しているが、窒素化合物であれば代わりに使用するこ
とができる。そのような気体の例としては、アンモニア
(NH3)やヒドラジン(N24)が挙げられる。
In the above-described embodiment, the TiN film 10
9 and TDMAT as raw materials for TiSiN film 123
Is used, but any organic compound containing titanium can be used instead. Examples of such compounds include tetrakisdiethyltitanium (TDEAT) and tetrakisethylmethyltitanium (TEMAT). Further, in the above-described embodiment, the TiN film 109 and the TiSiN film 123 are exposed to the plasma generated in N 2 , but a nitrogen compound can be used instead. Examples of such a gas include ammonia (NH 3 ) and hydrazine (N 2 H 4 ).

【0117】また、上述の実施形態では、TiSiN膜
110の形成およびTiSiN膜123の堆積にSiH
4を使用しているが、シリコン化合物であれば代わりに
使用することができる。そのような化合物の例として
は、ジシラン(Si26)、トリシラン(Si38)が
挙げられる。また、上述の実施形態では、Cu膜111
の堆積に物理的気層成長法を使用しているが、例えば化
学的気層成長法によりCu膜111の堆積を行なうこと
もできる。また、上述の実施形態では、Cu膜112の
堆積に電解メッキ法を使用しているが、スルーホール1
06および配線溝107を埋め込むことができる堆積方
法であれば代わりに使用することができる。そのような
堆積方法の例としては、無電解メッキ法が挙げられる。
In the above embodiment, the formation of the TiSiN film 110 and the deposition of the
Although 4 is used, a silicon compound can be used instead. Examples of such compounds include disilane (Si 2 H 6 ) and trisilane (Si 3 H 8 ). In the above embodiment, the Cu film 111
Although the physical vapor deposition method is used for the deposition of Cu, the Cu film 111 can be deposited by, for example, a chemical vapor deposition method. In the above-described embodiment, the electrolytic plating method is used for depositing the Cu film 112.
06 and the wiring trench 107 can be used instead. An example of such a deposition method is an electroless plating method.

【0118】また、薄膜に対してイオン衝撃を与える方
法として、上記実施形態では薄膜へのプラズマ照射を行
ったが、他の方法、例えばイオン注入法を用いても良
い。
Further, as a method of applying ion bombardment to the thin film, plasma irradiation is performed on the thin film in the above embodiment, but other methods such as an ion implantation method may be used.

【0119】[0119]

【発明の効果】本発明によれば、スルーホールの側壁お
よび配線層の側壁はシリコン含有窒化チタン層によって
被覆されている。シリコン含有窒化チタン層は、窒化チ
タンと比較して銅原子の拡散を防止する能力が高いの
で、以上のような構成とすることにより、絶縁膜に含ま
れる銅原子の濃度を低くすることができる。従って、従
来の技術と比較して、スルーホールの間および上部配線
層の間のリーク電流を低くすることができる。
According to the present invention, the side wall of the through hole and the side wall of the wiring layer are covered with the silicon-containing titanium nitride layer. Since the silicon-containing titanium nitride layer has a higher ability to prevent diffusion of copper atoms than titanium nitride, the above structure can reduce the concentration of copper atoms contained in the insulating film. . Therefore, the leak current between the through holes and between the upper wiring layers can be reduced as compared with the conventional technique.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明による半導体装置の製造方法の第1の実
施形態を説明するための工程断面図である。
FIG. 1 is a process sectional view for describing a first embodiment of a method for manufacturing a semiconductor device according to the present invention.

【図2】本発明による半導体装置の製造方法の第1の実
施形態を説明するための工程断面図である。
FIG. 2 is a process cross-sectional view for explaining a first embodiment of a method for manufacturing a semiconductor device according to the present invention.

【図3】本発明による半導体装置の製造方法の第1の実
施形態を説明するための工程断面図である。
FIG. 3 is a process sectional view for describing the first embodiment of the method for manufacturing a semiconductor device according to the present invention.

【図4】本発明による半導体装置の製造方法の第1の実
施形態を説明するための工程断面図である。
FIG. 4 is a process sectional view for describing the first embodiment of the method for manufacturing a semiconductor device according to the present invention.

【図5】本発明による半導体装置の製造方法の第1の実
施形態を説明するための工程断面図である。
FIG. 5 is a process sectional view for describing the first embodiment of the method for manufacturing a semiconductor device according to the present invention.

【図6】本発明による半導体装置の製造方法の第1の実
施形態を説明するための工程断面図である。
FIG. 6 is a process sectional view for describing the first embodiment of the method for manufacturing a semiconductor device according to the present invention.

【図7】本発明による半導体装置の第1の実施形態の断
面図である。
FIG. 7 is a sectional view of a first embodiment of a semiconductor device according to the present invention.

【図8】本発明の第1の実施形態において、半導体基板
に垂直な平面上に形成されたシリコン含有窒化チタン層
に含まれるシリコンの濃度を、表面からの深さの関数と
して示すグラフである。
FIG. 8 is a graph showing the concentration of silicon contained in a silicon-containing titanium nitride layer formed on a plane perpendicular to the semiconductor substrate according to the first embodiment of the present invention, as a function of the depth from the surface. .

【図9】(a)および(b)は、本発明の第1の実施形
態において、半導体基板に垂直な平面上に形成されたシ
リコン含有窒化チタン層の表面および内部を、X線光電
子分光法により分析した結果を示すグラフである。
(a)は、SiH4暴露を受けることによって形成され
たシリコン含有窒化チタン層に含まれるTi原子XPS
スペクトル(Ti2p)を示し、(b)はSiH4暴露
を受けていない窒化チタン層に含まれるTi原子XPS
スペクトル(Ti2p)を示している。
FIGS. 9A and 9B show X-ray photoelectron spectroscopy of the surface and the inside of a silicon-containing titanium nitride layer formed on a plane perpendicular to a semiconductor substrate in the first embodiment of the present invention. 6 is a graph showing the results of analysis by the method shown in FIG.
(A) shows a Ti atom XPS included in a silicon-containing titanium nitride layer formed by exposure to SiH 4.
The spectrum (Ti2p) is shown, and (b) is a Ti atom XPS contained in a titanium nitride layer not subjected to SiH 4 exposure.
The spectrum (Ti2p) is shown.

【図10】(a)および(b)は、本発明の第1の実施
形態において、半導体基板に垂直な平面上に形成された
シリコン含有窒化チタン層の表面および内部を、X線光
電子分光法により分析した結果を示すグラフである。
(a)は、SiH4暴露を受けることによって形成され
たシリコン含有窒化チタン層に含まれるSi原子XPS
スペクトル(Si2p)を示し、(b)はSiH4暴露
を受けていない窒化チタン層に含まれるSi原子XPS
スペクトル(Si2p)を示している。
FIGS. 10A and 10B show X-ray photoelectron spectroscopy of the surface and inside of a silicon-containing titanium nitride layer formed on a plane perpendicular to a semiconductor substrate in the first embodiment of the present invention. 6 is a graph showing the results of analysis by the method shown in FIG.
(A) shows Si atom XPS contained in a silicon-containing titanium nitride layer formed by exposure to SiH 4.
The spectrum (Si2p) is shown, and (b) is the Si atom XPS contained in the titanium nitride layer not exposed to SiH 4.
The spectrum (Si2p) is shown.

【図11】本発明の第1の実施形態において、半導体基
板に平行な平面上に形成されたシリコン含有窒化チタン
層に含まれるシリコンの濃度を表面からの深さの関数と
して示すグラフである。
FIG. 11 is a graph showing the concentration of silicon contained in the silicon-containing titanium nitride layer formed on a plane parallel to the semiconductor substrate as a function of the depth from the surface in the first embodiment of the present invention.

【図12】(a)および(b)は、本発明の第1の実施
形態において、半導体基板に平行な平面上に形成された
シリコン含有窒化チタン層の表面および内部を、X線光
電子分光法により分析した結果を示すグラフである。
(a)は、SiH4暴露を受けることによって形成され
たシリコン含有窒化チタン層に含まれるTi原子XPS
スペクトル(Ti2p)を示し、(b)はSiH4暴露
を受けていない窒化チタン層に含まれるTi原子XPS
スペクトル(Ti2p)を示している。
FIGS. 12A and 12B show X-ray photoelectron spectroscopy of the surface and inside of a silicon-containing titanium nitride layer formed on a plane parallel to a semiconductor substrate in the first embodiment of the present invention. 6 is a graph showing the results of analysis by the method shown in FIG.
(A) shows a Ti atom XPS included in a silicon-containing titanium nitride layer formed by exposure to SiH 4.
The spectrum (Ti2p) is shown, and (b) is a Ti atom XPS contained in a titanium nitride layer not exposed to SiH 4.
The spectrum (Ti2p) is shown.

【図13】(a)および(b)は、本発明の第1の実施
形態において、半導体基板に平行な平面上に形成された
シリコン含有窒化チタン層の表面および内部を、X線光
電子分光法により分析した結果を示すグラフである。
(a)は、SiH4暴露を受けることによって形成され
たシリコン含有窒化チタン層に含まれるSi原子XPS
スペクトル(Si2p)を示し、(b)はSiH4暴露
を受けていない窒化チタン層に含まれるSi原子XPS
スペクトル(Si2p)を示している。
FIGS. 13A and 13B show X-ray photoelectron spectroscopy of the surface and inside of a silicon-containing titanium nitride layer formed on a plane parallel to a semiconductor substrate in the first embodiment of the present invention. 6 is a graph showing the results of analysis by the method shown in FIG.
(A) shows Si atom XPS contained in a silicon-containing titanium nitride layer formed by exposure to SiH 4.
The spectrum (Si2p) is shown, and (b) is the Si atom XPS contained in the titanium nitride layer not exposed to SiH 4.
The spectrum (Si2p) is shown.

【図14】本発明による半導体装置の製造装置の実施形
態の断面図である。
FIG. 14 is a cross-sectional view of an embodiment of a semiconductor device manufacturing apparatus according to the present invention.

【図15】本発明による半導体装置の製造方法の第2の
実施形態を説明するための工程断面図である。
FIG. 15 is a process sectional view for describing the second embodiment of the method for manufacturing a semiconductor device according to the present invention.

【図16】本発明による半導体装置の製造方法の第2の
実施形態を説明するための工程断面図である。
FIG. 16 is a process sectional view for describing the second embodiment of the method for manufacturing a semiconductor device according to the present invention.

【図17】本発明による半導体装置の製造方法の第2の
実施形態を説明するための工程断面図である。
FIG. 17 is a process sectional view for describing the second embodiment of the method for manufacturing a semiconductor device according to the present invention.

【図18】本発明による半導体装置の製造方法の第2の
実施形態を説明するための工程断面図である。
FIG. 18 is a process sectional view for describing the second embodiment of the method for manufacturing a semiconductor device according to the present invention.

【図19】本発明による半導体装置の製造方法の第2の
実施形態を説明するための工程断面図である。
FIG. 19 is a process sectional view for describing the second embodiment of the method for manufacturing a semiconductor device according to the present invention.

【図20】本発明による半導体装置の第2の実施形態を
説明するための断面図である。
FIG. 20 is a sectional view illustrating a second embodiment of the semiconductor device according to the present invention;

【図21】本発明の第2の実施形態において、半導体基
板に垂直な平面上に堆積されたシリコン含有窒化チタン
層と、半導体基板に平行な平面上に堆積されたシリコン
含有窒化チタン層の厚さを、比較して示すグラフであ
る。
FIG. 21 shows the thickness of the silicon-containing titanium nitride layer deposited on a plane perpendicular to the semiconductor substrate and the thickness of the silicon-containing titanium nitride layer deposited on a plane parallel to the semiconductor substrate in the second embodiment of the present invention. It is a graph which shows and compares this.

【図22】(a)および(b)は、本発明の第2の実施
形態において、半導体基板に垂直な平面上に形成された
シリコン含有窒化チタン層の表面および内部と、半導体
基板に平行な平面上に形成されたシリコン含有窒化チタ
ン層の表面および内部を、X線光電子分光法により測定
されたTi原子のXPSスペクトル(Ti2p)を示す
グラフである。
FIGS. 22 (a) and (b) show a surface and inside of a silicon-containing titanium nitride layer formed on a plane perpendicular to a semiconductor substrate and a plane parallel to the semiconductor substrate in a second embodiment of the present invention. It is a graph which shows the XPS spectrum (Ti2p) of the Ti atom which measured the surface and the inside of the silicon-containing titanium nitride layer formed on the plane by X-ray photoelectron spectroscopy.

【図23】(a)および(b)は、それぞれ、本発明の
第2の実施形態において、半導体基板に垂直な平面上に
形成されたシリコン含有窒化チタン層の表面および内部
と、半導体基板に平行な平面上に形成されたシリコン含
有窒化チタン層の表面および内部を、X線光電子分光法
により測定されたSi原子のXPSスペクトル(Si2
p)を示すグラフである。
FIGS. 23 (a) and (b) show the surface and inside of a silicon-containing titanium nitride layer formed on a plane perpendicular to the semiconductor substrate and the semiconductor substrate in the second embodiment of the present invention, respectively. The surface and the inside of the silicon-containing titanium nitride layer formed on the parallel plane were subjected to the XPS spectrum of Si atom (Si2) measured by X-ray photoelectron spectroscopy.
It is a graph which shows p).

【図24】本発明の第2の実施形態において、半導体基
板に平行な平面上に形成されたシリコン含有窒化チタン
層に含まれるシリコンの濃度と、半導体基板に垂直な平
面上に形成されたシリコン含有窒化チタン層に含まれる
シリコンの濃度を、表面からの深さの関数として示すグ
ラフである。
FIG. 24 is a view showing the concentration of silicon contained in the silicon-containing titanium nitride layer formed on a plane parallel to the semiconductor substrate and the silicon formed on a plane perpendicular to the semiconductor substrate according to the second embodiment of the present invention; 5 is a graph showing the concentration of silicon contained in a titanium nitride layer as a function of depth from the surface.

【図25】従来の半導体装置の製造方法を示す工程断面
図である。
FIG. 25 is a process cross-sectional view showing a conventional method for manufacturing a semiconductor device.

【図26】従来の半導体装置の製造方法を示す工程断面
図である。
FIG. 26 is a process cross-sectional view showing a conventional method for manufacturing a semiconductor device.

【図27】従来の半導体装置の製造方法を示す工程断面
図である。
FIG. 27 is a process cross-sectional view showing a conventional method for manufacturing a semiconductor device.

【図28】従来の半導体装置の製造方法を示す工程断面
図である。
FIG. 28 is a process sectional view illustrating the method for manufacturing the conventional semiconductor device.

【図29】従来の半導体装置の製造方法を示す工程断面
図である。
FIG. 29 is a process cross-sectional view showing a conventional method for manufacturing a semiconductor device.

【図30】従来の半導体装置を示す断面図である。FIG. 30 is a sectional view showing a conventional semiconductor device.

【図31】本発明による半導体装置の製造方法の第3の
実施形態を説明するための工程断面図である。
FIG. 31 is a process sectional view for describing the third embodiment of the method for manufacturing a semiconductor device according to the present invention.

【図32】本発明による半導体装置の製造方法の第3の
実施形態を説明するための工程断面図である。
FIG. 32 is a process sectional view for illustrating the third embodiment of the method for manufacturing a semiconductor device according to the present invention.

【図33】本発明による半導体装置の製造方法の第3の
実施形態を説明するための工程断面図である。
FIG. 33 is a process sectional view for illustrating the third embodiment of the method of manufacturing a semiconductor device according to the present invention.

【図34】本発明による半導体装置の製造方法の第3の
実施形態を説明するための工程断面図である。
FIG. 34 is a process cross-sectional view for explaining the third embodiment of the method for manufacturing a semiconductor device according to the present invention.

【図35】本発明による半導体装置の製造方法の第3の
実施形態を説明するための工程断面図である。
FIG. 35 is a process sectional view for describing the third embodiment of the method for manufacturing a semiconductor device according to the present invention.

【図36】本発明による半導体装置の製造方法の第3の
実施形態を説明するための工程断面図である。
FIG. 36 is a process cross-sectional view for explaining the third embodiment of the method for manufacturing a semiconductor device according to the present invention.

【図37】本発明による半導体装置の第2の実施形態を
説明するための断面図である。
FIG. 37 is a sectional view illustrating a second embodiment of the semiconductor device according to the present invention;

【図38】本発明による半導体装置の製造方法に使用す
る装置の構成図である。
FIG. 38 is a configuration diagram of an apparatus used for a method of manufacturing a semiconductor device according to the present invention.

【図39】本発明による半導体装置の製造方法に使用す
る装置の構成図である。
FIG. 39 is a configuration diagram of an apparatus used for a method of manufacturing a semiconductor device according to the present invention.

【符号の説明】[Explanation of symbols]

1 半導体基板 2 下部配線層 3 二酸化ケイ素膜 4 四窒化三ケイ素膜 5 二酸化ケイ素膜 6 スルーホール 7 配線溝 8 チタン層 9 窒化チタン層 10 銅膜 11 銅膜 12 銅膜 13 上部配線層 101 半導体基板 102 下部配線層 103 二酸化ケイ素膜 104 四窒化三ケイ素膜 105 二酸化ケイ素膜 106 スルーホール 107 配線溝 108 チタン層 109 窒化チタン層 109a 窒化チタン層 109b 窒化チタン層 110 シリコン含有窒化チタン層 110a シリコン含有窒化チタン層 110b シリコン含有窒化チタン層 111 銅膜 112 銅膜 113 上部配線層 114 真空チャンバ 115 サセプタ 116 加熱機構 117 排気口 118 テトラキスジメチルチタン導入口 119 窒素導入口 120 シラン導入口 121 上部電極 122 高周波電源 123 シリコン含有窒化チタン層 123a シリコン含有窒化チタン層 123b シリコン含有窒化チタン層 124 シリコン層 125 珪化銅層 126 化学的気相成長室 127 銅堆積室 128 搬送室 129 チタン堆積室 Reference Signs List 1 semiconductor substrate 2 lower wiring layer 3 silicon dioxide film 4 silicon tetranitride film 5 silicon dioxide film 6 through hole 7 wiring groove 8 titanium layer 9 titanium nitride layer 10 copper film 11 copper film 12 copper film 13 upper wiring layer 101 semiconductor substrate Reference Signs List 102 lower wiring layer 103 silicon dioxide film 104 silicon trinitride film 105 silicon dioxide film 106 through hole 107 wiring groove 108 titanium layer 109 titanium nitride layer 109a titanium nitride layer 109b titanium nitride layer 110 silicon-containing titanium nitride layer 110a silicon-containing titanium nitride Layer 110b Silicon-containing titanium nitride layer 111 Copper film 112 Copper film 113 Upper wiring layer 114 Vacuum chamber 115 Susceptor 116 Heating mechanism 117 Exhaust port 118 Tetrakisdimethyltitanium inlet 119 Nitrogen inlet 120 Silane inlet 12 Upper electrode 122 high-frequency power source 123 silicon-containing titanium nitride layer 123a silicon-containing titanium nitride layer 123b silicon-containing titanium nitride layer 124 a silicon layer 125 silicide copper layer 126 a chemical vapor deposition chamber 127 copper deposition chamber 128 transfer chamber 129 titanium deposition chamber

Claims (28)

【特許請求の範囲】[Claims] 【請求項1】 基板と、 前記基板に支持される第1導電体膜と、 前記第1導電体膜を覆うように前記基板上に形成された
絶縁膜と、 前記絶縁膜に形成された凹部と、 前記絶縁膜の凹部内に形成され、前記第1導電体膜と電
気的に接触する第2導電体膜と、を備えた半導体装置で
あって、 前記第2導電体膜は、前記絶縁膜の凹部の内部に形成さ
れたシリコン含有窒化チタン層と、前記シリコン含有窒
化チタン層上に形成された金属膜とを有する半導体装
置。
A substrate, a first conductive film supported by the substrate, an insulating film formed on the substrate so as to cover the first conductive film, and a recess formed in the insulating film. And a second conductor film formed in a concave portion of the insulating film and electrically contacting the first conductor film, wherein the second conductor film is A semiconductor device comprising: a silicon-containing titanium nitride layer formed inside a concave portion of a film; and a metal film formed on the silicon-containing titanium nitride layer.
【請求項2】 基板と、 前記基板に支持される第1導電体膜と、 前記第1導電体膜を覆うように前記基板上に形成された
絶縁膜と、 前記絶縁膜に形成された凹部と、 前記絶縁膜の凹部内に形成され、前記第1導電体膜と電
気的に接触する第2導電体膜と、を備えた半導体装置で
あって、 前記第2導電体膜は、前記絶縁膜の凹部の内部に形成さ
れた窒化チタン層と、前記窒化チタン層上に形成された
シリコン含有窒化チタン層と、前記シリコン含有窒化チ
タン層上に形成されたシリコン含有金属層と、前記シリ
コン含有金属層上に形成された金属膜とを有する半導体
装置。
2. A substrate, a first conductive film supported by the substrate, an insulating film formed on the substrate so as to cover the first conductive film, and a concave portion formed in the insulating film And a second conductor film formed in a concave portion of the insulating film and electrically contacting the first conductor film, wherein the second conductor film is A titanium nitride layer formed inside the concave portion of the film, a silicon-containing titanium nitride layer formed on the titanium nitride layer, a silicon-containing metal layer formed on the silicon-containing titanium nitride layer, And a metal film formed on the metal layer.
【請求項3】 基板と、 前記基板に支持される第1導電体膜と、 前記第1導電体膜を覆うように前記基板上に形成された
絶縁膜と、 前記絶縁膜に形成された凹部と、 前記絶縁膜の凹部内に形成され、前記第1導電体膜と電
気的に接触する第2導電体膜と、を備えた半導体装置で
あって、 前記第2導電体膜は、 前記絶縁膜の凹部の内部に形成された窒化チタン層と、
前記窒化チタン層上に形成されたシリコン含有窒化チタ
ン層と、前記シリコン含有窒化チタン層上に形成された
金属層とを有する半導体装置。
3. A substrate, a first conductive film supported by the substrate, an insulating film formed on the substrate so as to cover the first conductive film, and a recess formed in the insulating film. And a second conductor film formed in a recess of the insulating film and electrically contacting the first conductor film, wherein the second conductor film is A titanium nitride layer formed inside the concave portion of the film,
A semiconductor device comprising: a silicon-containing titanium nitride layer formed on the titanium nitride layer; and a metal layer formed on the silicon-containing titanium nitride layer.
【請求項4】 基板と、 前記基板に支持される第1導電体膜と、 前記第1導電体膜を覆うように前記基板上に形成された
絶縁膜と、 前記絶縁膜に形成された凹部と、 前記絶縁膜の凹部内に形成され、前記第1導電体膜と電
気的に接触する第2導電体膜と、を備えた半導体装置で
あって、 前記第2導電体膜は、 前記絶縁膜の凹部の内部に形成された窒化チタン層と、
前記窒化チタン層上に形成されたシリコン含有窒化チタ
ン層と、前記シリコン含有窒化チタン層上に形成された
シリコン含有金属層と、前記シリコン含有金属層上に形
成された金属膜とを有する半導体装置。
4. A substrate, a first conductive film supported by the substrate, an insulating film formed on the substrate so as to cover the first conductive film, and a concave portion formed in the insulating film And a second conductor film formed in a recess of the insulating film and electrically contacting the first conductor film, wherein the second conductor film is A titanium nitride layer formed inside the concave portion of the film,
A semiconductor device having a silicon-containing titanium nitride layer formed on the titanium nitride layer, a silicon-containing metal layer formed on the silicon-containing titanium nitride layer, and a metal film formed on the silicon-containing metal layer .
【請求項5】 基板と、 前記基板に支持される第1導電体膜と、 前記第1導電体膜を覆うように前記基板上に形成された
絶縁膜と、 前記絶縁膜に形成された凹部と、 前記絶縁膜の凹部内に形成され、前記第1導電体膜と電
気的に接触する第2導電体膜と、を備えた半導体装置で
あって、 前記第2導電体膜は、 前記絶縁膜の凹部の内部に形成されたチタン層と、前記
チタン層上に形成された窒化チタン層と、前記窒化チタ
ン層上に形成されたシリコン含有窒化チタン層と、前記
シリコン含有窒化チタン層上に形成されたシリコン含有
金属層と、前記シリコン含有金属層上に形成された金属
膜とを有する半導体装置。
5. A substrate, a first conductive film supported by the substrate, an insulating film formed on the substrate so as to cover the first conductive film, and a concave portion formed in the insulating film And a second conductor film formed in a recess of the insulating film and electrically contacting the first conductor film, wherein the second conductor film is A titanium layer formed inside the concave portion of the film, a titanium nitride layer formed on the titanium layer, a silicon-containing titanium nitride layer formed on the titanium nitride layer, and a A semiconductor device comprising: a formed silicon-containing metal layer; and a metal film formed on the silicon-containing metal layer.
【請求項6】 前記シリコン含有窒化チタン層のうち前
記絶縁膜の凹部の底面上に形成された部分の厚さが、前
記シリコン含有窒化チタン層のうち前記絶縁膜の凹部の
内側壁上に形成された部分の厚さよりも小さい請求項1
から5の何れかひとつに記載の半導体装置。
6. A thickness of a portion of the silicon-containing titanium nitride layer formed on the bottom surface of the concave portion of the insulating film is formed on an inner wall of the concave portion of the insulating film of the silicon-containing titanium nitride layer. 2. The thickness of a portion which is smaller than the thickness of the portion.
6. The semiconductor device according to any one of items 1 to 5,
【請求項7】 前記シリコン含有窒化チタン層のうち前
記絶縁膜の凹部の底面上に形成された部分の抵抗が、前
記シリコン含有窒化チタン層のうち前記絶縁膜の凹部の
内側壁上に形成された部分の抵抗よりも小さい請求項1
から5の何れかひとつに記載の半導体装置。
7. The resistance of a portion of the silicon-containing titanium nitride layer formed on the bottom surface of the concave portion of the insulating film is formed on the inner wall of the concave portion of the insulating film of the silicon-containing titanium nitride layer. 2. The method according to claim 1, wherein the resistance is smaller than the resistance of the bent portion.
6. The semiconductor device according to any one of items 1 to 5,
【請求項8】 前記金属層は銅から形成されている請求
項1から7の何れかひとつに記載の半導体装置。
8. The semiconductor device according to claim 1, wherein said metal layer is made of copper.
【請求項9】 前記シリコン含有窒化チタン層に含まれ
るシリコンの濃度が5原子%以上である請求項1から7
の何れかひとつに記載の半導体装置。
9. The method according to claim 1, wherein the concentration of silicon contained in the silicon-containing titanium nitride layer is 5 atomic% or more.
The semiconductor device according to any one of the above.
【請求項10】 前記シリコン含有窒化チタン層のうち
前記絶縁膜の凹部の内側壁上に形成された部分の厚さ
が、1nm以上かつ50nm以下である請求項1から7
の何れかに記載の半導体装置。
10. The thickness of a portion of the silicon-containing titanium nitride layer formed on an inner wall of a recess of the insulating film is 1 nm or more and 50 nm or less.
The semiconductor device according to any one of the above.
【請求項11】 前記絶縁膜の凹部は、前記第1導電体
膜に達するスルーホールと、前記スルーホールに連結さ
れた配線状溝とを含んでいる請求項1から10の何れか
に記載の半導体装置。
11. The method according to claim 1, wherein the concave portion of the insulating film includes a through hole reaching the first conductive film, and a wiring groove connected to the through hole. Semiconductor device.
【請求項12】 基板上に第1導電体膜を形成する工程
と、 前記第1導電体膜を覆う絶縁膜を前記基板上に堆積する
工程と、 少なくとも一部が前記第1導電体膜に達する凹部を前記
絶縁膜に形成する工程と、 前記絶縁膜の凹部の内部に第2導電体膜を形成する工程
と、を包含する半導体装置の製造方法であって、 前記第2導電体膜を形成する工程は、 前記絶縁膜の凹部の内側壁および底面を覆うシリコン含
有窒化チタン層を化学的気層成長法によって堆積する工
程と、 前記シリコン含有窒化チタン層の表面にイオンを照射す
る工程と、 前記シリコン含有窒化チタン層の表面に金属層を堆積す
る工程とを含む半導体装置の製造方法。
12. A step of forming a first conductive film on a substrate; a step of depositing an insulating film covering the first conductive film on the substrate; at least a portion of the first conductive film is formed on the first conductive film. A method for manufacturing a semiconductor device, comprising: forming a concave portion reaching the insulating film; and forming a second conductive film inside the concave portion of the insulating film, wherein the second conductive film is Forming a silicon-containing titanium nitride layer covering the inner side wall and bottom surface of the concave portion of the insulating film by a chemical vapor deposition method; and irradiating ions to the surface of the silicon-containing titanium nitride layer. Depositing a metal layer on the surface of the silicon-containing titanium nitride layer.
【請求項13】 基板上に第1導電体膜を形成する工程
と、 前記第1導電体膜を覆う絶縁膜を前記基板上に堆積する
工程と、 少なくとも一部が前記第1導電体膜に達する凹部を前記
絶縁膜に形成する工程と、 前記絶縁膜の凹部の内部に第2導電体膜を形成する工程
と、を包含する半導体装置の製造方法であって、 前記第2導電体膜を形成する工程は、 前記絶縁膜の凹部の内側壁および底面を覆うチタン層を
堆積する工程と、 前記チタン層の表面にシリコン含有窒化チタン層を化学
的気層成長法によって堆積する工程と、 前記シリコン含有窒化チタン層の表面にイオンを照射す
る工程と、 前記シリコン含有窒化チタン層の表面に金属層を堆積す
る工程とを含む半導体装置の製造方法。
13. A step of forming a first conductor film on a substrate; a step of depositing an insulating film covering the first conductor film on the substrate; at least a part of the first conductor film is formed on the first conductor film. A method for manufacturing a semiconductor device, comprising: forming a concave portion reaching the insulating film; and forming a second conductive film inside the concave portion of the insulating film, wherein the second conductive film is Forming a titanium layer covering an inner wall and a bottom surface of the concave portion of the insulating film; depositing a silicon-containing titanium nitride layer on a surface of the titanium layer by a chemical vapor deposition method; A method of manufacturing a semiconductor device, comprising: irradiating ions to the surface of a silicon-containing titanium nitride layer; and depositing a metal layer on the surface of the silicon-containing titanium nitride layer.
【請求項14】 前記イオンを照射する工程は、前記シ
リコン含有窒化チタン層の表面をプラズマに暴露する工
程を含む請求項12または13に記載の半導体装置の製
造方法。
14. The method according to claim 12, wherein the step of irradiating the ions includes exposing a surface of the silicon-containing titanium nitride layer to plasma.
【請求項15】 前記シリコン含有窒化チタン層を堆積
する工程は、前記シリコン含有窒化チタン層の厚さを1
nm以上かつ50nm以下にする請求項12から14の
何れかひとつに記載の半導体装置の製造方法。
15. The step of depositing the silicon-containing titanium nitride layer includes the step of reducing the thickness of the silicon-containing titanium nitride layer by one.
The method for manufacturing a semiconductor device according to claim 12, wherein the thickness is not less than nm and not more than 50 nm.
【請求項16】 基板上に第1導電体膜を形成する工程
と、 前記第1導電体膜を覆う絶縁膜を前記基板上に堆積する
工程と、 少なくとも一部が前記第1導電体膜に達する凹部を前記
絶縁膜に形成する工程と、 前記絶縁膜の凹部の内部に第2導電体膜を形成する工程
と、を包含する半導体装置の製造方法であって、 前記第2導電体膜を形成する工程は、 前記絶縁膜の凹部の内側壁および底面を覆う窒化チタン
層を化学的気層成長法によって堆積する工程と、 前記窒化チタン層の表面にイオンを照射する工程と、 前記窒化チタン層の表面をシリコン化合物に暴露するこ
とによってシリコン含有窒化チタン層を形成する工程
と、 前記シリコン含有窒化チタン層上に金属層を堆積する工
程とを含む半導体装置の製造方法。
16. A step of forming a first conductor film on a substrate, a step of depositing an insulating film covering the first conductor film on the substrate, at least a part of the first conductor film is formed on the first conductor film. A method for manufacturing a semiconductor device, comprising: forming a concave portion reaching the insulating film; and forming a second conductive film inside the concave portion of the insulating film, wherein the second conductive film is Forming a titanium nitride layer covering an inner wall and a bottom surface of the concave portion of the insulating film by a chemical vapor deposition method; irradiating ions to a surface of the titanium nitride layer; A method for manufacturing a semiconductor device, comprising: forming a silicon-containing titanium nitride layer by exposing a surface of a layer to a silicon compound; and depositing a metal layer on the silicon-containing titanium nitride layer.
【請求項17】 基板上に第1導電体膜を形成する工程
と、 前記第1導電体膜を覆う絶縁膜を前記基板上に堆積する
工程と、 少なくとも一部が前記第1導電体膜に達する凹部を前記
絶縁膜に形成する工程と、 前記絶縁膜の凹部の内部に第2導電体膜を形成する工程
と、を包含する半導体装置の製造方法であって、 前記第2導電体膜を形成する工程は、 前記絶縁膜の凹部の内側壁および底面を覆う窒化チタン
層を化学的気層成長法によって堆積する工程と、 前記窒化チタン層の表面にイオンを照射する工程と、 前記窒化チタン層の表面をシリコン化合物に暴露するこ
とによってシリコン含有窒化チタン層を形成する工程
と、 前記シリコン含有窒化チタン層の表面にシリコン化合物
に暴露することによってシリコン層を形成する工程と、 前記シリコン層の表面に金属層を堆積する工程とを含む
半導体装置の製造方法。
17. A step of forming a first conductor film on a substrate, a step of depositing an insulating film covering the first conductor film on the substrate, at least a part of the first conductor film is formed on the first conductor film. A method for manufacturing a semiconductor device, comprising: forming a concave portion reaching the insulating film; and forming a second conductive film inside the concave portion of the insulating film, wherein the second conductive film is Forming a titanium nitride layer covering an inner wall and a bottom surface of the concave portion of the insulating film by a chemical vapor deposition method; irradiating ions to a surface of the titanium nitride layer; Forming a silicon-containing titanium nitride layer by exposing a surface of the layer to a silicon compound; forming a silicon layer by exposing the surface of the silicon-containing titanium nitride layer to a silicon compound; Depositing a metal layer on the surface of the silicon layer.
【請求項18】 基板上に第1導電体膜を形成する工程
と、 前記第1導電体膜を覆う絶縁膜を前記基板上に堆積する
工程と、 少なくとも一部が前記第1導電体膜に達する凹部を前記
絶縁膜に形成する工程と、 前記絶縁膜の凹部の内部に第2導電体膜を形成する工程
と、を包含する半導体装置の製造方法であって、 前記第2導電体膜を形成する工程は、 前記絶縁膜の凹部の内側壁および底面を覆うチタン層を
堆積する工程と、 前記チタン層の表面に窒化チタン層を化学的気層成長法
によって堆積する工程と、 前記窒化チタン層の表面にイオンを照射する工程と、 前記窒化チタン層の表面をシリコン化合物に暴露するこ
とによってシリコン含有窒化チタン層を形成する工程
と、 前記シリコン含有窒化チタン層の表面にシリコン化合物
に暴露することによってシリコン層を形成する工程と、 前記シリコン層の表面に金属層を堆積する工程とを含む
半導体装置の製造方法。
18. A step of forming a first conductor film on a substrate, a step of depositing an insulating film covering the first conductor film on the substrate, at least a part of the first conductor film is formed on the first conductor film. A method for manufacturing a semiconductor device, comprising: forming a concave portion reaching the insulating film; and forming a second conductive film inside the concave portion of the insulating film, wherein the second conductive film is Forming a titanium layer covering an inner wall and a bottom surface of a concave portion of the insulating film; depositing a titanium nitride layer on a surface of the titanium layer by a chemical vapor deposition method; Irradiating the surface of the layer with ions; forming a silicon-containing titanium nitride layer by exposing the surface of the titanium nitride layer to a silicon compound; exposing the surface of the silicon-containing titanium nitride layer to a silicon compound. A method of manufacturing a semiconductor device, comprising: forming a silicon layer by performing the method; and depositing a metal layer on a surface of the silicon layer.
【請求項19】 前記イオンを照射する工程は、前記窒
化チタン層の表面をプラズマに暴露する工程を含む請求
項16から18の何れかひとつに記載の半導体装置の製
造方法。
19. The method according to claim 16, wherein the step of irradiating the ions includes exposing a surface of the titanium nitride layer to plasma.
【請求項20】 前記シリコン含有窒化チタン層の表面
をシリコン化合物に暴露することによってシリコン層を
形成する工程において、前記シリコン含有窒化チタン層
の表面を300℃以上に加熱し、かつ、前記シリコン含
有窒化チタン層の表面を前記シリコン化合物に暴露する
時間を15秒以上に設定することを特徴とする請求項1
7または18に記載の半導体装置の製造方法。
20. A step of forming a silicon layer by exposing the surface of the silicon-containing titanium nitride layer to a silicon compound, wherein the surface of the silicon-containing titanium nitride layer is heated to 300 ° C. or higher, 2. The time for exposing the surface of the titanium nitride layer to the silicon compound is set to 15 seconds or more.
19. The method for manufacturing a semiconductor device according to 7 or 18.
【請求項21】 前記窒化チタンを堆積する工程は、前
記窒化チタン層の厚さを1nm以上かつ50nm以下に
する請求項16から20の何れかひとつに記載の半導体
装置の製造方法。
21. The method according to claim 16, wherein the step of depositing the titanium nitride sets the thickness of the titanium nitride layer to 1 nm or more and 50 nm or less.
【請求項22】 前記金属層を堆積する工程は、 気相成長法によって前記シリコン含有窒化チタン層の所
定領域上に第1の金属層を堆積する工程と、 前記第1の金属層上にメッキ法によって第2の金属層を
堆積する工程と、を包含する請求項12から21の何れ
かひとつに記載の半導体装置の製造方法。
22. A step of depositing the metal layer, comprising: depositing a first metal layer on a predetermined region of the silicon-containing titanium nitride layer by vapor phase epitaxy; and plating on the first metal layer. 22. The method of manufacturing a semiconductor device according to claim 12, further comprising: depositing a second metal layer by a method.
【請求項23】 前記第2の金属層が銅であることを特
徴とする請求項22に記載の半導体装置の製造方法。
23. The method according to claim 22, wherein the second metal layer is made of copper.
【請求項24】 真空チャンバと、前記真空チャンバの
内部に設置されたサセプタと、前記サセプタの内部に設
置された加熱機構と、前記真空チャンバの内部に設置さ
れた排気口と、前記真空チャンバの内部に設置された導
入口と、前記真空チャンバの内部に設置された電極とを
有する化学的気相成膜室と、 前記サセプタおよび前記電極に接続された電源とを備
え、 前記導入口からチタンを含む有機化合物、窒素化合物、
およびシリコン化合物を導入するこのができる半導体装
置の製造装置。
24. A vacuum chamber, a susceptor installed inside the vacuum chamber, a heating mechanism installed inside the susceptor, an exhaust port installed inside the vacuum chamber, An inlet installed inside, a chemical vapor deposition chamber having an electrode installed inside the vacuum chamber, and a power supply connected to the susceptor and the electrode; Organic compounds, including nitrogen compounds,
And a semiconductor device manufacturing apparatus capable of introducing a silicon compound.
【請求項25】 前記チタンを含む有機化合物と前記シ
リコン化合物を同時に前記真空チャンバの内部に導入す
ることができる請求項24記載の半導体装置の製造装
置。
25. The apparatus according to claim 24, wherein the organic compound containing titanium and the silicon compound can be simultaneously introduced into the vacuum chamber.
【請求項26】 前記化学的気相成膜室に接続されたチ
タン成膜室を備え、前記化学的気相成膜室と前記チタン
堆積室との間が減圧搬送室で連結されていることを特徴
とする請求項24に記載の半導体装置の製造装置。
26. A method according to claim 26, further comprising a titanium film forming chamber connected to the chemical vapor film forming chamber, wherein the chemical vapor film forming chamber and the titanium deposition chamber are connected by a reduced-pressure transfer chamber. The apparatus for manufacturing a semiconductor device according to claim 24, wherein:
【請求項27】 前記化学的気相成膜室に接続された銅
堆積室を備え、前記化学的気相成膜室と前記銅堆積室と
の間が減圧搬送室で連結されていることを特徴とする請
求項24に記載の半導体装置の製造装置。
27. A method according to claim 27, further comprising a copper deposition chamber connected to the chemical vapor deposition chamber, wherein the chemical vapor deposition chamber and the copper deposition chamber are connected by a reduced-pressure transport chamber. The apparatus for manufacturing a semiconductor device according to claim 24, wherein:
【請求項28】 前記化学的気相成膜室に接続されたチ
タン堆積室と銅堆積室とを備え、前記化学的気相成膜室
と前記チタン堆積室と前記銅堆積室との間が減圧搬送室
で連結されていることを特徴とする請求項24に記載の
半導体装置の製造装置。
28. A plasma processing apparatus comprising: a titanium deposition chamber and a copper deposition chamber connected to the chemical vapor deposition chamber; and a space between the chemical vapor deposition chamber, the titanium deposition chamber, and the copper deposition chamber. 25. The apparatus for manufacturing a semiconductor device according to claim 24, wherein the apparatus is connected by a reduced-pressure transfer chamber.
JP01269999A 1998-06-01 1999-01-21 Semiconductor device, method of manufacturing semiconductor device, and apparatus for manufacturing semiconductor device Expired - Fee Related JP3208124B2 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP01269999A JP3208124B2 (en) 1998-06-01 1999-01-21 Semiconductor device, method of manufacturing semiconductor device, and apparatus for manufacturing semiconductor device
US09/321,713 US6475912B1 (en) 1998-06-01 1999-05-28 Semiconductor device and method and apparatus for fabricating the same while minimizing operating failures and optimizing yield
US09/884,135 US6683381B2 (en) 1998-06-01 2001-06-20 Semiconductor device having a copper interconnect layer
US10/737,911 US6906420B2 (en) 1998-06-01 2003-12-18 Semiconductor device

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP15095698 1998-06-01
JP10-150956 1998-06-01
JP01269999A JP3208124B2 (en) 1998-06-01 1999-01-21 Semiconductor device, method of manufacturing semiconductor device, and apparatus for manufacturing semiconductor device

Publications (2)

Publication Number Publication Date
JP2000058650A true JP2000058650A (en) 2000-02-25
JP3208124B2 JP3208124B2 (en) 2001-09-10

Family

ID=26348341

Family Applications (1)

Application Number Title Priority Date Filing Date
JP01269999A Expired - Fee Related JP3208124B2 (en) 1998-06-01 1999-01-21 Semiconductor device, method of manufacturing semiconductor device, and apparatus for manufacturing semiconductor device

Country Status (1)

Country Link
JP (1) JP3208124B2 (en)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003045960A (en) * 2001-08-01 2003-02-14 Matsushita Electric Ind Co Ltd Semiconductor device and method of manufacturing same
JP2005512337A (en) * 2001-12-07 2005-04-28 アプライド マテリアルズ インコーポレイテッド Periodic deposition of refractory metal silicon nitride
US7094684B2 (en) 2002-09-20 2006-08-22 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of semiconductor device
US7102231B2 (en) 2002-09-20 2006-09-05 Semiconductor Energy Laboratory Co., Ltd. Display device and manufacturing method thereof
CN1316593C (en) * 2003-11-11 2007-05-16 海力士半导体有限公司 Method of forming metal line in semiconductor device
JP2008060568A (en) * 2006-08-31 2008-03-13 Dongbu Hitek Co Ltd Manufacturing apparatus of semiconductor device and method of manufacturing semiconductor device using the same
CN100424868C (en) * 2005-07-13 2008-10-08 精工爱普生株式会社 A semiconductor device and method for manufacturing the semiconductor device
JP2008277837A (en) * 2007-05-03 2008-11-13 Samsung Electronics Co Ltd Method of forming semiconductor device including diffusion barrier film
WO2015099734A1 (en) * 2013-12-26 2015-07-02 Intel Corporation Direct plasma densification process and semiconductor devices
US10096513B2 (en) 2013-12-26 2018-10-09 Intel Corporation Direct plasma densification process and semiconductor devices
CN108695433A (en) * 2017-03-31 2018-10-23 三星电子株式会社 Semiconductor devices and its manufacturing method
US10923560B2 (en) 2018-02-15 2021-02-16 Panasonic Intellectual Property Management Co., Ltd. Capacitor including electrode and dielectric layer each containing silicon, and method for manufacturing capacitor
WO2022059325A1 (en) * 2020-09-16 2022-03-24 株式会社Kokusai Electric Method for producing semiconductor device, program, substrate processing apparatus, and substrate processing method

Cited By (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003045960A (en) * 2001-08-01 2003-02-14 Matsushita Electric Ind Co Ltd Semiconductor device and method of manufacturing same
US7892602B2 (en) 2001-12-07 2011-02-22 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
JP2005512337A (en) * 2001-12-07 2005-04-28 アプライド マテリアルズ インコーポレイテッド Periodic deposition of refractory metal silicon nitride
US7897973B2 (en) 2002-09-20 2011-03-01 Semiconductor Energy Laboratory Co., Ltd. Display device and manufacturing method thereof
US8749061B2 (en) 2002-09-20 2014-06-10 Semiconductor Energy Laboratory Co., Ltd. Display device and manufacturing method thereof
US10090373B2 (en) 2002-09-20 2018-10-02 Semiconductor Energy Laboratory Co., Ltd. Display device and manufacturing method thereof
US7417256B2 (en) 2002-09-20 2008-08-26 Semiconductor Energy Laboratory Co., Ltd. Display device and manufacturing method thereof
US9847386B2 (en) 2002-09-20 2017-12-19 Semiconductor Energy Laboratory Co., Ltd. Display device and manufacturing method thereof
US9622345B2 (en) 2002-09-20 2017-04-11 Semiconductor Energy Laboratory Co., Ltd. Display device and manufacturing method thereof
US7585761B2 (en) 2002-09-20 2009-09-08 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of semiconductor device
US7781772B2 (en) 2002-09-20 2010-08-24 Semiconductor Energy Laboratory Co., Ltd. Display device and manufacturing method thereof
US7102231B2 (en) 2002-09-20 2006-09-05 Semiconductor Energy Laboratory Co., Ltd. Display device and manufacturing method thereof
US7094684B2 (en) 2002-09-20 2006-08-22 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of semiconductor device
US8450851B2 (en) 2002-09-20 2013-05-28 Semiconductor Energy Laboratory Co., Ltd. Display device and manufacturing method thereof
US9082768B2 (en) 2002-09-20 2015-07-14 Semiconductor Energy Laboratory Co., Ltd. Display device and manufacturing method thereof
CN1316593C (en) * 2003-11-11 2007-05-16 海力士半导体有限公司 Method of forming metal line in semiconductor device
CN100424868C (en) * 2005-07-13 2008-10-08 精工爱普生株式会社 A semiconductor device and method for manufacturing the semiconductor device
JP2008060568A (en) * 2006-08-31 2008-03-13 Dongbu Hitek Co Ltd Manufacturing apparatus of semiconductor device and method of manufacturing semiconductor device using the same
JP2008277837A (en) * 2007-05-03 2008-11-13 Samsung Electronics Co Ltd Method of forming semiconductor device including diffusion barrier film
WO2015099734A1 (en) * 2013-12-26 2015-07-02 Intel Corporation Direct plasma densification process and semiconductor devices
US9711399B2 (en) 2013-12-26 2017-07-18 Intel Corporation Direct plasma densification process and semiconductor devices
TWI610354B (en) * 2013-12-26 2018-01-01 英特爾股份有限公司 Direct plasma densification process and semiconductor devices
US10096513B2 (en) 2013-12-26 2018-10-09 Intel Corporation Direct plasma densification process and semiconductor devices
CN108695433A (en) * 2017-03-31 2018-10-23 三星电子株式会社 Semiconductor devices and its manufacturing method
CN108695433B (en) * 2017-03-31 2024-02-09 三星电子株式会社 Semiconductor device and method for manufacturing the same
US10923560B2 (en) 2018-02-15 2021-02-16 Panasonic Intellectual Property Management Co., Ltd. Capacitor including electrode and dielectric layer each containing silicon, and method for manufacturing capacitor
WO2022059325A1 (en) * 2020-09-16 2022-03-24 株式会社Kokusai Electric Method for producing semiconductor device, program, substrate processing apparatus, and substrate processing method

Also Published As

Publication number Publication date
JP3208124B2 (en) 2001-09-10

Similar Documents

Publication Publication Date Title
US6906420B2 (en) Semiconductor device
US6949450B2 (en) Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US5498768A (en) Process for forming multilayer wiring
JP2828540B2 (en) Method for forming low resistance and low defect density tungsten contacts for silicon semiconductor wafers
US6255216B1 (en) Methods of forming a contact having titanium silicide and titanium formed by chemical vapor deposition
KR101024449B1 (en) METHOD FOR FORMING TiSiN FILM AND METHOD FOR MANUFACTURING SEMICONDUCTOR DEVICE
JPH11204523A (en) Manufacture of semiconductor device
US6284649B1 (en) Chemical vapor phase growing method of a metal nitride film and a method of manufacturing an electronic device using the same
JP3077623B2 (en) Plasma chemical vapor deposition equipment
US6048788A (en) Method of fabricating metal plug
JP3208124B2 (en) Semiconductor device, method of manufacturing semiconductor device, and apparatus for manufacturing semiconductor device
JPH08250497A (en) Formation of metal wiring layer of semiconductor device
US6025269A (en) Method for depositioning a substantially void-free aluminum film over a refractory metal nitride layer
JP3851686B2 (en) Thin film formation method by plasma CVD
JPH11150084A (en) Semiconductor device and forming method of amorphous silicon titanium nitride on substrate
JP4168397B2 (en) Boron doped titanium nitride layer for high aspect ratio semiconductor devices
KR100707656B1 (en) Method for forming metal line and semiconductor device including the same
JP2809196B2 (en) Method for manufacturing semiconductor device
KR100259692B1 (en) Semiconductor device manufacturing method having contact structure
JP2008060568A (en) Manufacturing apparatus of semiconductor device and method of manufacturing semiconductor device using the same
US7259092B2 (en) Semiconductor device and method for fabricating the same
US7338903B2 (en) Sequential reducing plasma and inert plasma pre-treatment method for oxidizable conductor layer
JP2726438B2 (en) Thin film forming equipment
JP3226498B2 (en) Semiconductor device and method of manufacturing the same
US6632737B1 (en) Method for enhancing the adhesion of a barrier layer to a dielectric

Legal Events

Date Code Title Description
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20070706

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080706

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090706

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090706

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100706

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110706

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110706

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120706

Year of fee payment: 11

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120706

Year of fee payment: 11

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130706

Year of fee payment: 12

LAPS Cancellation because of no payment of annual fees