JP2000058639A - Semiconductor device and its manufacture - Google Patents

Semiconductor device and its manufacture

Info

Publication number
JP2000058639A
JP2000058639A JP10220330A JP22033098A JP2000058639A JP 2000058639 A JP2000058639 A JP 2000058639A JP 10220330 A JP10220330 A JP 10220330A JP 22033098 A JP22033098 A JP 22033098A JP 2000058639 A JP2000058639 A JP 2000058639A
Authority
JP
Japan
Prior art keywords
film
semiconductor device
nitride film
concave portion
insulating film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP10220330A
Other languages
Japanese (ja)
Other versions
JP3226498B2 (en
Inventor
Takashi Harada
剛史 原田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Holdings Corp
Original Assignee
Matsushita Electronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Matsushita Electronics Corp filed Critical Matsushita Electronics Corp
Priority to JP22033098A priority Critical patent/JP3226498B2/en
Priority to US09/321,713 priority patent/US6475912B1/en
Publication of JP2000058639A publication Critical patent/JP2000058639A/en
Priority to US09/884,135 priority patent/US6683381B2/en
Application granted granted Critical
Publication of JP3226498B2 publication Critical patent/JP3226498B2/en
Priority to US10/737,911 priority patent/US6906420B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Landscapes

  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

PROBLEM TO BE SOLVED: To provide a semiconductor device the operating speed of which does not deteriorate and which does no cause malfunctions by reducing the connection resistance between a lower wiring layer and an upper wiring layer, as compared with the conventional technology by adjusting the amount of carbon contained in a metal nitride film deposited on the bottoms of recessed sections, such as through-holes, etc. SOLUTION: A method for manufacturing semiconductor devices includes a process of forming a lower wiring layer 102 on a substrate 101, a process of depositing insulating films 103, 104, and 105 covering the wiring layer 102 on the substrate 101, a process of forming recessed sections 106 and 107 at least partially reaching the wiring layer 102 in the insulating films 103, 104, and 105, and a process of forming an upper wiring layer 112 in the recessed sections 106 and 107 of the insulating films 103, 104, and 105. The process of forming the upper wiring layer 112 includes a step of depositing a carbon- containing TaN layer 109 on the internal side faces and bottoms of the recessed sections 106 and 107, a step of irradiating the partial surface 109b of the TaN film 9 on the bottoms of the recessed sections 106 and 107 with ions, and a step of depositing copper films 110 and 111 on the TaN film 109.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、半導体装置および
その製造方法に関するものである。
The present invention relates to a semiconductor device and a method for manufacturing the same.

【0002】[0002]

【従来の技術】半導体装置の高集積化に伴い、隣接する
配線層の間隔は縮小され、配線層間の容量増大が無視で
きなくなっている。配線層間容量が増大すると、配線遅
延によって半導体装置の動作速度低下する。これを防ぐ
ために、銅(Cu)を使用して低抵抗の配線層を形成す
る技術が近年盛んに検討されている。
2. Description of the Related Art With the increase in the degree of integration of semiconductor devices, the distance between adjacent wiring layers has been reduced, and the increase in capacitance between wiring layers cannot be ignored. When the capacitance between wiring layers increases, the operation speed of the semiconductor device decreases due to wiring delay. In order to prevent this, a technique of forming a low-resistance wiring layer using copper (Cu) has been actively studied in recent years.

【0003】以下、図15から図19を参照しながら、
Cuを使用して配線層を形成した半導体装置の従来技術
を説明する。
Hereinafter, referring to FIGS. 15 to 19,
A conventional technique of a semiconductor device in which a wiring layer is formed using Cu will be described.

【0004】この半導体装置は、図19に示すように、
半導体基板1と、半導体基板1の表面に形成された下部
配線層2と、下部配線層2を覆うように半導体基板1上
に堆積された二酸化ケイ素(SiO2)膜3とを備えて
いる。SiO2膜3上には四窒化三ケイ素(Si34
膜4が堆積されており、Si34膜4上にはSiO2
5が堆積されている。SiO2膜3、Si34膜4およ
びSiO2膜5によって層間絶縁膜が形成されている。
この層間絶縁膜には、下部配線層2に達するスルーホー
ル6と、スルーホール6に連結する溝状凹部(配線溝)
7とが形成されており、配線溝7内にはスルーホール6
を介して下部配線層に電気的に接触する上部配線層12
が設けられている。
[0004] As shown in FIG.
The semiconductor device includes a semiconductor substrate 1, a lower wiring layer 2 formed on the surface of the semiconductor substrate 1, and a silicon dioxide (SiO 2 ) film 3 deposited on the semiconductor substrate 1 so as to cover the lower wiring layer 2. Tri-silicon tetranitride (Si 3 N 4 ) on the SiO 2 film 3
A film 4 is deposited, and an SiO 2 film 5 is deposited on the Si 3 N 4 film 4. An interlayer insulating film is formed by the SiO 2 film 3, the Si 3 N 4 film 4, and the SiO 2 film 5.
In this interlayer insulating film, a through hole 6 reaching the lower wiring layer 2 and a groove-shaped concave portion (wiring groove) connected to the through hole 6 are formed.
7 are formed, and a through hole 6 is formed in the wiring groove 7.
Upper wiring layer 12 electrically contacting the lower wiring layer through
Is provided.

【0005】上部配線層12は、スルーホール6および
配線溝7の内側壁および底面を覆うチタン(Ti)膜8
と、Ti膜8上に堆積された窒化タンタル(TaN)膜
9と、TaN膜9上に堆積されたCu膜10と、Cu膜
10上に堆積されたCu膜11とを含んでいる。
[0005] The upper wiring layer 12 is formed of a titanium (Ti) film 8 covering the inner side wall and the bottom surface of the through hole 6 and the wiring groove 7.
And a tantalum nitride (TaN) film 9 deposited on the Ti film 8, a Cu film 10 deposited on the TaN film 9, and a Cu film 11 deposited on the Cu film 10.

【0006】このような半導体装置の製造方法は以下の
通りである。
A method for manufacturing such a semiconductor device is as follows.

【0007】まず、図15に示すように、半導体基板1
の表面に下部配線層2を形成する。次に、図16に示す
ように、SiO2膜3、Si3N4膜4、SiO2膜5
を順に堆積した後に、リソグラフィー法およびドライエ
ッチング法を2回ずつ交互に適用することによって、S
iO2膜3およびSi3N4膜4の内部にスルーホール
6を、SiO2膜5の内部に配線溝7を形成する。次
に、図17に示すように、ドライエッチング法によりス
ルーホール6の底部の清浄化を行なった後に、物理的気
層成長法によりTi膜8を、続いて化学的気層成長法に
よりTaN膜9を堆積する。次に、図18に示すよう
に、TaN膜9の表面に物理的気層成長法によりCu膜
10を堆積する。次に、Cu膜10の表面を硫酸(H2
SO4)で洗浄してから、電解メッキ法によりCu膜1
0の表面にCu膜11を堆積する。最後に、SiO2膜
5上のTi膜8、TaN膜9、Cu膜10、およびCu
膜11を化学機械的研磨法により除去することにより、
図19のような半導体装置が作成される。
First, as shown in FIG.
Is formed on the surface of the lower wiring layer 2. Next, as shown in FIG. 16, the SiO2 film 3, the Si3N4 film 4, the SiO2 film 5
Are sequentially deposited, and then the lithography method and the dry etching method are alternately applied twice, so that S
A through hole 6 is formed inside the iO2 film 3 and the Si3N4 film 4, and a wiring groove 7 is formed inside the SiO2 film 5. Next, as shown in FIG. 17, after cleaning the bottom of the through hole 6 by dry etching, a Ti film 8 is formed by a physical vapor deposition method, and then a TaN film is formed by a chemical vapor deposition method. 9 is deposited. Next, as shown in FIG. 18, a Cu film 10 is deposited on the surface of the TaN film 9 by a physical vapor deposition method. Next, sulfuric acid (H2
After cleaning with SO4), the Cu film 1 is formed by electrolytic plating.
A Cu film 11 is deposited on the surface of the “0”. Finally, the Ti film 8, TaN film 9, Cu film 10, and Cu film on the SiO2 film 5
By removing the film 11 by a chemical mechanical polishing method,
A semiconductor device as shown in FIG. 19 is created.

【0008】[0008]

【発明が解決しようとする課題】以上のような方法で半
導体装置を製造すると、以下のような問題が発生する。
When a semiconductor device is manufactured by the above method, the following problems occur.

【0009】まず、化学的気層成長法により堆積された
TaN膜9の比抵抗が高いために、下部配線層2と上部
配線層12の間の接続抵抗が高くなる。これは、半導体
装置の動作速度を低下させる原因となる。TaN膜9の
比抵抗が高いのは、TaN膜9中に多量の炭素(C)が
含まれていることに起因すると考えられる。
First, since the specific resistance of the TaN film 9 deposited by the chemical vapor deposition method is high, the connection resistance between the lower wiring layer 2 and the upper wiring layer 12 increases. This causes a reduction in the operation speed of the semiconductor device. It is considered that the high specific resistance of the TaN film 9 results from the fact that the TaN film 9 contains a large amount of carbon (C).

【0010】また、TaN膜9がCu膜10およびCu
膜11に含まれるCu原子の拡散を防止する能力が十分
でないために、TaN膜9を通じてCu原子がSiO2
膜3およびSiO2膜5に到達するという点である。S
iO2膜3およびSiO2膜5に到達したCu原子は、
SiO2膜3およびSiO2膜5の内部で可動イオンを
形成し、スルーホール6の間および上部配線層12の間
のリーク電流を増大させる。これは、半導体装置の動作
不良の原因となる。
The TaN film 9 is made of Cu film 10 and Cu film.
Since the ability to prevent the diffusion of Cu atoms contained in the film 11 is not sufficient, Cu atoms are converted to SiO2 through the TaN film 9.
The point is that it reaches the film 3 and the SiO 2 film 5. S
Cu atoms reaching the iO 2 film 3 and the SiO 2 film 5
Mobile ions are formed inside the SiO 2 film 3 and the SiO 2 film 5 to increase leakage current between the through holes 6 and between the upper wiring layers 12. This causes a malfunction of the semiconductor device.

【0011】本発明は上記課題に鑑みてなされたもので
あり、その目的とするところは、動作速度の低下や動作
不良を起こさない半導体装置およびその製造方法を提供
することにあるる。
SUMMARY OF THE INVENTION The present invention has been made in view of the above problems, and an object of the present invention is to provide a semiconductor device which does not cause a reduction in operation speed or malfunction, and a method for manufacturing the same.

【0012】[0012]

【課題を解決するための手段】本発明による半導体装置
は、基板と、前記基板に支持される第1導電体膜と、前
記第1導電体膜を覆うように前記基板上に形成された絶
縁膜と、前記絶縁膜に形成された凹部と、前記絶縁膜の
凹部内に形成され、前記第1導電体膜と電気的に接触す
る第2導電体膜とを備えた半導体装置であって、前記第
2導電体膜は、前記絶縁膜の凹部の内部に形成された炭
素含有金属窒化物膜と、前記炭素含有金属窒化物膜上に
形成された金属膜とを有し、前記金属窒化物膜のうち前
記絶縁膜の凹部の底面上に形成された部分の炭素濃度
が、前記金属窒化物膜のうち前記絶縁膜の凹部の内側壁
上に形成された部分の炭素濃度よりも小さい。
A semiconductor device according to the present invention comprises a substrate, a first conductive film supported by the substrate, and an insulating film formed on the substrate so as to cover the first conductive film. A semiconductor device comprising: a film; a concave portion formed in the insulating film; and a second conductive film formed in the concave portion of the insulating film and in electrical contact with the first conductive film. The second conductor film includes a carbon-containing metal nitride film formed inside a concave portion of the insulating film, and a metal film formed on the carbon-containing metal nitride film. A portion of the film formed on the bottom surface of the recess of the insulating film has a lower carbon concentration than a portion of the metal nitride film formed on the inner side wall of the recess of the insulating film.

【0013】前記金属窒化物膜のうち前記絶縁膜の凹部
の底面上に形成された部分の厚さが、前記金属窒化物膜
のうち前記絶縁膜の凹部の内側壁上に形成された部分の
厚さよりも小さいことが好ましい。
The thickness of a portion of the metal nitride film formed on the bottom surface of the concave portion of the insulating film is equal to the thickness of a portion of the metal nitride film formed on the inner wall of the concave portion of the insulating film. It is preferably smaller than the thickness.

【0014】前記金属窒化物膜のうち前記絶縁膜の凹部
の底面上に形成された部分の比抵抗が、前記金属窒化物
膜のうち前記絶縁膜の凹部の内側壁上に形成された部分
の比抵抗よりも小さいことが好ましい。
The specific resistance of a portion of the metal nitride film formed on the bottom surface of the concave portion of the insulating film is different from that of a portion of the metal nitride film formed on the inner wall of the concave portion of the insulating film. It is preferably smaller than the specific resistance.

【0015】前記金属窒化物膜が窒化タンタル膜である
ことが好ましい。
Preferably, the metal nitride film is a tantalum nitride film.

【0016】前記金属窒化物膜が窒化タングステン膜で
あってもよい。
[0016] The metal nitride film may be a tungsten nitride film.

【0017】前記金属窒化物膜が窒化モリブデン膜であ
ってもよい。
[0017] The metal nitride film may be a molybdenum nitride film.

【0018】前記金属膜膜が銅であることが好ましい。It is preferable that the metal film is made of copper.

【0019】前記絶縁膜の凹部は、前記第1導電体膜に
達するスルーホールと、前記スルーホールに連結する配
線状溝とを有していてもよい。
[0019] The concave portion of the insulating film may have a through hole reaching the first conductive film, and a wiring groove connected to the through hole.

【0020】本発明による他の半導体装置は、基板と、
前記基板に支持される第1導電体膜と、前記第1導電体
膜を覆うように前記基板上に形成された絶縁膜と、前記
絶縁膜に形成された凹部と、前記絶縁膜の凹部内に形成
され、前記第1導電体膜と電気的に接触する第2導電体
膜とを備えた半導体装置であって、前記第2導電体膜
は、前記絶縁膜の凹部の内部に形成された金属窒化物膜
と、前記金属窒化物膜上に形成された金属ケイ化窒化物
膜と、前記金属ケイ化窒化物上に堆積された金属膜とを
有する。
Another semiconductor device according to the present invention comprises a substrate,
A first conductive film supported by the substrate, an insulating film formed on the substrate so as to cover the first conductive film, a recess formed in the insulating film, and a recess formed in the insulating film. And a second conductor film electrically connected to the first conductor film, wherein the second conductor film is formed inside a concave portion of the insulating film. A metal nitride film; a metal silicide nitride film formed on the metal nitride film; and a metal film deposited on the metal silicide nitride.

【0021】前記金属ケイ化窒化物膜のうち前記絶縁膜
の凹部の底面上に形成された部分の厚さが、前記金属ケ
イ化窒化物膜のうち前記絶縁膜の凹部の内側壁上に形成
された部分の厚さよりも小さいことが好ましい。
The thickness of a portion of the metal silicide nitride film formed on the bottom surface of the concave portion of the insulating film is formed on the inner wall of the concave portion of the insulating film of the metal silicide nitride film. It is preferable that the thickness is smaller than the thickness of the portion.

【0022】前記金属ケイ化窒化物膜はケイ化窒化タン
タル膜であることが好ましい。
Preferably, the metal silicide nitride film is a tantalum silicide nitride film.

【0023】前記金属ケイ化窒化物膜はケイ化窒化タン
グステン膜であってもよい。
The metal silicide nitride film may be a tungsten silicide nitride film.

【0024】前記金属ケイ化窒化物膜はケイ化窒化モリ
ブデン膜であってもよい。
The metal silicide nitride film may be a molybdenum silicide nitride film.

【0025】前記絶縁膜の凹部は、前記第1導電体膜に
達するスルーホールと、前記スルーホールに連結する配
線状溝とを有していてもよい。
[0025] The concave portion of the insulating film may have a through hole reaching the first conductive film, and a wiring groove connected to the through hole.

【0026】本発明による半導体装置の製造方法は、基
板上に第1導電体膜を形成する工程と、前記第1導電体
膜を覆う絶縁膜を前記基板上に堆積する工程と、少なく
とも一部が前記第1導電体膜に達する凹部を前記絶縁膜
に形成する工程と、前記絶縁膜の凹部の内部に第2導電
体膜を形成する工程とを包含する半導体装置の製造方法
であって、前記第2導電体膜を形成する工程は、前記絶
縁膜の凹部の内側壁および底面を覆う炭素含有金属窒化
物膜を化学的気層成長法によって堆積する工程と、前記
炭素含有金属窒化物膜の表面にイオンを照射する工程
と、前記炭素含有金属窒化物膜の表面に金属層を堆積す
る工程とを含む前記イオンを照射する工程は、前記炭素
含有金属窒化物膜の表面をプラズマに暴露する工程を含
んでいてもよい。
According to the method of manufacturing a semiconductor device of the present invention, a step of forming a first conductor film on a substrate, a step of depositing an insulating film covering the first conductor film on the substrate, Forming a concave portion reaching the first conductive film in the insulating film, and forming a second conductive film inside the concave portion of the insulating film, The step of forming the second conductor film includes the steps of: depositing a carbon-containing metal nitride film covering inner walls and a bottom surface of a concave portion of the insulating film by a chemical vapor deposition method; Irradiating the surface of the carbon-containing metal nitride film with ions, comprising: exposing the surface of the carbon-containing metal nitride film to a plasma; and exposing the surface of the carbon-containing metal nitride film to a plasma. May be included.

【0027】前記絶縁膜に凹部を形成する工程は、前記
絶縁膜にスルーホールを形成する工程と、前記スルーホ
ールに連結する配線状溝を形成する工程とを含んでいて
もよい。
[0027] The step of forming the concave portion in the insulating film may include a step of forming a through hole in the insulating film and a step of forming a wiring-like groove connected to the through hole.

【0028】本発明による他の半導体装置の製造方法
は、基板上に第1導電体膜を形成する工程と、前記第1
導電体膜を覆う絶縁膜を前記基板上に堆積する工程と、
少なくとも一部が前記第1導電体膜に達する凹部を前記
絶縁膜に形成する工程と、前記絶縁膜の凹部の内部に第
2導電体膜を形成する工程とを包含する半導体装置の製
造方法であって、前記第2導電体膜を形成する工程は、
前記絶縁膜の凹部の内側壁および底面を覆う金属窒化物
膜を化学的気層成長法によって堆積する工程と、前記金
属窒化物膜の表面にイオンを照射する工程と、前記金属
窒化物膜の表面をシリコン化合物に暴露することにより
金属ケイ化窒化物膜を形成する工程と、前記金属ケイ化
窒化物膜の表面に金属層を堆積する工程とを含む。
Another method of manufacturing a semiconductor device according to the present invention includes a step of forming a first conductive film on a substrate,
Depositing an insulating film covering the conductor film on the substrate;
A method of manufacturing a semiconductor device, comprising: a step of forming a recess in the insulating film at least partially reaching the first conductor film; and a step of forming a second conductor film inside the recess of the insulating film. The step of forming the second conductor film includes:
Depositing a metal nitride film covering the inner wall and the bottom surface of the concave portion of the insulating film by a chemical vapor deposition method, irradiating ions to the surface of the metal nitride film, Forming a metal silicide nitride film by exposing the surface to a silicon compound; and depositing a metal layer on the surface of the metal silicide nitride film.

【0029】前記イオンを照射する工程は、前記金属窒
化物膜の表面をプラズマに暴露する工程を含んでいても
よい。
[0029] The step of irradiating the ions may include a step of exposing the surface of the metal nitride film to plasma.

【0030】前記絶縁膜に凹部を形成する工程は、前記
絶縁膜にスルーホールを形成する工程と、前記スルーホ
ールに連結する配線状溝を形成する工程とを含んでいて
もよい。
[0030] The step of forming the concave portion in the insulating film may include a step of forming a through hole in the insulating film and a step of forming a wiring groove connected to the through hole.

【0031】前記金属窒化物膜を堆積する工程は、タン
タルのアミド錯体およびタンタルのイミド錯体のいずれ
かを材料として使用してもよい。
In the step of depositing the metal nitride film, any of a tantalum amide complex and a tantalum imide complex may be used as a material.

【0032】前記金属窒化物膜を堆積する工程は、タン
グステンのアミド錯体およびタングステンのイミド錯体
のいずれかを材料として使用してもよい。
In the step of depositing the metal nitride film, any of a tungsten amide complex and a tungsten imide complex may be used as a material.

【0033】前記金属窒化物膜を堆積する工程は、モリ
ブデンのアミド錯体およびモリブデンのイミド錯体のい
ずれかを材料として使用してもよい。
In the step of depositing the metal nitride film, any of a molybdenum amide complex and a molybdenum imide complex may be used as a material.

【0034】前記金属窒化物膜をプラズマに暴露する工
程は、窒素、アンモニア、およびヒドラジンのいずれか
を使用してもよい。
In the step of exposing the metal nitride film to plasma, any one of nitrogen, ammonia and hydrazine may be used.

【0035】前記金属ケイ化窒化物膜を形成する工程
は、シリコン化合物としてシラン、ジシラン、およびト
リシランのいずれかを材料として使用してもよい。
In the step of forming the metal silicide nitride film, any one of silane, disilane, and trisilane may be used as a silicon compound.

【0036】本発明による半導体装置の製造装置は、真
空チャンバと、前記真空チャンバの内部に設置されたサ
セプタと、前記サセプタの内部に設置された加熱機構
と、前記真空チャンバの内部に設置された排気口と、前
記真空チャンバの内部に設置された導入口と、前記真空
チャンバの内部に設置された電極と、前記サセプタおよ
び前記電極に接続された電源とを備え、前記導入口から
有機金属化合物、窒素化合物、およびシリコン化合物を
導入することができる。
A semiconductor device manufacturing apparatus according to the present invention includes a vacuum chamber, a susceptor installed inside the vacuum chamber, a heating mechanism installed inside the susceptor, and an interior installed inside the vacuum chamber. An exhaust port, an inlet installed inside the vacuum chamber, an electrode installed inside the vacuum chamber, a power supply connected to the susceptor and the electrode, and an organometallic compound from the inlet. , Nitrogen compounds, and silicon compounds can be introduced.

【0037】前記有機金属化合物としてタンタルのアミ
ド錯体およびタンタルのイミド錯体のいずれかを使用す
ることができることが好ましい。
It is preferable that either an amide complex of tantalum or an imide complex of tantalum can be used as the organometallic compound.

【0038】前記有機金属化合物としてタングステンの
アミド錯体およびタングステンのイミド錯体のいずれか
を使用することができることが好ましい。
It is preferable that either an amide complex of tungsten or an imide complex of tungsten can be used as the organometallic compound.

【0039】前記有機金属化合物としてモリブデンのア
ミド錯体およびモリブデンのイミド錯体のいずれかを使
用することができることが好ましい。
It is preferable that either an amide complex of molybdenum or an imide complex of molybdenum can be used as the organometallic compound.

【0040】前記窒素化合物として窒素、アンモニア、
およびヒドラジンのいずれかを使用することができるこ
とが好ましい。
As the nitrogen compound, nitrogen, ammonia,
Preferably, either hydrazine or hydrazine can be used.

【0041】前記シリコン化合物としてシラン、ジシラ
ン、およびトリシランのいずれかを使用することができ
ることが好ましい。
It is preferable that any one of silane, disilane and trisilane can be used as the silicon compound.

【0042】[0042]

【発明の実施の形態】図1から図6を参照しながら、本
発明による半導体装置の実施形態を説明する。 本実施
形態の半導体装置は、図6に示すように、不図示のトラ
ンジスタなどの集積回路素子が形成された半導体基板1
01と、半導体基板101の表面に形成された下部配線
層102と、下部配線層(第1導電体膜)102を覆う
ように半導体基板101上に堆積された二酸化ケイ素
(SiO2)膜103とを備えている。本願明細書で
は、「半導体基板101」は、単結晶シリコン基板、そ
の表面に形成されたトランジスタ等の集積回路素子、集
積回路素子を覆うように単結晶シリコン基板の表面に形
成された絶縁膜などからなる構造を一括して表現してい
る。下部配線層102は、タングステン(W)、アルミ
ニウム(Al)、銅(Cu)などの導電性材料を用いて
形成されている。
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS An embodiment of a semiconductor device according to the present invention will be described with reference to FIGS. As shown in FIG. 6, the semiconductor device of this embodiment includes a semiconductor substrate 1 on which an integrated circuit element such as a transistor (not shown) is formed.
01, a lower wiring layer 102 formed on the surface of the semiconductor substrate 101, and a silicon dioxide (SiO 2 ) film 103 deposited on the semiconductor substrate 101 so as to cover the lower wiring layer (first conductive film) 102. It has. In the present specification, the “semiconductor substrate 101” refers to a single crystal silicon substrate, an integrated circuit element such as a transistor formed on the surface thereof, an insulating film formed on the surface of the single crystal silicon substrate so as to cover the integrated circuit element, or the like. Are expressed in a lump. The lower wiring layer 102 is formed using a conductive material such as tungsten (W), aluminum (Al), and copper (Cu).

【0043】SiO2膜103上には四窒化三ケイ素
(Si34)膜104が堆積されており、Si34膜1
04上にはSiO2膜5が堆積されている。SiO2膜1
03、Si34膜104およびSiO2膜105によっ
て層間絶縁膜が形成されている。この層間絶縁膜には、
凹部が形成されている。この凹部は、下部配線層102
に達するスルーホール106と、スルーホール106に
連結する溝状凹部(配線溝)107とから形成されてお
り、配線溝107内にはスルーホール106を介して下
部配線層102に電気的に接触する上部配線層112が
設けられている。配線溝107の溝幅は、例えば約10
0〜2000nmであり、深さは例えば約100〜10
00nmである。また、本実施形態では、スルーホール
106の内径を配線溝107の溝幅に等しく設定してい
る。複数のスルーホール106が、例えば、0.1〜2
μm程度の間隔をおいて、各配線溝107内に形成され
る。
[0043] A four nitriding three silicon on the SiO 2 film 103 (Si 3 N 4) film 104 is deposited, Si 3 N 4 film 1
On the substrate 04, an SiO 2 film 5 is deposited. SiO 2 film 1
03, an interlayer insulating film is formed by the Si 3 N 4 film 104 and the SiO 2 film 105. In this interlayer insulating film,
A recess is formed. This recess is formed in the lower wiring layer 102.
, And a groove-like concave portion (wiring groove) 107 connected to the through hole 106. The wiring groove 107 is in electrical contact with the lower wiring layer 102 via the through hole 106. An upper wiring layer 112 is provided. The groove width of the wiring groove 107 is, for example, about 10
0 to 2000 nm, and the depth is, for example, about 100 to 10 nm.
00 nm. In the present embodiment, the inner diameter of the through hole 106 is set equal to the groove width of the wiring groove 107. The plurality of through holes 106 are, for example, 0.1 to 2
It is formed in each wiring groove 107 at intervals of about μm.

【0044】上部配線層112は、スルーホール106
および配線溝107の内側壁および底面を覆うようチタ
ン(Ti)膜108と、Ti膜108の表面に堆積され
た窒化タンタル(TaN)膜109と、TaN膜109
上に形成されたCu膜110、Cu膜110上に堆積さ
れたCu膜111とを含んでいる。
The upper wiring layer 112 is formed in the through hole 106.
And a titanium (Ti) film 108 to cover the inner side wall and the bottom surface of the wiring groove 107, a tantalum nitride (TaN) film 109 deposited on the surface of the Ti film 108, and a TaN film 109.
It includes a Cu film 110 formed thereon and a Cu film 111 deposited on the Cu film 110.

【0045】TiN膜109は、スルーホール106お
よび配線溝107の内側壁上に形成された垂直部分(半
導体基板101に実質的に垂直な面上に形成された部
分)109aと、スルーホール106および配線溝10
7の底面上に形成された水平部分(半導体基板101に
実質的に平行な面上に形成され部分)109bとに、必
要に応じて区別する。TiN膜109の水平部分109
bのCの濃度は、垂直部分109aのCの濃度よりも低
くなっている。
The TiN film 109 has a vertical portion (a portion formed on a surface substantially perpendicular to the semiconductor substrate 101) 109 a formed on the inner side wall of the through hole 106 and the wiring groove 107, Wiring groove 10
And a horizontal portion (portion formed on a plane substantially parallel to the semiconductor substrate 101) 109b formed on the bottom surface of the semiconductor device 101 as necessary. Horizontal portion 109 of TiN film 109
The concentration of C in b is lower than the concentration of C in the vertical portion 109a.

【0046】なお、下層配線層は第1層レベル配線に限
定されず、N層レベル配線(Nは3以上の整数)のうち
の第i層レベル(iは1≦i<Nの整数)であれば良
い。このとき、上層配線は第j層レベル(jはi<j≦
Nの整数)であればよい。
Note that the lower wiring layer is not limited to the first-level wiring, and may be at the i-th level (i is an integer of 1 ≦ i <N) of the N-level wiring (N is an integer of 3 or more). I just want it. At this time, the upper wiring is at the j-th layer level (j is i <j ≦
(An integer of N).

【0047】以上のような構成により、下部配線層10
2と上部配線層112との間の接続抵抗を従来技術に比
較して低下させることができる。その理由は以下の通り
である。
With the above configuration, the lower wiring layer 10
The connection resistance between the second wiring layer 112 and the upper wiring layer 112 can be reduced as compared with the related art. The reason is as follows.

【0048】下部配線層102と上部配線層112との
間の接続抵抗は、実際上スルーホール106の底部に堆
積されたTaN膜の比抵抗で決定される。本実施形態に
おいては、スルーホール106の底部にはTaN膜の水
平部分109bが存在し、スルーホール106の側壁に
はTaN膜109の垂直部分109aが存在し、水平部
分109bに含まれるCの濃度は、垂直部分109aに
含まれるCの濃度よりも低くなっている。膜中に含まれ
るCの濃度が低くなるほど、TaN膜の比抵抗は低下す
るので、TaN膜109bに含まれるCの量を低下させ
ることによって、下部配線層102と上部配線層112
との間の接続抵抗を従来技術よりも低下させることがで
きる。
The connection resistance between the lower wiring layer 102 and the upper wiring layer 112 is actually determined by the specific resistance of the TaN film deposited on the bottom of the through hole 106. In the present embodiment, the horizontal portion 109b of the TaN film exists at the bottom of the through hole 106, the vertical portion 109a of the TaN film 109 exists on the side wall of the through hole 106, and the concentration of C contained in the horizontal portion 109b. Is lower than the concentration of C contained in the vertical portion 109a. The lower the concentration of C contained in the film, the lower the specific resistance of the TaN film. Therefore, by decreasing the amount of C contained in the TaN film 109b, the lower wiring layer 102 and the upper wiring layer 112 are reduced.
And the connection resistance between them can be made lower than in the prior art.

【0049】本実施形態における半導体装置の製造方法
は以下の通りである。
The method of manufacturing the semiconductor device according to the present embodiment is as follows.

【0050】まず、図1に示すように、半導体基板10
1の表面に下部配線層102を形成する。下部配線層1
02の形成は、スパッタリング法によりAl膜を半導体
基板101の表面に堆積した後、リソグラフィー法およ
びドライエッチング法により前記Al膜を所定の形状に
加工することにより行なう。
First, as shown in FIG.
The lower wiring layer 102 is formed on the surface of the substrate 1. Lower wiring layer 1
02 is formed by depositing an Al film on the surface of the semiconductor substrate 101 by a sputtering method, and then processing the Al film into a predetermined shape by a lithography method and a dry etching method.

【0051】次に、図2に示すように、プラズマ励起方
式の化学的気層成長法によりSiO2膜(膜厚:約10
0〜2000nm)103、Si3N4膜(膜厚:約5
〜50nm)104、SiO2膜(膜厚:約100〜1
000nm)105を順に堆積した後に、リソグラフィ
ー法およびドライエッチング法を2回ずつ交互に適用す
ることによって、SiO2膜103およびSi3N4膜
104の内部にスルーホール106を、SiO2膜10
5の内部に配線溝107を形成する。
Next, as shown in FIG. 2, an SiO 2 film (thickness: about 10
0 to 2000 nm) 103, Si3N4 film (film thickness: about 5
), SiO2 film (film thickness: about 100-1)
000 nm) 105 are sequentially deposited, and a lithography method and a dry etching method are alternately applied twice each so that a through hole 106 is formed inside the SiO 2 film 103 and the Si 3 N 4 film 104 to form the SiO 2 film 10.
5, a wiring groove 107 is formed.

【0052】次に、図3に示すように、アルゴン(A
r)や水素(H2)などを用いたドライエッチング法に
よりスルーホール106の底部の清浄化を行なった後
に、物理的気層成長法によりTi膜(膜厚:約0.5〜
10nm)108を、続いて化学的気層成長法により厚
さ20nmのTaN膜109を堆積する。化学的気層成
長法によるTaN膜109の堆積は以下のようにして行
なう。Ti膜108の堆積が済んだ半導体基板101を
真空チャンバ内で400℃に加熱する。半導体基板10
1が定常温度に到達したら、真空チャンバの内部にペン
タキスジメチルアミドタンタル(Ta(NMe2)5)
をアンモニア(NH3)とともに導入する。導入された
Ta(NMe2)5とNH3はTi膜108の表面で反
応し、TaN膜109が堆積される。
Next, as shown in FIG.
r), the bottom of the through hole 106 is cleaned by a dry etching method using hydrogen (H2) or the like, and then a Ti film (thickness: about 0.5 to
10 nm) 108, and then a TaN film 109 having a thickness of 20 nm is deposited by a chemical vapor deposition method. The TaN film 109 is deposited by the chemical vapor deposition method as follows. The semiconductor substrate 101 on which the Ti film 108 has been deposited is heated to 400 ° C. in a vacuum chamber. Semiconductor substrate 10
When 1 reaches the steady-state temperature, pentakisdimethylamide tantalum (Ta (NMe2) 5) is placed inside the vacuum chamber.
Is introduced together with ammonia (NH3). The introduced Ta (NMe2) 5 and NH3 react on the surface of the Ti film 108, and a TaN film 109 is deposited.

【0053】次に、図4に示すように、TaN膜109
の表面を、アンモニア(NH3)中で発生させたプラズ
マに暴露する。プラズマの内部にはNH2イオンなどの
陽イオンが含まれているが、これらの陽イオンが半導体
基板101に向かって垂直方向に加速されるように、プ
ラズマの発生条件を調節する。これにより、半導体基板
101に平行な平面上に堆積されたTaN膜109b
は、陽イオンの衝撃を受けるために緻密化され、これに
伴なってTaN膜109bに含まれているCが気層中に
脱離する。一方、半導体基板101に垂直な平面上に堆
積されたTaN膜109の垂直部分109aは、陽イオ
ンの衝撃を受けないために緻密化されない。その結果、
TaN膜109bはTaN膜109aよりも薄くなり、
また、TaN膜109bの炭素濃度はTaN膜109a
の炭素濃度よりも低下する。プラズマ暴露は、たとえ
ば、平行平板型などのプラズマ生成装置を用い、そのチ
ャンバー内でNH3ガスの圧力を約10〜1000Pa
程度に設定し、200〜2000Wの電力を与えること
によって形成したプラズマを用いることができる。
Next, as shown in FIG.
Is exposed to a plasma generated in ammonia (NH3). The plasma contains cations such as NH 2 ions. The plasma generation conditions are adjusted such that these cations are accelerated in the vertical direction toward the semiconductor substrate 101. Thus, the TaN film 109b deposited on a plane parallel to the semiconductor substrate 101
Is densified due to the impact of cations, and accordingly, C contained in the TaN film 109b is desorbed into the gas phase. On the other hand, the vertical portion 109a of the TaN film 109 deposited on a plane perpendicular to the semiconductor substrate 101 is not densified because it is not impacted by cations. as a result,
The TaN film 109b is thinner than the TaN film 109a,
The carbon concentration of the TaN film 109b is
Lower than the carbon concentration. The plasma exposure uses, for example, a parallel plate type plasma generation device or the like, and the pressure of NH 3 gas is set to about 10 to 1000 Pa in the chamber.
Plasma generated by applying power of 200 to 2000 W can be used.

【0054】次に、図5に示すように、TaN膜109
の表面に物理的気層成長法によりCu膜110を堆積す
る。Cu膜110の表面を硫酸(H2SO4)で洗浄し
てから、電解メッキ法によりCu膜110の表面にCu
膜111を堆積する。
Next, as shown in FIG.
A Cu film 110 is deposited on the surface of the substrate by a physical layer growth method. After cleaning the surface of the Cu film 110 with sulfuric acid (H 2 SO 4), the surface of the Cu film
A film 111 is deposited.

【0055】最後に、SiO2膜105上のTi膜10
8、TaN膜109、Cu膜110、Cu膜111を化
学機械的研磨法により除去することにより、図6のよう
な半導体装置が作製される。
Finally, the Ti film 10 on the SiO 2 film 105
8. By removing the TaN film 109, the Cu film 110, and the Cu film 111 by a chemical mechanical polishing method, a semiconductor device as shown in FIG. 6 is manufactured.

【0056】(実施形態2)図7から図13を参照しな
がら、本発明による半導体装置の他の実施形態を説明す
る。図7から図13において、図1から図6に示した構
成と同一の構成要素には同一の符号を付けることにより
説明を省略する。
(Embodiment 2) Another embodiment of the semiconductor device according to the present invention will be described with reference to FIGS. 7 to 13, the same components as those shown in FIGS. 1 to 6 are denoted by the same reference numerals, and description thereof is omitted.

【0057】本実施形態の半導体装置は、図13に示す
ように、不図示のトランジスタなどの集積回路素子が形
成された半導体基板101と、半導体基板101の表面
に形成された下部配線層102と、下部配線層102を
覆うように半導体基板101上に堆積された二酸化ケイ
素(SiO2)膜103とを備えている。下部配線層1
02は、タングステン(W)、アルミニウム(Al)、
銅(Cu)などの導電性材料を用いて形成されている。
As shown in FIG. 13, the semiconductor device of this embodiment includes a semiconductor substrate 101 on which an integrated circuit element such as a transistor (not shown) is formed, and a lower wiring layer 102 formed on the surface of the semiconductor substrate 101. And a silicon dioxide (SiO 2 ) film 103 deposited on the semiconductor substrate 101 so as to cover the lower wiring layer 102. Lower wiring layer 1
02 is tungsten (W), aluminum (Al),
It is formed using a conductive material such as copper (Cu).

【0058】SiO2膜103上には四窒化三ケイ素
(Si34)膜104が堆積されており、Si34膜1
04上にはSiO2膜5が堆積されている。SiO2膜1
03、Si34膜104およびSiO2膜105によっ
て層間絶縁膜が形成されている。この層間絶縁膜には、
下部配線層102に達するスルーホール106と、スル
ーホール106に連結する溝状凹部(配線溝)107と
が形成されており、配線溝107内にはスルーホール1
06を介して下部配線層102に電気的に接触する上部
配線層112が設けられている。上部配線層112は、
スルーホール106および配線溝107の内側壁および
底面を覆うようチタン(Ti)膜108と、Ti膜10
8の表面に堆積された窒化タンタル(TaN)膜109
と、TaN膜109上に形成されたケイ化窒化タンタル
(TaSiN)膜113と、TaSiN膜113上に形
成されたCu膜110と、Cu膜110上に堆積された
Cu膜111とを含んでいる。
[0058] A four nitriding three silicon on the SiO 2 film 103 (Si 3 N 4) film 104 is deposited, Si 3 N 4 film 1
On the substrate 04, an SiO 2 film 5 is deposited. SiO 2 film 1
03, an interlayer insulating film is formed by the Si 3 N 4 film 104 and the SiO 2 film 105. In this interlayer insulating film,
A through-hole 106 reaching the lower wiring layer 102 and a groove-like concave portion (wiring groove) 107 connected to the through-hole 106 are formed.
An upper wiring layer 112 that is in electrical contact with the lower wiring layer 102 via the reference numeral 06 is provided. The upper wiring layer 112
A titanium (Ti) film 108 and a Ti film 10 so as to cover the inner side wall and the bottom surface of the through hole 106 and the wiring groove 107;
8, a tantalum nitride (TaN) film 109 deposited on the surface
And a tantalum silicide nitride (TaSiN) film 113 formed on the TaN film 109, a Cu film 110 formed on the TaSiN film 113, and a Cu film 111 deposited on the Cu film 110. .

【0059】TaN膜109は、スルーホール106お
よび配線溝107の内側壁上に形成された垂直部分(半
導体基板101に実質的に垂直な面上に形成された部
分)109aと、スルーホール106および配線溝10
7の底面上に形成された水平部分(半導体基板101に
実質的に平行な面上に形成され部分)109bとに、必
要に応じて区別する。同様に、TaSiN膜113は、
スルーホール106および配線溝107の内側壁上に形
成された垂直部分(半導体基板101に実質的に垂直な
面上に形成された部分)113aと、スルーホール10
6および配線溝107の底面上に形成された水平部分
(半導体基板101に実質的に平行な面上に形成され部
分)113bとに、必要に応じて区別する。
The TaN film 109 includes a vertical portion (a portion formed on a surface substantially perpendicular to the semiconductor substrate 101) 109 a formed on the inner side wall of the through hole 106 and the wiring groove 107, Wiring groove 10
And a horizontal portion (portion formed on a plane substantially parallel to the semiconductor substrate 101) 109b formed on the bottom surface of the semiconductor device 101 as necessary. Similarly, the TaSiN film 113 is
A vertical portion (a portion formed on a surface substantially perpendicular to the semiconductor substrate 101) 113a formed on the inner wall of the through hole 106 and the wiring groove 107;
6 and a horizontal part (part formed on a plane substantially parallel to the semiconductor substrate 101) 113b formed on the bottom surface of the wiring groove 107, if necessary.

【0060】本実施形態における半導体装置の構成が、
第1の実施形態における構成と異なるのは、図13に示
すように、TaN膜109の表面にTaSiN膜113
を形成しているという点である。TaSiN膜はTaN
膜よりもCu原子の拡散を防止する能力が高いので、本
実施形態のような構成とすることにより、スルーホール
106の間および上部配線層112の間のリーク電流を
第1の実施形態の場合よりも低減することが可能にな
る。
The configuration of the semiconductor device in this embodiment is
The difference from the structure of the first embodiment is that the TaSiN film 113 is formed on the surface of the TaN film 109 as shown in FIG.
Is formed. TaSiN film is TaN
Since the ability to prevent the diffusion of Cu atoms is higher than that of the film, the configuration according to the present embodiment reduces the leakage current between the through holes 106 and between the upper wiring layers 112 according to the first embodiment. Can be reduced.

【0061】ここで、TaSiN膜113bの厚さにつ
いて説明する。TaSiN膜113bの抵抗率は、NH
3プラズマに暴露した後のTaN膜109bの抵抗率よ
りも高い。このため、TaSiN膜113bの厚さを大
きくしすぎると、下部配線層102と上部配線層112
の間の接続抵抗が高くなり、半導体装置の動作速度を低
下させる。以上の理由から、TaSiN膜113bの厚
さは、TaSiN膜113aの厚さよりも薄くするのが
望ましい。
Here, the thickness of the TaSiN film 113b will be described. The resistivity of the TaSiN film 113b is NH
3 is higher than the resistivity of the TaN film 109b after exposure to the plasma. Therefore, if the thickness of the TaSiN film 113b is too large, the lower wiring layer 102 and the upper wiring layer 112
, The connection resistance increases, and the operating speed of the semiconductor device decreases. For the above reasons, it is desirable that the thickness of the TaSiN film 113b be smaller than the thickness of the TaSiN film 113a.

【0062】本実施形態における半導体装置の製造方法
は以下の通りである。
The method of manufacturing the semiconductor device according to the present embodiment is as follows.

【0063】まず、図7に示すように、半導体基板10
1の表面に下部配線層102を形成する。次に、図8に
示すように、SiO2膜(膜厚:約100〜2000n
m)103、Si3N4膜(膜厚:約5〜50nm)1
04、およびSiO2膜(膜厚:約100〜1000n
m)105を順に堆積した後に、リソグラフィー法およ
びドライエッチング法を2回ずつ交互に適用することに
よって、SiO2膜103およびSi3N4膜104の
内部にスルーホール106を、SiO2膜105の内部
に配線溝107を形成する。次に、図9に示すように、
ドライエッチング法によりスルーホール106の底部の
清浄化を行なった後に、物理的気層成長法によりTi膜
108を、続いて化学的気層成長法によりTaN膜(膜
厚:約1〜50nm)109を堆積する。次に、図10
に示すように、TaN膜109の表面をNH3プラズマ
に暴露する。これにより、半導体基板101に平行な平
面上に堆積されたTaN膜109bは、陽イオンの衝撃
を受けるために緻密化され、これに伴なってTaN膜1
09bに含まれているCが気層中に脱離する。一方、半
導体基板101に垂直な平面上に堆積されたTaN膜1
09の垂直部分109aは、陽イオンの衝撃を受けない
ために緻密化されない。その結果、TaN膜109bは
TaN膜109aよりも薄くなり、また、TaN膜10
9bの炭素濃度はTaN膜109aの炭素濃度よりも低
下する。
First, as shown in FIG.
The lower wiring layer 102 is formed on the surface of the substrate 1. Next, as shown in FIG. 8, the SiO2 film (thickness: about 100 to 2000 n)
m) 103, Si3N4 film (thickness: about 5 to 50 nm) 1
04 and a SiO2 film (thickness: about 100 to 1000 n)
m) After depositing 105 in order, a lithography method and a dry etching method are alternately applied twice each so that a through hole 106 is formed inside the SiO2 film 103 and the Si3N4 film 104, and a wiring groove 107 is formed inside the SiO2 film 105. To form Next, as shown in FIG.
After cleaning the bottom of the through hole 106 by dry etching, a Ti film 108 is formed by physical vapor deposition, and a TaN film (thickness: about 1 to 50 nm) 109 is subsequently formed by chemical vapor deposition. Is deposited. Next, FIG.
As shown in FIG. 7, the surface of the TaN film 109 is exposed to NH3 plasma. As a result, the TaN film 109b deposited on a plane parallel to the semiconductor substrate 101 is densified to receive the impact of cations.
C contained in 09b is desorbed into the gas phase. On the other hand, a TaN film 1 deposited on a plane perpendicular to the semiconductor substrate 101
The 09 vertical portion 109a is not densified because it is not impacted by cations. As a result, the TaN film 109b becomes thinner than the TaN film 109a.
The carbon concentration of 9b is lower than the carbon concentration of the TaN film 109a.

【0064】次に、図11に示すように、TaN膜10
9の表面をジシラン(Si2H8)に暴露する。この処
理は、NH3プラズマへの暴露が終了した半導体基板1
01を真空チャンバ内で400℃に加熱し、真空チャン
バの内部にSi2H6を導入することにより行なう。こ
れにより、TaN膜109aの表面にはTaSiN膜1
13aが、TaN膜109bの表面にはTaSiN膜1
13bが形成されるが、TaSiN膜113bの厚さは
TaSiN膜113aの厚さよりも小さくなる。これ
は、NH3プラズマへの暴露によりTaN膜109bが
緻密化されているため、Si2H6がTaN膜109b
の内部に拡散しにくくなっていることに起因する。
Next, as shown in FIG.
The surface of No. 9 is exposed to disilane (Si2H8). This processing is performed on the semiconductor substrate 1 that has been exposed to the NH3 plasma.
01 is heated to 400 ° C. in a vacuum chamber, and Si 2 H 6 is introduced into the vacuum chamber. Thereby, the TaSiN film 1 is formed on the surface of the TaN film 109a.
13a is a TaSiN film 1 on the surface of the TaN film 109b.
13b is formed, but the thickness of the TaSiN film 113b is smaller than the thickness of the TaSiN film 113a. This is because the TaN film 109b is densified by exposure to NH 3 plasma, and thus the Si2H6 is
This is due to the fact that it is difficult to diffuse into the inside.

【0065】次に、図12に示すように、TaSiN膜
113の表面に物理的気層成長法によりCu膜(膜厚:
約5〜200nm)110を堆積する。Cu膜110を
堆積した後に、Cu膜110の表面をH2SO4で洗浄
してから、電解メッキ法によりCu膜(膜厚:約100
〜1000nm)111を堆積する。最後に、SiO2
膜105上のTi膜108、TaN膜109、Cu膜1
10およびCu膜111を化学機械的研磨法により除去
することにより、図13のような半導体装置が実現され
る。
Next, as shown in FIG. 12, a Cu film (film thickness:
(About 5 to 200 nm) 110 is deposited. After depositing the Cu film 110, the surface of the Cu film 110 is washed with H2SO4, and then the Cu film (film thickness: about 100
(~ 1000 nm) 111 is deposited. Finally, SiO2
Ti film 108, TaN film 109, Cu film 1 on film 105
By removing 10 and Cu film 111 by a chemical mechanical polishing method, a semiconductor device as shown in FIG. 13 is realized.

【0066】本実施形態の半導体装置は、図14で示す
よう製造装置を使用して製造することが可能である。こ
の装置は、真空チャンバ114と、真空チャンバ114
の内部に設置されたサセプタ115と、サセプタ115
の内部に設置されたヒータなどの加熱機構116と、真
空チャンバ114に設置された排気口117、真空チャ
ンバ114に設置されたTa(NMe2)5導入口11
8と、真空チャンバ114に設置されたNH3導入口1
19と、真空チャンバ114に設置されたSi2H6導
入口120と、真空チャンバ114の内部においてサセ
プタ115と対向して設置された上部電極121と、セ
プタ115および上部電極121に接続された高周波電
源122とを備えている。
The semiconductor device of this embodiment can be manufactured using a manufacturing apparatus as shown in FIG. The apparatus includes a vacuum chamber 114 and a vacuum chamber 114.
Susceptor 115 installed inside the susceptor 115
, A heating mechanism 116 such as a heater installed inside the vacuum chamber 114, an exhaust port 117 installed in the vacuum chamber 114, and a Ta (NMe 2) 5 inlet 11 installed in the vacuum chamber 114.
8 and the NH3 inlet 1 installed in the vacuum chamber 114
19, a Si2H6 inlet 120 installed in the vacuum chamber 114, an upper electrode 121 installed inside the vacuum chamber 114 so as to face the susceptor 115, and a high-frequency power supply 122 connected to the sceptor 115 and the upper electrode 121. It has.

【0067】この半導体装置の製造装置の動作は以下の
通りである。まず、真空チャンバ114の内部を大気開
放し、Ti膜108の堆積が済んだ半導体基板101を
サセプタ115の上に設置した後に、排気口117を通
じて真空チャンバ114の内部を排気する。排気が完了
したら、加熱機構116を作動させ、サセプタ115を
通じて半導体基板101を加熱する。半導体基板101
の温度の定常温度が400℃になるように、加熱機構1
16の出力を調節する。半導体基板101の温度が定常
温度に到達したら、Ta(NMe2)5導入口118か
らTa(NMe2)5を、NH3導入口119からNH
3を導入する。これによりTa(NMe2)5とNH3
がTi膜108の表面で反応し、TaN膜109が堆積
される。所定の時間が経過したら、Ta(NMe2)5
の導入を停止する。真空チャンバ114の内部に残留し
ているTa(NMe2)5の分圧が十分に小さくなった
ら、高周波電源122によりサセプタ115と上部電極
121に高周波電力を供給し、真空チャンバ114の内
部でNH3プラズマを発生させる。これにより、半導体
基板101に平行な平面上に堆積されたTaN膜109
aは、陽イオンの衝撃を受けるために緻密化される。所
定の時間が経過したら、高周波電源122を停止させ、
NH3の導入を停止する。次に、Si2H6導入口12
0からSi2H6を導入する。これによりTaN膜10
9の表面にTaSiN膜113が形成される。最後に、
加熱機構116の動作を停止させ、真空チャンバ114
を大気開放した後に、半導体基板101を排出する。
The operation of the semiconductor device manufacturing apparatus is as follows. First, the inside of the vacuum chamber 114 is opened to the atmosphere, the semiconductor substrate 101 on which the Ti film 108 has been deposited is set on the susceptor 115, and then the inside of the vacuum chamber 114 is exhausted through the exhaust port 117. When the evacuation is completed, the heating mechanism 116 is operated to heat the semiconductor substrate 101 through the susceptor 115. Semiconductor substrate 101
Heating mechanism 1 such that the steady temperature of
Adjust the 16 outputs. When the temperature of the semiconductor substrate 101 reaches the steady temperature, Ta (NMe2) 5 is introduced from the Ta (NMe2) 5 inlet 118 and NH3 is introduced from the NH3 inlet 119.
3 is introduced. As a result, Ta (NMe2) 5 and NH3
Reacts on the surface of the Ti film 108, and a TaN film 109 is deposited. After a predetermined time has elapsed, Ta (NMe2) 5
Stop introducing. When the partial pressure of Ta (NMe 2) 5 remaining in the vacuum chamber 114 becomes sufficiently small, high frequency power is supplied to the susceptor 115 and the upper electrode 121 by the high frequency power supply 122, and NH 3 plasma is generated inside the vacuum chamber 114. Generate. Thus, the TaN film 109 deposited on a plane parallel to the semiconductor substrate 101
a is densified to receive cation bombardment. When a predetermined time has elapsed, the high-frequency power supply 122 is stopped,
Stop the introduction of NH3. Next, the Si2H6 inlet 12
From 0, Si2H6 is introduced. Thereby, the TaN film 10 is formed.
9, a TaSiN film 113 is formed. Finally,
The operation of the heating mechanism 116 is stopped, and the vacuum chamber 114 is stopped.
Is released to the atmosphere, and then the semiconductor substrate 101 is discharged.

【0068】以上、本発明を2つの実施形態について説
明したきたが、本発明はこれらの実施形態に限定される
ものではない。例えば、上述の実施形態では、スルーホ
ール106および配線溝107を連続して形成した後
に、これらの内部をCu膜111などの金属材料で埋め
込む「デュアルダマシン法」を適用しているが、スルー
ホール106あるいは配線溝107のいずれか一方を形
成した後にこれらの内部をCu膜111などの金属材料
で埋め込む「シングルダマシン法」を代わりに適用する
ことができる。また、上述の実施形態では、配線層の間
を絶縁する材料としてSiO2およびSi3N4を使用
しているが、これらの代わりに他の材料を用いることも
可能である。そのような材料の例としては、フッ素
(F)などの不純物を含むSiO2や絶縁性を有する有
機化合物が挙げられる。また、上述の実施形態では、S
iO2膜105の表面およびスルーホール106の内部
にTi膜108を堆積しているが、下部配線層102を
形成する導電性材料の種類によってはTi膜108の堆
積が不要となる。また、上述の実施形態では、Cu原子
の拡散を防止する金属として窒化タンタルを使用してい
るが、金属窒化物であれば代わりに使用することができ
る。そのような金属窒化物の例としては、窒化タングス
テン(WN)、窒化モリブデン(MoN)が挙げられ
る。WNについては原材料としてTa(NMe2)5の
代わりにタングステンのアミノ錯体あるいはイミド錯体
を使用すれば合成できる。そのような錯体の例としては
ビス(ターシャリーブチルイミド)ビス(ターシャリー
ブチルアミド)タングステンが挙げられる。WNについ
ては原材料としてTa(NMe2)5の代わりにモリブ
デンのアミノ錯体あるいはイミド錯体を使用すれば合成
できる。そのような錯体の例としてはビス(ジメチルア
ミド)ビス(ターシャリーブチルイミド)モリブデンが
挙げられる。また、上述の実施形態では、TaN膜10
9をNH3中で発生させたプラズマに暴露しているが、
窒素化合物であれば代わりに使用することができる。そ
のような気体の例としては、窒素(N2)やヒドラジン
(N2H4)が挙げられる。また、上述の実施形態で
は、TaSiN膜113の形成にSi2H6を使用して
いるが、シリコン化合物であれば代わりに使用すること
ができる。そのような化合物の例としては、シラン(S
iH4)、トリシラン(Si3H8)が挙げられる。ま
た、上述の実施形態では、Cu膜110の堆積に物理的
気層成長法を使用しているが、例えば化学的気層成長法
によりCu膜110の堆積を行なうこともできる。ま
た、上述の実施形態では、Cu膜111の堆積に電解メ
ッキ法を使用しているが、スルーホール106および配
線溝107を埋め込むことができる堆積方法であれば代
わりに使用することができる。そのような堆積方法の例
としては、無電解メッキ法が挙げられる。
Although the present invention has been described with respect to two embodiments, the present invention is not limited to these embodiments. For example, in the above-described embodiment, the “dual damascene method” in which the through hole 106 and the wiring groove 107 are continuously formed and then the inside thereof is filled with a metal material such as the Cu film 111 is applied. A “single damascene method” in which either the wiring 106 or the wiring groove 107 is formed and then the inside thereof is filled with a metal material such as the Cu film 111 can be applied instead. In the above-described embodiment, SiO2 and Si3N4 are used as materials for insulating between wiring layers, but other materials can be used instead of these. Examples of such a material include SiO2 containing impurities such as fluorine (F) and an organic compound having an insulating property. Further, in the above embodiment, S
Although the Ti film 108 is deposited on the surface of the iO2 film 105 and inside the through hole 106, the deposition of the Ti film 108 becomes unnecessary depending on the type of conductive material forming the lower wiring layer 102. Further, in the above-described embodiment, tantalum nitride is used as a metal for preventing diffusion of Cu atoms, but any metal nitride can be used instead. Examples of such metal nitrides include tungsten nitride (WN) and molybdenum nitride (MoN). WN can be synthesized by using a tungsten amino complex or imide complex instead of Ta (NMe2) 5 as a raw material. An example of such a complex is bis (tertiary butyl imide) bis (tertiary butyl amide) tungsten. WN can be synthesized by using a molybdenum amino complex or imide complex instead of Ta (NMe2) 5 as a raw material. An example of such a complex is bis (dimethylamido) bis (tert-butylimido) molybdenum. In the above embodiment, the TaN film 10
9 was exposed to plasma generated in NH3,
If it is a nitrogen compound, it can be used instead. Examples of such gases include nitrogen (N2) and hydrazine (N2H4). Further, in the above-described embodiment, Si2H6 is used for forming the TaSiN film 113, but a silicon compound can be used instead. Examples of such compounds include silane (S
iH4) and trisilane (Si3H8). In the above-described embodiment, the physical vapor deposition method is used for depositing the Cu film 110. However, the Cu film 110 can be deposited by, for example, a chemical vapor deposition method. Further, in the above-described embodiment, the electrolytic plating method is used for depositing the Cu film 111, but any other depositing method that can fill the through hole 106 and the wiring groove 107 can be used. An example of such a deposition method is an electroless plating method.

【0069】また、薄膜に対してイオン衝撃を与える方
法として、薄膜へのプラズマ照射を行ったが、他の方
法、例えばイオン注入法を用いても良い。
Further, as a method of applying ion bombardment to the thin film, plasma irradiation is performed on the thin film, but another method, for example, an ion implantation method may be used.

【0070】[0070]

【発明の効果】本発明の半導体装置によれば、絶縁膜の
凹部側壁に堆積された金属窒化物膜に含まれる炭素の濃
度よりも、凹部底面に堆積された金属窒化物膜に含まれ
る炭素の濃度の方が低くなっている。含まれる炭素の濃
度が低くなるほど金属窒化物の比抵抗は低下するので、
スルーホール等の凹部底部に堆積された金属窒化物膜に
含まれる炭素の量を調節することにより、下部配線層と
上部配線層の間の接続抵抗を従来の技術と比較して低下
させることができる。
According to the semiconductor device of the present invention, the concentration of carbon contained in the metal nitride film deposited on the bottom of the recess is lower than the concentration of carbon contained in the metal nitride film deposited on the sidewall of the recess of the insulating film. Concentration is lower. The lower the concentration of carbon contained, the lower the specific resistance of the metal nitride,
By adjusting the amount of carbon contained in the metal nitride film deposited at the bottom of the recess such as a through hole, the connection resistance between the lower wiring layer and the upper wiring layer can be reduced as compared with the conventional technology. it can.

【0071】本発明の他の半導体装置によれば、スルー
ホールの側壁および上部配線層の側壁は、金属ケイ化窒
化物膜によって被覆されている。金属ケイ化窒化物膜
は、金属窒化物と比較して銅原子の拡散を防止する能力
が高いので、以上のような構成とすることにより、絶縁
膜に含まれる銅原子の濃度を低下させることができる。
このため、スルーホールの間および上部配線層(第2導
電体膜)の間のリーク電流を従来の技術と比較して低下
させることができる。
According to another semiconductor device of the present invention, the side wall of the through hole and the side wall of the upper wiring layer are covered with the metal silicide nitride film. Since the metal silicide nitride film has a higher ability to prevent the diffusion of copper atoms than the metal nitride, the above structure reduces the concentration of copper atoms contained in the insulating film. Can be.
For this reason, the leak current between the through holes and between the upper wiring layer (second conductive film) can be reduced as compared with the related art.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明による半導体装置の製造方法の第1の実
施形態を説明するための工程断面図である。
FIG. 1 is a process sectional view for describing a first embodiment of a method for manufacturing a semiconductor device according to the present invention.

【図2】本発明による半導体装置の製造方法の第1の実
施形態を説明するための工程断面図である。
FIG. 2 is a process cross-sectional view for explaining a first embodiment of a method for manufacturing a semiconductor device according to the present invention.

【図3】本発明による半導体装置の製造方法の第1の実
施形態を説明するための工程断面図である。
FIG. 3 is a process sectional view for describing the first embodiment of the method for manufacturing a semiconductor device according to the present invention.

【図4】本発明による半導体装置の製造方法の第1の実
施形態を説明するための工程断面図である。
FIG. 4 is a process sectional view for describing the first embodiment of the method for manufacturing a semiconductor device according to the present invention.

【図5】本発明による半導体装置の製造方法の第1の実
施形態を説明するための工程断面図である。
FIG. 5 is a process sectional view for describing the first embodiment of the method for manufacturing a semiconductor device according to the present invention.

【図6】本発明による半導体装置の第1の実施形態の断
面図である。
FIG. 6 is a sectional view of the first embodiment of the semiconductor device according to the present invention;

【図7】本発明による半導体装置の製造方法の第2の実
施形態を説明するための工程断面図である。
FIG. 7 is a process sectional view for describing the second embodiment of the method for manufacturing a semiconductor device according to the present invention.

【図8】本発明による半導体装置の製造方法の第2の実
施形態を説明するための工程断面図である。
FIG. 8 is a process sectional view for explaining the second embodiment of the method for manufacturing a semiconductor device according to the present invention.

【図9】本発明による半導体装置の製造方法の第2の実
施形態を説明するための工程断面図である。
FIG. 9 is a process sectional view for describing the second embodiment of the method for manufacturing a semiconductor device according to the present invention.

【図10】本発明による半導体装置の製造方法の第2の
実施形態を説明するための工程断面図である。
FIG. 10 is a process sectional view for describing the second embodiment of the method for manufacturing a semiconductor device according to the present invention.

【図11】本発明による半導体装置の製造方法の第2の
実施形態を説明するための工程断面図である。
FIG. 11 is a process cross-sectional view for explaining the second embodiment of the method for manufacturing a semiconductor device according to the present invention.

【図12】本発明による半導体装置の製造方法の第2の
実施形態を説明するための工程断面図である。
FIG. 12 is a process sectional view for describing the second embodiment of the method for manufacturing a semiconductor device according to the present invention.

【図13】本発明による半導体装置の第2の実施形態を
説明するための断面図である。
FIG. 13 is a cross-sectional view for explaining a second embodiment of the semiconductor device according to the present invention.

【図14】本発明に使用する半導体装置の製造装置の一
例の断面図である。
FIG. 14 is a cross-sectional view of an example of an apparatus for manufacturing a semiconductor device used in the present invention.

【図15】従来の半導体装置の製造方法を示す工程断面
図である。
FIG. 15 is a process sectional view showing a conventional method for manufacturing a semiconductor device.

【図16】従来の半導体装置の製造方法を示す工程断面
図である。
FIG. 16 is a process sectional view illustrating a method for manufacturing a conventional semiconductor device.

【図17】従来の半導体装置の製造方法を示す工程断面
図である。
FIG. 17 is a process cross-sectional view showing a conventional method for manufacturing a semiconductor device.

【図18】従来の半導体装置の製造方法を示す工程断面
図である。
FIG. 18 is a process sectional view illustrating the method for manufacturing the conventional semiconductor device.

【図19】従来の半導体装置を示す断面図である。FIG. 19 is a cross-sectional view showing a conventional semiconductor device.

【符号の説明】[Explanation of symbols]

1 半導体基板 2 下部配線層 3 二酸化ケイ素膜 4 四窒化三ケイ素膜 5 二酸化ケイ素膜 6 スルーホール 7 配線溝 8 チタン膜 9 窒化タンタル膜 10 銅膜 11 銅膜 12 上部配線層 101 半導体基板 102 下部配線層 103 二酸化ケイ素膜 104 四窒化三ケイ素膜 105 二酸化ケイ素膜 106 スルーホール 107 配線溝 108 チタン膜 109 窒化タンタル膜 109a 窒化タンタル膜 109b 窒化タンタル膜 110 銅膜 111 銅膜 112 上部配線層 113 ケイ化窒化タンタル膜 113a ケイ化窒化タンタル膜 113b ケイ化窒化タンタル膜 114 真空チャンバ 115 サセプタ 116 加熱機構 117 排気口 118 ペンタキスジメチルアミドタンタル導入口 119 アンモニア導入口 120 ジシラン導入口 121 上部電極 122 高周波電源 DESCRIPTION OF SYMBOLS 1 Semiconductor substrate 2 Lower wiring layer 3 Silicon dioxide film 4 Trisilicon tetranitride film 5 Silicon dioxide film 6 Through hole 7 Wiring groove 8 Titanium film 9 Tantalum nitride film 10 Copper film 11 Copper film 12 Upper wiring layer 101 Semiconductor substrate 102 Lower wiring Layer 103 Silicon dioxide film 104 Trisilicon tetranitride film 105 Silicon dioxide film 106 Through hole 107 Wiring groove 108 Titanium film 109 Tantalum nitride film 109a Tantalum nitride film 109b Tantalum nitride film 110 Copper film 111 Copper film 112 Upper wiring layer 113 Silicide nitride Tantalum film 113a Tantalum silicide nitride film 113b Tantalum silicide nitride film 114 Vacuum chamber 115 Susceptor 116 Heating mechanism 117 Exhaust port 118 Pentakisdimethylamidantalum tantalum inlet 119 Ammonia inlet 120 Disilane inlet 1 1 upper electrode 122 high frequency power source

Claims (20)

【特許請求の範囲】[Claims] 【請求項1】 基板と、 前記基板に支持される第1導電体膜と、 前記第1導電体膜を覆うように前記基板上に形成された
絶縁膜と、 前記絶縁膜に形成された凹部と、 前記絶縁膜の凹部内に形成され、前記第1導電体膜と電
気的に接触する第2導電体膜と、を備えた半導体装置で
あって、 前記第2導電体膜は、前記絶縁膜の凹部の内部に形成さ
れた炭素含有金属窒化物膜と、前記炭素含有金属窒化物
膜上に形成された金属膜とを有し、前記金属窒化物膜の
うち前記絶縁膜の凹部の底面上に形成された部分の炭素
濃度が、前記金属窒化物膜のうち前記絶縁膜の凹部の内
側壁上に形成された部分の炭素濃度よりも小さい半導体
装置。
A substrate, a first conductive film supported by the substrate, an insulating film formed on the substrate so as to cover the first conductive film, and a recess formed in the insulating film. And a second conductor film formed in a concave portion of the insulating film and electrically contacting the first conductor film, wherein the second conductor film is A carbon-containing metal nitride film formed inside a concave portion of the film; and a metal film formed on the carbon-containing metal nitride film, wherein a bottom surface of the concave portion of the insulating film in the metal nitride film A semiconductor device, wherein a carbon concentration of a portion formed thereon is lower than a carbon concentration of a portion of the metal nitride film formed on an inner side wall of a concave portion of the insulating film.
【請求項2】 前記金属窒化物膜のうち前記絶縁膜の凹
部の底面上に形成された部分の厚さが、前記金属窒化物
膜のうち前記絶縁膜の凹部の内側壁上に形成された部分
の厚さよりも小さい請求項1に記載の半導体装置。
2. A thickness of a portion of the metal nitride film formed on a bottom surface of the concave portion of the insulating film is formed on an inner wall of the concave portion of the insulating film of the metal nitride film. The semiconductor device according to claim 1, wherein the thickness is smaller than a thickness of the portion.
【請求項3】 前記金属窒化物膜のうち前記絶縁膜の凹
部の底面上に形成された部分の比抵抗が、前記金属窒化
物膜のうち前記絶縁膜の凹部の内側壁上に形成された部
分の比抵抗よりも小さい請求項1に記載の半導体装置。
3. The specific resistance of a portion of the metal nitride film formed on the bottom surface of the concave portion of the insulating film is formed on the inner wall of the concave portion of the insulating film of the metal nitride film. The semiconductor device according to claim 1, wherein the specific resistance is smaller than a specific resistance of the portion.
【請求項4】 前記金属窒化物膜が窒化タンタル膜であ
る請求項1から3の何れかに記載の半導体装置。
4. The semiconductor device according to claim 1, wherein said metal nitride film is a tantalum nitride film.
【請求項5】 前記金属窒化物膜が窒化タングステン膜
である請求項1から3の何れかに記載の半導体装置。
5. The semiconductor device according to claim 1, wherein said metal nitride film is a tungsten nitride film.
【請求項6】 前記金属窒化物膜が窒化モリブデン膜で
ある請求項1から3の何れかに記載の半導体装置。
6. The semiconductor device according to claim 1, wherein said metal nitride film is a molybdenum nitride film.
【請求項7】 前記金属膜膜が銅である請求項1から6
の何れかに記載の半導体装置。
7. The metal film according to claim 1, wherein said metal film is copper.
The semiconductor device according to any one of the above.
【請求項8】 前記絶縁膜の凹部は、前記第1導電体膜
に達するスルーホールと、前記スルーホールに連結する
配線状溝とを有する請求項1から7の何れかに記載の半
導体装置。
8. The semiconductor device according to claim 1, wherein the concave portion of the insulating film has a through hole reaching the first conductive film, and a wiring groove connected to the through hole.
【請求項9】 基板と、 前記基板に支持される第1導電体膜と、 前記第1導電体膜を覆うように前記基板上に形成された
絶縁膜と、 前記絶縁膜に形成された凹部と、 前記絶縁膜の凹部内に形成され、前記第1導電体膜と電
気的に接触する第2導電体膜と、を備えた半導体装置で
あって、 前記第2導電体膜は、前記絶縁膜の凹部の内部に形成さ
れた金属窒化物膜と、前記金属窒化物膜上に形成された
金属ケイ化窒化物膜と、前記金属ケイ化窒化物上に堆積
された金属膜とを有する半導体装置。
9. A substrate, a first conductive film supported by the substrate, an insulating film formed on the substrate so as to cover the first conductive film, and a concave portion formed in the insulating film And a second conductor film formed in a concave portion of the insulating film and electrically contacting the first conductor film, wherein the second conductor film is Semiconductor having a metal nitride film formed inside a concave portion of a film, a metal silicide nitride film formed on the metal nitride film, and a metal film deposited on the metal silicide nitride apparatus.
【請求項10】 前記金属ケイ化窒化物膜のうち前記絶
縁膜の凹部の底面上に形成された部分の厚さが、前記金
属ケイ化窒化物膜のうち前記絶縁膜の凹部の内側壁上に
形成された部分の厚さよりも小さい請求項9に記載の半
導体装置。
10. A thickness of a portion of the metal silicide nitride film formed on a bottom surface of the concave portion of the insulating film is equal to a thickness of the metal silicide nitride film on an inner side wall of the concave portion of the insulating film. The semiconductor device according to claim 9, wherein the thickness is smaller than a thickness of a portion formed in the semiconductor device.
【請求項11】 前記金属ケイ化窒化物膜がケイ化窒化
タンタル膜である請求項9または10に記載の半導体装
置。
11. The semiconductor device according to claim 9, wherein said metal silicide nitride film is a tantalum silicide nitride film.
【請求項12】 前記金属ケイ化窒化物膜がケイ化窒化
タングステン膜である請求項9または10に記載の半導
体装置。
12. The semiconductor device according to claim 9, wherein said metal silicide nitride film is a tungsten silicide nitride film.
【請求項13】 前記金属ケイ化窒化物膜がケイ化窒化
モリブデン膜である請求項9または10に記載の半導体
装置。
13. The semiconductor device according to claim 9, wherein said metal silicide nitride film is a molybdenum silicide nitride film.
【請求項14】 前記絶縁膜の凹部は、前記第1導電体
膜に達するスルーホールと、前記スルーホールに連結す
る配線状溝とを有する請求項9から13の何れかに記載
の半導体装置。
14. The semiconductor device according to claim 9, wherein the concave portion of the insulating film has a through hole reaching the first conductive film, and a wiring groove connected to the through hole.
【請求項15】 基板上に第1導電体膜を形成する工程
と、 前記第1導電体膜を覆う絶縁膜を前記基板上に堆積する
工程と、 少なくとも一部が前記第1導電体膜に達する凹部を前記
絶縁膜に形成する工程と、 前記絶縁膜の凹部の内部に第2導電体膜を形成する工程
と、を包含する半導体装置の製造方法であって、 前記第2導電体膜を形成する工程は、 前記絶縁膜の凹部の内側壁および底面を覆う炭素含有金
属窒化物膜を化学的気層成長法によって堆積する工程
と、 前記炭素含有金属窒化物膜の表面にイオンを照射する工
程と、 前記炭素含有金属窒化物膜の表面に金属層を堆積する工
程とを含む半導体装置の製造方法。
15. A step of forming a first conductor film on a substrate, a step of depositing an insulating film covering the first conductor film on the substrate, at least a part of the first conductor film is formed on the first conductor film. A method for manufacturing a semiconductor device, comprising: forming a concave portion reaching the insulating film; and forming a second conductive film inside the concave portion of the insulating film, wherein the second conductive film is Forming a carbon-containing metal nitride film covering an inner wall and a bottom surface of the concave portion of the insulating film by a chemical vapor deposition method; and irradiating ions to a surface of the carbon-containing metal nitride film. And a step of depositing a metal layer on the surface of the carbon-containing metal nitride film.
【請求項16】 前記イオンを照射する工程は、前記炭
素含有金属窒化物膜の表面をプラズマに暴露する工程を
含む請求項15に記載の半導体装置の製造方法。
16. The method according to claim 15, wherein the step of irradiating the ions includes exposing a surface of the carbon-containing metal nitride film to plasma.
【請求項17】 前記絶縁膜に凹部を形成する工程は、
前記絶縁膜にスルーホールを形成する工程と、前記スル
ーホールに連結する配線状溝を形成する工程とを含む請
求項15または16に記載の半導体装置の製造方法。
17. The step of forming a concave portion in the insulating film,
17. The method according to claim 15, further comprising: forming a through hole in the insulating film; and forming a wiring groove connected to the through hole.
【請求項18】 基板上に第1導電体膜を形成する工程
と、 前記第1導電体膜を覆う絶縁膜を前記基板上に堆積する
工程と、 少なくとも一部が前記第1導電体膜に達する凹部を前記
絶縁膜に形成する工程と、 前記絶縁膜の凹部の内部に第2導電体膜を形成する工程
と、を包含する半導体装置の製造方法であって、 前記第2導電体膜を形成する工程は、 前記絶縁膜の凹部の内側壁および底面を覆う金属窒化物
膜を化学的気層成長法によって堆積する工程と、 前記金属窒化物膜の表面にイオンを照射する工程と、 前記金属窒化物膜の表面をシリコン化合物に暴露するこ
とにより金属ケイ化窒化物膜を形成する工程と、 前記金属ケイ化窒化物膜の表面に金属層を堆積する工程
とを含む半導体装置の製造方法。
18. A step of forming a first conductor film on a substrate, a step of depositing an insulating film covering the first conductor film on the substrate, at least a part of the first conductor film is formed on the first conductor film. A method for manufacturing a semiconductor device, comprising: forming a concave portion reaching the insulating film; and forming a second conductive film inside the concave portion of the insulating film, wherein the second conductive film is Forming a metal nitride film covering an inner wall and a bottom surface of the concave portion of the insulating film by a chemical vapor deposition method; irradiating ions to a surface of the metal nitride film; A method for manufacturing a semiconductor device, comprising: forming a metal silicide nitride film by exposing the surface of a metal nitride film to a silicon compound; and depositing a metal layer on the surface of the metal silicide nitride film. .
【請求項19】 前記イオンを照射する工程は、前記金
属窒化物膜の表面をプラズマに暴露する工程を含む請求
項13に記載の半導体装置の製造方法。
19. The method according to claim 13, wherein the step of irradiating the ions includes exposing a surface of the metal nitride film to plasma.
【請求項20】 前記絶縁膜に凹部を形成する工程は、
前記絶縁膜にスルーホールを形成する工程と、前記スル
ーホールに連結する配線状溝を形成する工程とを含む請
求項18または19に記載の半導体装置の製造方法。
20. The step of forming a recess in the insulating film,
The method of manufacturing a semiconductor device according to claim 18, further comprising: forming a through hole in the insulating film; and forming a wiring-shaped groove connected to the through hole.
JP22033098A 1998-06-01 1998-08-04 Semiconductor device and method of manufacturing the same Expired - Fee Related JP3226498B2 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP22033098A JP3226498B2 (en) 1998-08-04 1998-08-04 Semiconductor device and method of manufacturing the same
US09/321,713 US6475912B1 (en) 1998-06-01 1999-05-28 Semiconductor device and method and apparatus for fabricating the same while minimizing operating failures and optimizing yield
US09/884,135 US6683381B2 (en) 1998-06-01 2001-06-20 Semiconductor device having a copper interconnect layer
US10/737,911 US6906420B2 (en) 1998-06-01 2003-12-18 Semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP22033098A JP3226498B2 (en) 1998-08-04 1998-08-04 Semiconductor device and method of manufacturing the same

Publications (2)

Publication Number Publication Date
JP2000058639A true JP2000058639A (en) 2000-02-25
JP3226498B2 JP3226498B2 (en) 2001-11-05

Family

ID=16749465

Family Applications (1)

Application Number Title Priority Date Filing Date
JP22033098A Expired - Fee Related JP3226498B2 (en) 1998-06-01 1998-08-04 Semiconductor device and method of manufacturing the same

Country Status (1)

Country Link
JP (1) JP3226498B2 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001085434A (en) * 1999-09-14 2001-03-30 Hitachi Ltd Method for plating semiconductor substrate
JP2001298028A (en) * 2000-04-17 2001-10-26 Tokyo Electron Ltd Manufacturing method of semiconductor device
JP2005512337A (en) * 2001-12-07 2005-04-28 アプライド マテリアルズ インコーポレイテッド Periodic deposition of refractory metal silicon nitride
JP2006324363A (en) * 2005-05-17 2006-11-30 Elpida Memory Inc Capacitor and method for manufacturing same

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001085434A (en) * 1999-09-14 2001-03-30 Hitachi Ltd Method for plating semiconductor substrate
JP2001298028A (en) * 2000-04-17 2001-10-26 Tokyo Electron Ltd Manufacturing method of semiconductor device
JP2005512337A (en) * 2001-12-07 2005-04-28 アプライド マテリアルズ インコーポレイテッド Periodic deposition of refractory metal silicon nitride
US7892602B2 (en) 2001-12-07 2011-02-22 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
JP2006324363A (en) * 2005-05-17 2006-11-30 Elpida Memory Inc Capacitor and method for manufacturing same

Also Published As

Publication number Publication date
JP3226498B2 (en) 2001-11-05

Similar Documents

Publication Publication Date Title
US6861356B2 (en) Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US5478780A (en) Method and apparatus for producing conductive layers or structures for VLSI circuits
US6906420B2 (en) Semiconductor device
KR20020011123A (en) Deposition of tungsten films from w(co)6
KR20050037797A (en) Method of forming metal interconnection line for semiconductor device
JP2008060603A (en) Method of forming film
US20090017621A1 (en) Manufacturing method for semiconductor device and manufacturing device of semiconductor device
US20040166445A1 (en) Method and apparatus for forming damascene structure, and damascene structure
KR20020011126A (en) Thin film deposition method for integrated circuit fabrication, and device manufacturing method and computer storage medium using the same
JPH11150084A (en) Semiconductor device and forming method of amorphous silicon titanium nitride on substrate
JP3208124B2 (en) Semiconductor device, method of manufacturing semiconductor device, and apparatus for manufacturing semiconductor device
JPH10280154A (en) Plasma chemical vapor growth device
KR100395171B1 (en) Cvd-ti film forming method
JP3381774B2 (en) Method of forming CVD-Ti film
KR100259692B1 (en) Semiconductor device manufacturing method having contact structure
KR100807066B1 (en) Apparatus for manufacturing a semiconductor device and method of manufactruing a semiconductor device using the apparatus
JP3226498B2 (en) Semiconductor device and method of manufacturing the same
US20070082130A1 (en) Method for foming metal wiring structure
JP2002329682A (en) Cu THIN FILM MANUFACTURING METHOD
CN100576496C (en) The formation method of dual-damascene structure
JP2003022985A (en) Method and device for producing semiconductor device
KR100400248B1 (en) Method for forming the line in semiconductor device
KR0161889B1 (en) Formation method of wiring in semiconductor device
JP4198669B2 (en) Method for forming CVD-Ti film
JP2002134436A (en) Plasma processing apparatus, method thereof and plasma product

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20010808

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20070831

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080831

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080831

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090831

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090831

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100831

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110831

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110831

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120831

Year of fee payment: 11

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130831

Year of fee payment: 12

LAPS Cancellation because of no payment of annual fees